Skip to content

Commit

Permalink
fix compile for chisel5
Browse files Browse the repository at this point in the history
1. remove Cat from util chipsalliance/chisel#3062
2. remove experimental.IO chipsalliance/chisel#2863
  • Loading branch information
sequencer committed Mar 9, 2023
1 parent b2fd991 commit 743e06f
Show file tree
Hide file tree
Showing 23 changed files with 20 additions and 24 deletions.
3 changes: 1 addition & 2 deletions src/main/scala/amba/axi4/Deinterleaver.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,7 @@
package freechips.rocketchip.amba.axi4

import chisel3._
import chisel3.util.{Cat, isPow2, log2Ceil, ReadyValidIO,
log2Up, OHToUInt, Queue, QueueIO, UIntToOH}
import chisel3.util.{isPow2, log2Ceil, ReadyValidIO, log2Up, OHToUInt, Queue, QueueIO, UIntToOH}
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util.leftOR
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/amba/axi4/IdIndexer.scala
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@ import chisel3._
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
import chisel3.util.{log2Ceil, Cat}
import chisel3.util.log2Ceil
import freechips.rocketchip.util.EnhancedChisel3Assign

case object AXI4ExtraId extends ControlKey[UInt]("extra_id")
Expand Down
1 change: 0 additions & 1 deletion src/main/scala/diplomacy/Nodes.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@
package freechips.rocketchip.diplomacy

import Chisel._
import chisel3.experimental.IO
import chisel3.internal.sourceinfo.SourceInfo
import org.chipsalliance.cde.config.{Field, Parameters}
import freechips.rocketchip.util.HeterogeneousBag
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/groundtest/TraceGen.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@
package freechips.rocketchip.groundtest

import chisel3._
import chisel3.util.{log2Up, MuxLookup, Cat, log2Ceil, Enum}
import chisel3.util.{log2Up, MuxLookup, log2Ceil, Enum}
import org.chipsalliance.cde.config.{Parameters}
import freechips.rocketchip.diplomacy.{ClockCrossingType}
import freechips.rocketchip.rocket._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/jtag/JtagShifter.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ package freechips.rocketchip.jtag
import chisel3._
import chisel3.experimental.DataMirror
import chisel3.internal.firrtl.KnownWidth
import chisel3.util.{Cat, Valid}
import chisel3.util.Valid

import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util.property
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/regmapper/RegMapper.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package freechips.rocketchip.regmapper

import chisel3._
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.util.{DecoupledIO, Decoupled, Queue, Cat, FillInterleaved, UIntToOH}
import chisel3.util.{DecoupledIO, Decoupled, Queue, FillInterleaved, UIntToOH}
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
import freechips.rocketchip.util.property
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/regmapper/Test.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.regmapper

import chisel3._
import chisel3.util.{Cat, log2Ceil}
import chisel3.util.log2Ceil
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy.LazyModuleImp
import freechips.rocketchip.util.{Pow2ClockDivider}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/ALU.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{BitPat, Fill, Cat, Reverse}
import chisel3.util.{BitPat, Fill, Reverse}
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.tile.CoreModule

Expand Down
1 change: 0 additions & 1 deletion src/main/scala/rocket/Breakpoint.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Cat}
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.tile.{CoreBundle, HasCoreParameters}
import freechips.rocketchip.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/CSR.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{BitPat, Cat, Fill, Mux1H, PopCount, PriorityMux, RegEnable, UIntToOH, Valid, log2Ceil, log2Up}
import chisel3.util.{BitPat, Fill, Mux1H, PopCount, PriorityMux, RegEnable, UIntToOH, Valid, log2Ceil, log2Up}
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.devices.debug.DebugModuleKey
import freechips.rocketchip.tile._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/HellaCacheArbiter.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Cat,log2Up}
import chisel3.util.log2Up
import org.chipsalliance.cde.config.Parameters

class HellaCacheArbiter(n: Int)(implicit p: Parameters) extends Module
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/IBuf.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Decoupled,log2Ceil,Cat,UIntToOH,Fill}
import chisel3.util.{Decoupled,log2Ceil,UIntToOH,Fill}
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.tile._
import freechips.rocketchip.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/ICache.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Cat, Decoupled, Mux1H, OHToUInt, RegEnable, Valid, isPow2, log2Ceil, log2Up, PopCount}
import chisel3.util.{Decoupled, Mux1H, OHToUInt, RegEnable, Valid, isPow2, log2Ceil, log2Up, PopCount}
import freechips.rocketchip.amba._
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/Multiplier.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Cat, log2Up, log2Ceil, log2Floor, Log2, Decoupled, Enum, Fill, Valid, Pipe}
import chisel3.util.{log2Up, log2Ceil, log2Floor, Log2, Decoupled, Enum, Fill, Valid, Pipe}
import freechips.rocketchip.util._

class MultiplierReq(dataBits: Int, tagBits: Int, aluFn: ALUFN = new ALUFN) extends Bundle {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/PMP.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Cat, log2Ceil}
import chisel3.util.log2Ceil
import org.chipsalliance.cde.config._
import freechips.rocketchip.tile._
import freechips.rocketchip.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/PTW.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Arbiter, Cat, Decoupled, Enum, Mux1H, OHToUInt, PopCount, PriorityEncoder, PriorityEncoderOH, RegEnable, UIntToOH, Valid, is, isPow2, log2Ceil, switch}
import chisel3.util.{Arbiter, Decoupled, Enum, Mux1H, OHToUInt, PopCount, PriorityEncoder, PriorityEncoderOH, RegEnable, UIntToOH, Valid, is, isPow2, log2Ceil, switch}
import chisel3.withClock
import chisel3.internal.sourceinfo.SourceInfo
import org.chipsalliance.cde.config.Parameters
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/SimpleHellaCacheIF.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
package freechips.rocketchip.rocket

import chisel3._
import chisel3.util.{Valid,Decoupled,Queue,log2Up,OHToUInt,UIntToOH,PriorityEncoderOH,Arbiter,RegEnable,Cat}
import chisel3.util.{Valid,Decoupled,Queue,log2Up,OHToUInt,UIntToOH,PriorityEncoderOH,Arbiter,RegEnable}

import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.util._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/AtomicAutomata.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
import scala.math.{min,max}
import chisel3.util.{PriorityMux, Cat, FillInterleaved, Mux1H, MuxLookup, log2Up}
import chisel3.util.{PriorityMux, FillInterleaved, Mux1H, MuxLookup, log2Up}

// Ensures that all downstream RW managers support Atomic operations.
// If !passthrough, intercept all Atomics. Otherwise, only intercept those unsupported downstream.
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/ToAHB.scala
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
import AHBParameters._
import chisel3.util.{RegEnable, Queue, Cat, log2Ceil}
import chisel3.util.{RegEnable, Queue, log2Ceil}
import freechips.rocketchip.util.EnhancedChisel3Assign

case class TLToAHBNode(supportHints: Boolean)(implicit valName: ValName) extends MixedAdapterNode(TLImp, AHBImpMaster)(
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/ToAXI4.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
import freechips.rocketchip.amba.axi4._
import freechips.rocketchip.amba._
import chisel3.util.{log2Ceil, UIntToOH, Queue, Decoupled, Cat}
import chisel3.util.{log2Ceil, UIntToOH, Queue, Decoupled}
import freechips.rocketchip.util.EnhancedChisel3Assign

class AXI4TLStateBundle(val sourceBits: Int) extends Bundle {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/WidthWidget.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.tilelink

import chisel3._
import chisel3.util.{DecoupledIO, log2Ceil, Cat, RegEnable}
import chisel3.util.{DecoupledIO, log2Ceil, RegEnable}
import org.chipsalliance.cde.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
Expand Down
1 change: 0 additions & 1 deletion src/main/scala/unittest/UnitTest.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,6 @@ package freechips.rocketchip.unittest

import chisel3._
import chisel3.util._
import chisel3.experimental.{IO}
import org.chipsalliance.cde.config._
import freechips.rocketchip.util._

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/util/SynchronizerReg.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.util

import chisel3._
import chisel3.util.{RegEnable, Cat}
import chisel3.util.RegEnable

/** These wrap behavioral
* shift and next registers into specific modules to allow for
Expand Down

0 comments on commit 743e06f

Please sign in to comment.