From 67ef2f9d0689f32fcd1602516962f86550e426f0 Mon Sep 17 00:00:00 2001 From: umarcor Date: Fri, 11 Jun 2021 01:46:03 +0200 Subject: [PATCH 1/3] [gitignore] ignore generated SVG files in docs/figures --- .gitignore | 1 + 1 file changed, 1 insertion(+) diff --git a/.gitignore b/.gitignore index bfc330101..e02a29651 100644 --- a/.gitignore +++ b/.gitignore @@ -21,6 +21,7 @@ sw/image_gen/image_gen.exe /docs/doxygen_build/ /docs/.asciidoctor/ /docs/public/ +/docs/figures/diag-*.svg # compiled ghdl stuff *.cf From c7dbf488907079de50d3551add7e69477ea8b4b1 Mon Sep 17 00:00:00 2001 From: umarcor Date: Fri, 11 Jun 2021 01:46:41 +0200 Subject: [PATCH 2/3] [gitignore] update path to examples --- .gitignore | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.gitignore b/.gitignore index e02a29651..edba175c4 100644 --- a/.gitignore +++ b/.gitignore @@ -28,4 +28,4 @@ sw/image_gen/image_gen.exe *.o # example bitstreams -/examples/*.bit +/setups/examples/*.bit From c6796bdda686dd0199f2cce877ad64f8e65846db Mon Sep 17 00:00:00 2001 From: umarcor Date: Fri, 11 Jun 2021 11:59:04 +0200 Subject: [PATCH 3/3] rename logical library 'iCE40UP' to 'iCE40' --- setups/examples/neorv32_Fomu_BoardTop_Minimal.vhd | 4 ++-- setups/examples/neorv32_Fomu_BoardTop_MinimalBoot.vhd | 4 ++-- setups/examples/neorv32_Fomu_BoardTop_UP5KDemo.vhd | 4 ++-- setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd | 4 ++-- setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd | 4 ++-- setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd | 6 +++--- setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd | 4 ++-- setups/osflow/synthesis.mk | 6 +++--- setups/radiant/UPduino_v2/neorv32_dmem.ice40up_spram.vhd | 4 ++-- setups/radiant/UPduino_v2/neorv32_imem.ice40up_spram.vhd | 4 ++-- setups/radiant/UPduino_v2/neorv32_upduino_v2_top.vhd | 4 ++-- setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd | 6 +++--- setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd | 4 ++-- setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd | 4 ++-- 14 files changed, 31 insertions(+), 31 deletions(-) diff --git a/setups/examples/neorv32_Fomu_BoardTop_Minimal.vhd b/setups/examples/neorv32_Fomu_BoardTop_Minimal.vhd index 1e9b37d53..d2c7b7898 100644 --- a/setups/examples/neorv32_Fomu_BoardTop_Minimal.vhd +++ b/setups/examples/neorv32_Fomu_BoardTop_Minimal.vhd @@ -36,8 +36,8 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives and macros +library iCE40; +use iCE40.components.all; -- for device primitives and macros entity neorv32_Fomu_BoardTop_Minimal is port ( diff --git a/setups/examples/neorv32_Fomu_BoardTop_MinimalBoot.vhd b/setups/examples/neorv32_Fomu_BoardTop_MinimalBoot.vhd index 912322bbc..ae50b9e8b 100644 --- a/setups/examples/neorv32_Fomu_BoardTop_MinimalBoot.vhd +++ b/setups/examples/neorv32_Fomu_BoardTop_MinimalBoot.vhd @@ -36,8 +36,8 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives and macros +library iCE40; +use iCE40.components.all; -- for device primitives and macros entity neorv32_Fomu_BoardTop_MinimalBoot is port ( diff --git a/setups/examples/neorv32_Fomu_BoardTop_UP5KDemo.vhd b/setups/examples/neorv32_Fomu_BoardTop_UP5KDemo.vhd index 8de17ff75..e1a8f5a21 100644 --- a/setups/examples/neorv32_Fomu_BoardTop_UP5KDemo.vhd +++ b/setups/examples/neorv32_Fomu_BoardTop_UP5KDemo.vhd @@ -36,8 +36,8 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives and macros +library iCE40; +use iCE40.components.all; -- for device primitives and macros entity neorv32_Fomu_BoardTop_UP5KDemo is port ( diff --git a/setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd b/setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd index ae4dd7405..cd52c2562 100644 --- a/setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd +++ b/setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd @@ -36,8 +36,8 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives and macros +library iCE40; +use iCE40.components.all; -- for device primitives and macros entity neorv32_UPduino_v3_BoardTop_MinimalBoot is port ( diff --git a/setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd b/setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd index 8c1bcaa31..00160b0e5 100644 --- a/setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd +++ b/setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd @@ -36,8 +36,8 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives and macros +library iCE40; +use iCE40.components.all; -- for device primitives and macros entity neorv32_UPduino_v3_BoardTop_UP5KDemo is port ( diff --git a/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd b/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd index 887ef446d..f196fa595 100644 --- a/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd +++ b/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd @@ -42,8 +42,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; +library iCE40; +use iCE40.components.all; entity neorv32_dmem is generic ( @@ -96,7 +96,7 @@ begin -- Sanity Checks -------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- assert not (DMEM_SIZE > 64*1024) report "DMEM has a fixed physical size of 64kB. Logical size must be less or equal." severity error; - + -- Access Control ------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- diff --git a/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd b/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd index 615dcbbd6..98c2295dc 100644 --- a/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd +++ b/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd @@ -42,8 +42,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; +library iCE40; +use iCE40.components.all; entity neorv32_imem is generic ( diff --git a/setups/osflow/synthesis.mk b/setups/osflow/synthesis.mk index 7202153de..b5fb6f8cb 100644 --- a/setups/osflow/synthesis.mk +++ b/setups/osflow/synthesis.mk @@ -1,7 +1,7 @@ -ice40up-obj08.cf: ${ICE40_SRC} - ghdl -a $(GHDL_FLAGS) --work=iCE40UP ${ICE40_SRC} +ice40-obj08.cf: ${ICE40_SRC} + ghdl -a $(GHDL_FLAGS) --work=iCE40 ${ICE40_SRC} -neorv32-obj08.cf: ice40up-obj08.cf ${NEORV32_SRC} +neorv32-obj08.cf: ice40-obj08.cf ${NEORV32_SRC} ghdl -a $(GHDL_FLAGS) --work=neorv32 ${NEORV32_SRC} work-obj08.cf: neorv32-obj08.cf ${DESIGN_SRC} ${BOARD_SRC} diff --git a/setups/radiant/UPduino_v2/neorv32_dmem.ice40up_spram.vhd b/setups/radiant/UPduino_v2/neorv32_dmem.ice40up_spram.vhd index 750afd6b8..aafb8f1ba 100644 --- a/setups/radiant/UPduino_v2/neorv32_dmem.ice40up_spram.vhd +++ b/setups/radiant/UPduino_v2/neorv32_dmem.ice40up_spram.vhd @@ -42,8 +42,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; +library iCE40; +use iCE40.components.all; entity neorv32_dmem is generic ( diff --git a/setups/radiant/UPduino_v2/neorv32_imem.ice40up_spram.vhd b/setups/radiant/UPduino_v2/neorv32_imem.ice40up_spram.vhd index a498dbb1c..b7462a71c 100644 --- a/setups/radiant/UPduino_v2/neorv32_imem.ice40up_spram.vhd +++ b/setups/radiant/UPduino_v2/neorv32_imem.ice40up_spram.vhd @@ -42,8 +42,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; +library iCE40; +use iCE40.components.all; entity neorv32_imem is generic ( diff --git a/setups/radiant/UPduino_v2/neorv32_upduino_v2_top.vhd b/setups/radiant/UPduino_v2/neorv32_upduino_v2_top.vhd index 91d81f6bd..885ba9a0f 100644 --- a/setups/radiant/UPduino_v2/neorv32_upduino_v2_top.vhd +++ b/setups/radiant/UPduino_v2/neorv32_upduino_v2_top.vhd @@ -39,8 +39,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives +library iCE40; +use iCE40.components.all; -- for device primitives entity neorv32_upduino_v2_top is port ( diff --git a/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd b/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd index cb333f1bb..f330ca00c 100644 --- a/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd +++ b/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd @@ -42,8 +42,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; +library iCE40; +use iCE40.components.all; entity neorv32_dmem is generic ( @@ -96,7 +96,7 @@ begin -- Sanity Checks -------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- assert not (DMEM_SIZE > 64*1024) report "DMEM has a fixed physical size of 64kB. Logical size must be less or equal." severity error; - + -- Access Control ------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- diff --git a/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd b/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd index c80eca060..0cfd9c94e 100644 --- a/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd +++ b/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd @@ -42,8 +42,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; +library iCE40; +use iCE40.components.all; entity neorv32_imem is generic ( diff --git a/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd b/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd index d5296fbea..b2a876f01 100644 --- a/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd +++ b/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd @@ -39,8 +39,8 @@ use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; -library iCE40UP; -use iCE40UP.components.all; -- for device primitives and macros +library iCE40; +use iCE40.components.all; -- for device primitives and macros entity neorv32_upduino_v3_top is port (