From 1bc2ac98347101fba430255935fe87c0c8a2a000 Mon Sep 17 00:00:00 2001 From: Lukas Pajak Date: Thu, 23 Jan 2025 10:39:43 +0100 Subject: [PATCH 1/3] [rtl] reset twi/d lines to '1' (cherry picked from commit 426fbb734747a9f23d2cc11a0c2640461429fbee) --- rtl/core/neorv32_twd.vhd | 8 ++++---- rtl/core/neorv32_twi.vhd | 4 ++-- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/rtl/core/neorv32_twd.vhd b/rtl/core/neorv32_twd.vhd index 55102b50f..d72eae861 100644 --- a/rtl/core/neorv32_twd.vhd +++ b/rtl/core/neorv32_twd.vhd @@ -264,8 +264,8 @@ begin synchronizer: process(rstn_i, clk_i) begin if (rstn_i = '0') then - smp.sda_sreg <= (others => '0'); - smp.scl_sreg <= (others => '0'); + smp.sda_sreg <= (others => '1'); + smp.scl_sreg <= (others => '1'); smp.valid <= '0'; elsif rising_edge(clk_i) then -- input register -- @@ -293,8 +293,8 @@ begin bus_event: process(rstn_i, clk_i) begin if (rstn_i = '0') then - smp.sda <= '0'; - smp.scl <= '0'; + smp.sda <= '1'; + smp.scl <= '1'; smp.scl_rise <= '0'; smp.scl_fall <= '0'; smp.start <= '0'; diff --git a/rtl/core/neorv32_twi.vhd b/rtl/core/neorv32_twi.vhd index c9bf29046..9f51cfb96 100644 --- a/rtl/core/neorv32_twi.vhd +++ b/rtl/core/neorv32_twi.vhd @@ -298,8 +298,8 @@ begin if (rstn_i = '0') then io_con.sda_in_ff <= (others => '0'); io_con.scl_in_ff <= (others => '0'); - io_con.sda_out <= '0'; - io_con.scl_out <= '0'; + io_con.sda_out <= '1'; + io_con.scl_out <= '1'; engine.state <= (others => '0'); engine.bitcnt <= (others => '0'); engine.sreg <= (others => '0'); From 0fc3864ccb8059c8f16a93f4bdb77b9e6413b0ef Mon Sep 17 00:00:00 2001 From: stnolting Date: Thu, 23 Jan 2025 22:24:08 +0100 Subject: [PATCH 2/3] [package] update version ID --- rtl/core/neorv32_package.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/rtl/core/neorv32_package.vhd b/rtl/core/neorv32_package.vhd index 9ba8c49e2..6115ac68a 100644 --- a/rtl/core/neorv32_package.vhd +++ b/rtl/core/neorv32_package.vhd @@ -29,7 +29,7 @@ package neorv32_package is -- Architecture Constants ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- - constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01110000"; -- hardware version + constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01110001"; -- hardware version constant archid_c : natural := 19; -- official RISC-V architecture ID constant XLEN : natural := 32; -- native data path width From 4298ad5e90cd5f9c7ca284ff94950cfde15997b4 Mon Sep 17 00:00:00 2001 From: stnolting Date: Thu, 23 Jan 2025 22:24:26 +0100 Subject: [PATCH 3/3] [changelog] add v1.11.0.1 --- CHANGELOG.md | 1 + 1 file changed, 1 insertion(+) diff --git a/CHANGELOG.md b/CHANGELOG.md index 51aa2950c..c4b9b266b 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -29,6 +29,7 @@ mimpid = 0x01040312 -> Version 01.04.03.12 -> v1.4.3.12 | Date | Version | Comment | Ticket | |:----:|:-------:|:--------|:------:| +| 23.01.2025 | 1.11.0.1 | reset SDA and SCL of TWI and TWD modules to `1` | [#1167](https://github.com/stnolting/neorv32/pull/1167) | | 22.01.2025 | [**:rocket:1.11.0**](https://github.com/stnolting/neorv32/releases/tag/v1.11.0) | **New release** | | | 22.01.2025 | 1.10.9.10 | :bug: fix TWD ACK/NACK sampling | [#1165](https://github.com/stnolting/neorv32/pull/1165) | | 18.01.2025 | 1.10.9.9 | atomic memory access updates and improvements | [#1163](https://github.com/stnolting/neorv32/pull/1163) |