From 1e0f15fce80d3265760f1caef2a7ec79c8b8ad6d Mon Sep 17 00:00:00 2001 From: Billy Trend Date: Thu, 19 Dec 2024 12:23:15 +0000 Subject: [PATCH] Add redirect urls --- LICENSE.md | 21 - README.md | 155 +- notebooks/Cohere_Elastic_Guide.ipynb | 589 +-- notebooks/Cohere_Redis_Guide.ipynb | 361 +- notebooks/Embed_Jobs_Semantic_Search.ipynb | 328 +- ..._Serverless_Pinecone_Semantic_Search.ipynb | 517 +-- notebooks/Multimodal_Semantic_Search.ipynb | 613 +-- notebooks/Vanilla_RAG.ipynb | 751 +--- notebooks/Vanilla_RAG_v2.ipynb | 731 +--- ...arch_With_Cohere_Embeddings_Archives.ipynb | 229 +- ...a_Analyst_Agent_Cohere_and_Langchain.ipynb | 435 +- notebooks/agents/Multi_Step_Tool_Use.ipynb | 529 +-- .../Multi_Step_Tool_Use_Spotify_v2.ipynb | 619 +-- notebooks/agents/README.md | 29 +- notebooks/agents/Tool_Use.ipynb | 193 +- .../agents/Vanilla_Multi_Step_Tool_Use.ipynb | 985 +---- notebooks/agents/Vanilla_Tool_Use.ipynb | 682 +-- notebooks/agents/Vanilla_Tool_Use_v2.ipynb | 634 +-- .../agents/agent_memory_walkthrough.ipynb | 766 +--- notebooks/agents/agentic-RAG/README.md | 2 +- .../agentic_multi_stage_rag_native.ipynb | 774 +--- .../agentic-RAG/agentic_rag_langchain.ipynb | 1011 +---- .../agentic-RAG/multi_purpose_agent.ipynb | 905 +--- .../agents_with_deterministic_functions.ipynb | 640 +-- .../agents/financial-csv-agent/README.md | 6 +- .../financial_csv_publication.ipynb | 722 +--- .../financial_csv_publication_native.ipynb | 1121 +---- notebooks/agents/hr_assistant/agent.ipynb | 581 +-- .../i-5O-sql-agent/sql_agent_demo.ipynb | 1240 +----- .../agents/pdf-extractor/pdf_extractor.ipynb | 380 +- notebooks/agents/sql_agent/README.md | 2 +- notebooks/agents/sql_agent/sql_agent.ipynb | 1021 +---- .../Command R finetuning sagemaker.ipynb | 487 +-- ...oy your own finetuned command-r-0824.ipynb | 469 +-- notebooks/finetuning/README.md | 2 +- .../convfinqa_finetuning_wandb.ipynb | 482 +-- notebooks/finetuning/replace.ipynb | 18 + ...is_of_Form_10_K_Using_Cohere_and_RAG.ipynb | 2851 +------------ ...h_Six_Language_Understanding_Methods.ipynb | 1181 +----- ..._Embedding_Classification_Extraction.ipynb | 1288 +----- notebooks/guides/Basic_Semantic_Search.ipynb | 3356 +-------------- .../guides/Basic_Summarization_Notebook.ipynb | 313 +- ...eas_with_Cohere_and_Stable_Diffusion.ipynb | 2430 +---------- notebooks/guides/Chunking_strategies.ipynb | 1139 +---- ...G_Inline_Citation_Markdown_Generator.ipynb | 267 +- ..._QA_bot_from_technical_documentation.ipynb | 826 +--- .../Deep_dive_into_RAG_evaluation.ipynb | 1034 +---- .../Document_Parsing_For_Enterprises.ipynb | 1841 +------- ...tion_with_Generative_Language_Models.ipynb | 1430 +------ ...rative_Content_with_Keyword_Research.ipynb | 1077 +---- ...ories_with_LLMs_and_Stable_Diffusion.ipynb | 1451 +------ ...ounded_summarisation_using_Command_R.ipynb | 529 +-- .../guides/Hello_World_Meet_Language_AI.ipynb | 1084 +---- .../guides/Long_form_General_Strategies.ipynb | 2098 +--------- ...eeting_Summaries_General_&_LangChain.ipynb | 3133 +------------- ...lithic_Prompts_to_Command_R_with_RAG.ipynb | 1009 +---- ...ual_Search_with_Cohere_and_Langchain.ipynb | 3693 +---------------- ...ows_with_rerank_and_query_rephrasing.ipynb | 1125 +---- .../guides/Pondr_Question_Generation.ipynb | 242 +- ...onAnwering_Cohere_SagemakerJumpstart.ipynb | 1570 +------ ...h_Chat_Embed_and_Rerank_via_Pinecone.ipynb | 833 +--- ...pes_for_better_meeting_notes_summary.ipynb | 438 +- notebooks/guides/Summarization_Evals.ipynb | 1144 +---- ...Text_Classification_Using_Embeddings.ipynb | 450 +- ..._Build_a_Text_Classifier_with_Cohere.ipynb | 747 +--- .../guides/Topic_Modeling_AI_Papers.ipynb | 1045 +---- ...ikipedia_search_demo_cohere_weaviate.ipynb | 272 +- .../Building_Advanced_RAG_Systems.ipynb | 954 +---- .../agentic-rag/agentic_rag_pt1_routing.ipynb | 480 +-- .../agentic_rag_pt2_parallel_queries.ipynb | 638 +-- .../agentic_rag_pt3_sequential.ipynb | 650 +-- ...gentic_rag_pt4_multi_faceted_queries.ipynb | 544 +-- ...entic_rag_pt5_structured_data_tables.ipynb | 706 +--- .../agentic_rag_pt6_structured_data_SQL.ipynb | 598 +-- .../guides/getting-started/tutorial_pt1.ipynb | 250 +- .../guides/getting-started/tutorial_pt2.ipynb | 538 +-- .../guides/getting-started/tutorial_pt3.ipynb | 394 +- .../guides/getting-started/tutorial_pt4.ipynb | 474 +-- .../guides/getting-started/tutorial_pt5.ipynb | 511 +-- .../guides/getting-started/tutorial_pt6.ipynb | 595 +-- .../guides/getting-started/tutorial_pt7.ipynb | 547 +-- .../getting-started/v2/tutorial_pt1_v2.ipynb | 138 +- .../getting-started/v2/tutorial_pt2_v2.ipynb | 552 +-- .../getting-started/v2/tutorial_pt3_v2.ipynb | 404 +- .../getting-started/v2/tutorial_pt4_v2.ipynb | 472 +-- .../getting-started/v2/tutorial_pt5_v2.ipynb | 517 +-- .../getting-started/v2/tutorial_pt6_v2.ipynb | 665 +-- .../getting-started/v2/tutorial_pt7_v2.ipynb | 586 +-- .../rag_chatbot_with_cohere_and_mongodb.ipynb | 2745 +----------- notebooks/guides/readme.md | 2 +- notebooks/guides/rerank-demo.ipynb | 1018 +---- notebooks/llmu/Building_a_Chatbot.ipynb | 497 +-- notebooks/llmu/Classify_Endpoint.ipynb | 300 +- .../Command_Model_Use_Case_Patterns.ipynb | 908 +--- .../llmu/Constructing_Prompt_Commands.ipynb | 977 +---- notebooks/llmu/Embed_Endpoint.ipynb | 757 +--- .../llmu/End_To_End_Wikipedia_Search.ipynb | 1107 +---- notebooks/llmu/Fine_Tuning_for_Chat.ipynb | 724 +--- notebooks/llmu/Fine_Tuning_for_Classify.ipynb | 527 +-- notebooks/llmu/Fine_Tuning_for_Rerank.ipynb | 616 +-- .../llmu/Introduction_Text_Embeddings.ipynb | 1271 +----- notebooks/llmu/Introduction_to_RAG.ipynb | 341 +- .../Parameters_for_Controlling_Outputs.ipynb | 255 +- .../llmu/Prompt_Engineering_Basics.ipynb | 416 +- .../llmu/RAG_over_Large_Scale_Data.ipynb | 447 +- .../llmu/RAG_with_Chat_Embed_and_Rerank.ipynb | 766 +--- notebooks/llmu/RAG_with_Connectors.ipynb | 340 +- .../llmu/RAG_with_Quickstart_Connectors.ipynb | 452 +- notebooks/llmu/Rerank_Endpoint.ipynb | 303 +- ...idating_Large_Language_Model_Outputs.ipynb | 956 +---- ...What_Is_Similarity_Between_Sentences.ipynb | 441 +- notebooks/llmu/What_is_Semantic_Search.ipynb | 769 +--- .../llmu/co_aws_ch3_text_generation.ipynb | 582 +-- .../llmu/co_aws_ch4_semantic_search.ipynb | 449 +- notebooks/llmu/co_aws_ch5_rerank_sm.ipynb | 344 +- .../llmu/co_aws_ch6_rag_bedrock_sm.ipynb | 826 +--- notebooks/llmu/co_aws_ch7_tool_use.ipynb | 464 +-- notebooks/llmu/co_aws_ch8_ft_command.ipynb | 584 +-- .../llmu/examples/deploy_streamlit/readme.md | 16 +- .../gdrive/README.md | 89 +- notebooks/llmu/multi_step_tool_use.ipynb | 616 +-- notebooks/llmu/readme.md | 43 +- notebooks/llmu/single_step_tool_use.ipynb | 763 +--- notebooks/llmu/tool_use_anatomy.ipynb | 528 +-- .../react_agent_adaptive_rag_cohere.ipynb | 983 +---- public_embedding_benchmarks/beir.md | 7 - public_embedding_benchmarks/miracl.md | 7 - public_embedding_benchmarks/mteb.md | 8 - public_rerank_benchmarks/bm25_with_rerank.md | 7 - public_rerank_benchmarks/embed_with_rerank.md | 7 - public_rerank_benchmarks/miracl.md | 6 - 131 files changed, 1750 insertions(+), 91653 deletions(-) delete mode 100644 LICENSE.md create mode 100644 notebooks/finetuning/replace.ipynb delete mode 100644 public_embedding_benchmarks/beir.md delete mode 100644 public_embedding_benchmarks/miracl.md delete mode 100644 public_embedding_benchmarks/mteb.md delete mode 100644 public_rerank_benchmarks/bm25_with_rerank.md delete mode 100644 public_rerank_benchmarks/embed_with_rerank.md delete mode 100644 public_rerank_benchmarks/miracl.md diff --git a/LICENSE.md b/LICENSE.md deleted file mode 100644 index 82be2258..00000000 --- a/LICENSE.md +++ /dev/null @@ -1,21 +0,0 @@ -MIT License - -Copyright (c) 2024 Cohere Inc. - -Permission is hereby granted, free of charge, to any person obtaining a copy -of this software and associated documentation files (the "Software"), to deal -in the Software without restriction, including without limitation the rights -to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -copies of the Software, and to permit persons to whom the Software is -furnished to do so, subject to the following conditions: - -The above copyright notice and this permission notice shall be included in all -copies or substantial portions of the Software. - -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -SOFTWARE. \ No newline at end of file diff --git a/README.md b/README.md index 9243620e..56becd5e 100644 --- a/README.md +++ b/README.md @@ -1,154 +1 @@ - # Cohere Examples - -Welcome! This repository provides a collection of examples to help you build LLM-powered applications with the [Cohere API](https://docs.cohere.com/). They contain step-by-step guides, with code examples and explanations, to help you understand and use the API effectively. - -The examples are grouped into 3 categories: -1. **[Getting started](#getting-started)**: A Cohere 101 guide. Build your first Cohere application – an onboarding assistant for new hires. -2. **[LLM University](#llm-university)**: The code companion to the [LLM University](https://cohere.com/llmu) course containing a comprehensive list of modules. -3. **[Cookbook](#cookbook)**: Deep dive into various techniques in the following topics: [RAG](#rag), [Agents](#agents), [Search & embeddings](#search-and-embeddings), [Summarization](#summarization), and [Others](#others) - -Interested to contribute? Read the [contributing guide](#contributing). - -
- -# Getting Started -This is a Cohere 101 guide. Build your first Cohere application: An onboarding assistant for new hires. -Duration: ~15 mins. - -| Title | Colab | -|-------------------------------------------------------|-------| -| [Part 1: Installation and setup](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt1_v2.ipynb) | Open In Colab | -| [Part 2: Text generation](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt2_v2.ipynb) | Open In Colab | -| [Part 3: Chatbots](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt3_v2.ipynb) | Open In Colab | -| [Part 4: Semantic search](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt4_v2.ipynb) | Open In Colab | -| [Part 5: Reranking](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt5_v2.ipynb) | Open In Colab | -| [Part 6: Retrieval-augmented generation \(RAG\)](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt6_v2.ipynb) | Open In Colab | -| [Part 7: Agents with tool use](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/getting-started/v2/tutorial_pt7_v2.ipynb) | Open In Colab | - -
- -# LLM University -This section contains the code companion to the [LLM University](https://cohere.com/llmu) course containing a comprehensive list of modules. - -| Module | Title | Colab | -|---|---|---| -| What are Large Language Models? | [Similarity Between Words and Sentences](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/What_Is_Similarity_Between_Sentences.ipynb) | Open In Colab | -| Text Representation | [Introduction to Text Embeddings, Semantic Search, and Clustering](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Introduction_Text_Embeddings.ipynb) | Open In Colab | -| | [Topic Modeling](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb) | Open In Colab | -| | [Few-Shot Classification](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Classify_Endpoint.ipynb) | Open In Colab | -| | [Fine-Tuning for Classification](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Fine_Tuning_for_Classify.ipynb) | Open In Colab | -| Text Generation | [Building a Chatbot](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Building_a_Chatbot.ipynb) | Open In Colab | -| | [Parameters for Controlling Outputs](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Parameters_for_Controlling_Outputs.ipynb) | Open In Colab | -| | [Prompt Engineering Basics](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Prompt_Engineering_Basics.ipynb) | Open In Colab | -| | [Fine-Tuning for Chat](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Fine_Tuning_for_Chat.ipynb) | Open In Colab | -| Deployment | [Deploying with Streamlit](https://github.com/cohere-ai/notebooks/tree/main/notebooks/llmu/examples/deploy_streamlit) | N/A | -| | [Deploying with FastAPI](https://github.com/cohere-ai/notebooks/tree/main/notebooks/llmu/examples/deploy_fastapi) | N/A | -| | [Deploying on Google Sheets with Google Apps Script](https://github.com/cohere-ai/notebooks/tree/main/notebooks/llmu/examples/deploy_google_apps_script) | N/A | -| | [Deploying as a Chrome Extension](https://github.com/cohere-ai/sandbox-condense) | N/A | -| Semantic Search | [What is Semantic Search?](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/What_is_Semantic_Search.ipynb) | Open In Colab | -| | [Keyword Search, Dense Retrieval, Reranking, and Generating Answers](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/End_To_End_Wikipedia_Search.ipynb) | Open In Colab | -| Prompt Engineering | [Constructing Prompts](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Constructing_Prompt_Commands.ipynb) | Open In Colab | -| | [Use Case Patterns](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Command_Model_Use_Case_Patterns.ipynb) | Open In Colab | -| | [Validating Outputs](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Validating_Large_Language_Model_Outputs.ipynb) | Open In Colab | -| Retrieval-Augmented Generation (RAG) | [Getting Started with RAG](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/Introduction_to_RAG.ipynb) | Open In Colab | -| | [RAG with Chat, Embed, and Rerank](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/RAG_with_Chat_Embed_and_Rerank.ipynb) | Open In Colab | -| | [RAG with Connectors](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/RAG_with_Connectors.ipynb) | Open In Colab | -| | [RAG with Quickstart Connectors](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/RAG_with_Quickstart_Connectors.ipynb) | Open In Colab | -| | [RAG over Large-Scale Data](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/RAG_over_Large_Scale_Data.ipynb) | Open In Colab | -| Tool Use | [Tool Use Anatomy](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/tool_use_anatomy.ipynb) | Open In Colab | -| | [Single-Step Tool Use](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/single_step_tool_use.ipynb) | Open In Colab | -| | [Multi-Step Tool Use](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/multi_step_tool_use.ipynb) | Open In Colab | -| | [Tool Use with LangChain](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb) | Open In Colab | -| Cohere on AWS | [Text generation on Bedrock](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/co_aws_ch3_text_generation.ipynb) | Open In Colab | -| | [Semantic search on Bedrock](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/co_aws_ch4_semantic_search.ipynb) | Open In Colab | -| | [Reranking on SageMaker](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/co_aws_ch5_rerank_sm.ipynb) | Open In Colab | -| | [RAG on Bedrock and SageMaker](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/co_aws_ch6_rag_bedrock_sm.ipynb) | Open In Colab | -| | [Tool use on Bedrock](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/co_aws_ch7_tool_use.ipynb) | Open In Colab | -| | [Fine-tuning on Bedrock/SageMaker](https://github.com/cohere-ai/notebooks/blob/main/notebooks/llmu/co_aws_ch8_ft_command.ipynb) | Open In Colab | - -
- -# Cookbook -This section provides a deep dive into various techniques in the following topics: -- [RAG](#rag) -- [Agents](#agents) -- [Search & embeddings](#search-and-embeddings) -- [Summarization](#summarization) -- [Others](#others) - - -
- -## RAG -| Title | Components | Colab | -|--------------------------------------------------------------|----------------------------------------------|-------------------------------------------------------------------------------------------------------------------------------------------------| -| [Basic RAG](https://github.com/cohere-ai/notebooks/blob/main/notebooks/Vanilla_RAG.ipynb) | Chat, Embed, Rerank | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/Vanilla_RAG.ipynb) | -| [End-to-end RAG using Elasticsearch and Cohere](https://github.com/cohere-ai/notebooks/blob/main/notebooks/Cohere_Elastic_Guide.ipynb) | Chat, Embed, Rerank, Elasticsearch | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/Cohere_Elastic_Guide.ipynb) | -| [Chunking Strategies](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Chunking_strategies.ipynb) | Chat, Embed, Rerank, LlamaIndex, LangChain | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/guides/Chunking_strategies.ipynb) | -| [Migrating Monolithic Prompts to Command-R with RAG](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb) | Chat | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/guides/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb) | -| [RAG With Chat Embed and Rerank via Pinecone](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb) | Chat, Embed, Rerank, Pinecone | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/guides/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb) | -| [Creating a QA Bot From Technical Documentation](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Creating_a_QA_bot_from_technical_documentation.ipynb) | Chat, Embed, Rerank, LlamaIndex | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/guides/Creating_a_QA_bot_from_technical_documentation.ipynb) | -| [Analysis of Form 10-K/10-Q Using Cohere and RAG](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb) | Cohere, Embed, Rerank, LlamaIndex, Langchain | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/guides/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb) | -| [Adaptive RAG](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/Multi_Step_Tool_Use.ipynb) | Chat, LangChain | [Open In Colab](https://colab.research.google.com/github/cohere-ai/notebooks/blob/main/notebooks/agents/Multi_Step_Tool_Use.ipynb) | - - -## Agents - -| Title | Components | Colab | -|--------------------------------------------------------------|--------------------------------|-----------------| -| [Basic Tool Use](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/Vanilla_Tool_Use.ipynb) | Chat |
Open In Colab | -| [Multi-Step Tool Use](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/Vanilla_Multi_Step_Tool_Use.ipynb) | Chat, Embed, LangChain | Open In Colab | -| [Calendar Agent with Native Multi Step Tool](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/Tool_Use.ipynb) | Chat | Open In Colab | -| [A Data Analyst Agent Built with Cohere and Langchain](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb) | Chat, LangChain | Open In Colab | -| [Short-Term Memory Handling for Agents](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/agent_memory_walkthrough.ipynb) | Chat, LangChain | Open In Colab | -| [Agent API Calls](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/agents_with_deterministic_functions.ipynb) | Chat, LangChain | Open In Colab | -| [Financial CSV Agent with Langchain](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/financial-csv-agent/financial_csv_publication.ipynb) | Chat, LangChain | Open In Colab | -| [Agentic RAG for PDFs with mixed data](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/agentic-RAG/agentic_rag_langchain.ipynb) | Chat, Embed, Rerank, LangChain | Open In Colab | -| [SQL Agent](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/sql_agent/sql_agent.ipynb) | Chat, LangChain | Open In Colab | -| [Financial CSV Agent with Native Multi-Step Cohere API](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/financial-csv-agent/financial_csv_publication_native.ipynb) | Chat, LangChain | Open In Colab | -| [PDF Extractor with Native Multi Step Tool Use](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/pdf-extractor/pdf_extractor.ipynb)
| Chat, Unstructured |
Open In Colab | -| [Agentic Multi-Stage RAG with Cohere Tools API](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/agentic-RAG/agentic_multi_stage_rag_native.ipynb) | Chat, Embed | Open In Colab | -| [Agentic RAG with an Evaluator, Web Search, Human Input, and Python Tool](https://github.com/cohere-ai/notebooks/blob/main/notebooks/agents/agentic-RAG/multi_purpose_agent.ipynb) | Chat, Embed, LangChain | Open In Colab | -## Search and Embeddings -| Title | Components | Colab | -|--------------------------------------------------------------|-----------------|------------------------------------------------------------------------| -| [Basic Semantic Search](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Basic_Semantic_Search.ipynb) | Embed | Open In Colab | -| [Basic Reranking](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/rerank-demo.ipynb) | Rerank | Open In Colab | -| [Wikipedia Semantic Search with Cohere Embedding Archives](https://github.com/cohere-ai/notebooks/blob/main/notebooks/Wikipedia_Semantic_Search_With_Cohere_Embeddings_Archives.ipynb) | Embed | Open In Colab | -| [Semantic Search with Cohere Embed Jobs and Pinecone serverless Solution](https://github.com/cohere-ai/notebooks/blob/main/notebooks/Embed_Jobs_Serverless_Pinecone_Semantic_Search.ipynb) | Embed, Pinecone | Open In Colab | -| [Semantic Search with Cohere Embed Jobs](https://github.com/cohere-ai/notebooks/blob/main/notebooks/Embed_Jobs_Semantic_Search.ipynb) | Embed, Rerank | Open In Colab | -| [Wikipedia Semantic Search with Cohere + Weaviate](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Wikipedia_search_demo_cohere_weaviate.ipynb) | Embed, Weaviate | Open In Colab | - - -## Summarization -| Title | Components | Colab | -|--------------------------------------------------------------|---------------------|-----------------------------------------------------------------------------------------------------------------------------------------------------------| -| [Long Form General Strategies](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Long_form_General_Strategies.ipynb) | Chat, Embed, Rerank | Open In Colab | -| [Summarization Evals](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Summarization_Evals.ipynb) | Chat | Open In Colab | -| [Grounded Summarization Using Command R](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Grounded_summarisation_using_Command_R.ipynb) | Chat, Embed | Open In Colab | - - -## Others -| Title | Components | Colab | -|--------------------------------------------------------------|-----------------------|------------------------------------------------------------------------| -| [Advanced Document Parsing For Enterprises](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Document_Parsing_For_Enterprises.ipynb) | Chat, Embed, Rerank | Open In Colab | -| [Analyzing Hacker News with Six Language Understanding Methods](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb) | Embed | Open In Colab | -| [Text Classification Using Embeddings](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Text_Classification_Using_Embeddings.ipynb) | Embed | Open In Colab | -| [Article Recommender with Text Embedding Classification Extraction](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Article_Recommender_with_Text_Embedding_Classification_Extraction.ipynb) | Chat, Embed, Classify | Open In Colab | -| [Fueling Generative Content with Keyword Research](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Fueling_Generative_Content_with_Keyword_Research.ipynb) | Chat, Embed | Open In Colab | -| [Topic Modeling AI Papers](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Topic_Modeling_AI_Papers.ipynb) | Embed | Open In Colab | - -
- -# Contributing -Thank you for your interest in contributing! We appreciate your input and encourage you to share your ideas and improvements. Here are some ways you can contribute: - -- New Examples and Guides: If you have an idea for a new example or guide, please share it with us! Create an issue to discuss your proposal, gather feedback, and get started. This ensures your contribution aligns with the project's scope and avoids duplication. - -- Improvements and Updates: You can contribute by enhancing existing examples and guides. This could involve adding more detailed explanations, code snippets, error handling, or exploring advanced usage. Your insights and expertise will make our examples more useful. - -- Feedback and Suggestions: Your feedback is invaluable. If you have suggestions for improving the structure, content, or overall user experience of this repository, please create an issue or contact us directly. We want to ensure this resource is as helpful as possible. - -Please review existing issues and pull requests before starting your contribution to avoid duplication of efforts. We value your unique insights and contributions, and we want to ensure they are well-aligned with the project's goals. - -Thank you for your contributions and for helping to make this repository a valuable resource for the developer community! +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/Cohere_Elastic_Guide.ipynb b/notebooks/Cohere_Elastic_Guide.ipynb index fb24d02f..19879d1f 100644 --- a/notebooks/Cohere_Elastic_Guide.ipynb +++ b/notebooks/Cohere_Elastic_Guide.ipynb @@ -1,573 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "_y1Uk2R61WqF" - }, - "source": [ - "# End-to-end RAG using Elasticsearch and Cohere\n", - "\n", - "Learn how to use the [Inference API](https://www.elastic.co/guide/en/elasticsearch/reference/current/inference-apis.html) for semantic search and use [Cohere's APIs](https://docs.cohere.com/docs/the-cohere-platform) for RAG." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kH7ioI4w3IF7" - }, - "source": [ - "# 🧰 Requirements\n", - "\n", - "For this example, you will need:\n", - "\n", - "- An Elastic Serverless account through [Elastic Cloud](https://www.elastic.co/guide/en/cloud/current/ec-getting-started.html), available with a [free trial](https://cloud.elastic.co/registration?utm_source=github&utm_content=elasticsearch-labs-notebook)\n", - " \n", - "- A [Cohere account](https://cohere.com/) with a production API key\n", - "\n", - "- Python 3.7 or higher\n", - "\n", - "Note: While this tutorial integrates Cohere with an Elastic Cloud serverless project, you can also integrate with your self-managed Elasticsearch deployment or Elastic Cloud deployment by simply switching from using a Serverless endpoint in the Elasticsearch client." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "GaEgtsBIj4uZ" - }, - "source": [ - "# Create an Elastic Serverless deployment\n", - "\n", - "If you don't have an Elastic Cloud deployment, sign up [here](https://cloud.elastic.co/registration?utm_source=github&utm_content=elasticsearch-labs-notebook) for a free trial and request access to Elastic Serverless" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Df7Q5EEi54-p" - }, - "source": [ - "# Install packages and connect with Elasticsearch Serverless Client\n", - "\n", - "To get started, we'll need to connect to our Elastic Serverless deployment using the Python client.\n", - "\n", - "First we need to `pip` install the following packages:\n", - "\n", - "- `elasticsearch_serverless`\n", - "- `cohere`\n", - "\n", - "After installing, in the Serverless dashboard, find your endpoint URL, and create your API key." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "dIyWMJqtjuoq" - }, - "outputs": [], - "source": [ - "pip install elasticsearch_serverless cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mUTDs8zrm9HV" - }, - "source": [ - "Next, we need to import the modules we need. 🔐 NOTE: getpass enables us to securely prompt the user for credentials without echoing them to the terminal, or storing it in memory." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": { - "id": "eYJx2lx37Zu0" - }, - "outputs": [], - "source": [ - "from elasticsearch_serverless import Elasticsearch, helpers\n", - "from getpass import getpass\n", - "import cohere\n", - "import json\n", - "import requests" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VQ0EPyNb7qvs" - }, - "source": [ - "\n", - "\n", - "Now we can instantiate the Python Elasticsearch client.\n", - "\n", - "First we prompt the user for their endpoint and encoded API key.\n", - "Then we create a `client` object that instantiates an instance of the `Elasticsearch` class.\n", - "\n", - "When creating your Elastic Serverless API key make sure to turn on Control security privileges, and edit cluster privileges to specify `\"cluster\": [\"all\"]`" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "HUjlUIu5m8fs" - }, - "outputs": [], - "source": [ - "ELASTICSEARCH_ENDPOINT = getpass(\"Elastic Endpoint: \")\n", - "ELASTIC_API_KEY = getpass(\"Elastic encoded API key: \") # Use the encoded API key\n", - "\n", - "client = Elasticsearch(\n", - " ELASTICSEARCH_ENDPOINT,\n", - " api_key=ELASTIC_API_KEY\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "t_m42KWc8Ju6" - }, - "source": [ - "Confirm that the client has connected with this test:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "z6EMEbOH8LeE" - }, - "outputs": [], - "source": [ - "print(client.info())" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "SnV1Y4k8nxPI" - }, - "source": [ - "## Create the inference endpoint\n", - "\n", - "Let's create the inference endpoint by using the [Create inference API](https://www.elastic.co/guide/en/elasticsearch/reference/current/put-inference-api.html).\n", - "\n", - "You'll need an Cohere API key for this that you can find in your Cohere account under the [API keys section](https://dashboard.cohere.com/api-keys). A production key is required to complete the steps in this notebook as the Cohere free trial API usage is limited.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "G_7pbrkYALQJ" - }, - "outputs": [], - "source": [ - "COHERE_API_KEY = getpass(\"Enter Cohere API key: \")\n", - "\n", - "# Delete the inference model if it already exists\n", - "client.options(ignore_status=[404]).inference.delete_model(inference_id=\"cohere_embeddings\")\n", - "\n", - "client.inference.put_model(\n", - " task_type=\"text_embedding\",\n", - " inference_id=\"cohere_embeddings\",\n", - " body={\n", - " \"service\": \"cohere\",\n", - " \"service_settings\": {\n", - " \"api_key\": COHERE_API_KEY,\n", - " \"model_id\": \"embed-english-v3.0\",\n", - " \"embedding_type\": \"int8\",\n", - " \"similarity\": \"cosine\"\n", - " },\n", - " \"task_settings\": {},\n", - " },\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "dNv0AntHAVuR" - }, - "source": [ - "## Create an ingest pipeline with an inference processor\n", - "\n", - "Create an ingest pipeline with an inference processor by using the [`put_pipeline`](https://www.elastic.co/guide/en/elasticsearch/reference/master/put-pipeline-api.html) method. Reference the inference endpoint created above as the `model_id` to infer against the data that is being ingested in the pipeline." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "4-9qsVISAZZM" - }, - "outputs": [], - "source": [ - "# Delete the inference pipeline if it already exists\n", - "client.options(ignore_status=[404]).ingest.delete_pipeline(id=\"cohere_embeddings\")\n", - "\n", - "client.ingest.put_pipeline(\n", - " id=\"cohere_embeddings\",\n", - " description=\"Ingest pipeline for Cohere inference.\",\n", - " processors=[\n", - " {\n", - " \"inference\": {\n", - " \"model_id\": \"cohere_embeddings\",\n", - " \"input_output\": {\n", - " \"input_field\": \"text\",\n", - " \"output_field\": \"text_embedding\",\n", - " },\n", - " }\n", - " }\n", - " ],\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NMZc9T40Acid" - }, - "source": [ - "Let's note a few important parameters from that API call:\n", - "\n", - "- `inference`: A processor that performs inference using a machine learning model.\n", - "- `model_id`: Specifies the ID of the inference endpoint to be used. In this example, the model ID is set to `cohere_embeddings`.\n", - "- `input_output`: Specifies input and output fields.\n", - "- `input_field`: Field name from which the `dense_vector` representation is created.\n", - "- `output_field`: Field name which contains inference results." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "W3sTZA8uAh7T" - }, - "source": [ - "## Create index\n", - "\n", - "The mapping of the destination index – the index that contains the embeddings that the model will create based on your input text – must be created. The destination index must have a field with the [dense_vector](https://www.elastic.co/guide/en/elasticsearch/reference/current/dense-vector.html) field type to index the output of the Cohere model.\n", - "\n", - "Let's create an index named `cohere-wiki-embeddings` with the mappings we need." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "qVA-oip9AqOn" - }, - "outputs": [], - "source": [ - "client.indices.delete(index=\"cohere-wiki-embeddings\", ignore_unavailable=True)\n", - "client.indices.create(\n", - " index=\"cohere-wiki-embeddings\",\n", - " settings={\"index\": {\"default_pipeline\": \"cohere_embeddings\"}},\n", - " mappings={\n", - " \"properties\": {\n", - " \"text_embedding\": {\n", - " \"type\": \"dense_vector\",\n", - " \"dims\": 1024,\n", - " \"element_type\": \"byte\"\n", - " },\n", - " \"text\": {\"type\": \"text\"},\n", - " \"wiki_id\": {\"type\": \"integer\"},\n", - " \"url\": {\"type\": \"text\"},\n", - " \"views\": {\"type\": \"float\"},\n", - " \"langs\": {\"type\": \"integer\"},\n", - " \"title\": {\"type\": \"text\"},\n", - " \"paragraph_id\": {\"type\": \"integer\"},\n", - " \"id\": {\"type\": \"integer\"}\n", - " }\n", - " },\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "PrMBgMAbBAUf" - }, - "source": [ - "## Insert Documents\n", - "\n", - "Let's insert our example wiki dataset. You need a production Cohere account to complete this step, otherwise the documentation ingest will time out due to the API request rate limits." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "qZJjDmsiBGM1" - }, - "outputs": [], - "source": [ - "url = \"https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/embed_jobs_sample_data.jsonl\"\n", - "response = requests.get(url)\n", - "\n", - "# Load the response data into a JSON object\n", - "jsonl_data = response.content.decode('utf-8').splitlines()\n", - "\n", - "# Prepare the documents to be indexed\n", - "documents = []\n", - "for line in jsonl_data:\n", - " data_dict = json.loads(line)\n", - " documents.append({\n", - " \"_index\": \"cohere-wiki-embeddings\",\n", - " \"_source\": data_dict,\n", - " }\n", - " )\n", - "\n", - "# Use the bulk endpoint to index\n", - "helpers.bulk(client, documents)\n", - "\n", - "print(\"Done indexing documents into `cohere-wiki-embeddings` index!\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RZqvFbphC8mk" - }, - "source": [ - "## Hybrid search\n", - "\n", - "After the dataset has been enriched with the embeddings, you can query the data using hybrid search.\n", - "\n", - "Pass a `query_vector_builder` to the k-nearest neighbor (kNN) vector search API, and provide the query text and the model you have used to create the embeddings." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "X7_rytHVDG9M" - }, - "outputs": [], - "source": [ - "query = \"When were the semi-finals of the 2022 FIFA world cup played?\"\n", - "\n", - "response = client.search(\n", - " index=\"cohere-wiki-embeddings\",\n", - " size=100,\n", - " knn={\n", - " \"field\": \"text_embedding\",\n", - " \"query_vector_builder\": {\n", - " \"text_embedding\": {\n", - " \"model_id\": \"cohere_embeddings\",\n", - " \"model_text\": query,\n", - " }\n", - " },\n", - " \"k\": 10,\n", - " \"num_candidates\": 50,\n", - " },\n", - " query={\n", - " \"multi_match\": {\n", - " \"query\": query,\n", - " \"fields\": [\"text\", \"title\"]\n", - " }\n", - " }\n", - ")\n", - "\n", - "raw_documents = response[\"hits\"][\"hits\"]\n", - "\n", - "# Display the first 10 results\n", - "for document in raw_documents[0:10]:\n", - " print(f'Title: {document[\"_source\"][\"title\"]}\\nText: {document[\"_source\"][\"text\"]}\\n')\n", - "\n", - "# Format the documents for ranking\n", - "documents = []\n", - "for hit in response[\"hits\"][\"hits\"]:\n", - " documents.append(hit[\"_source\"][\"text\"])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Bjac94OCE11n" - }, - "source": [ - "## Ranking\n", - "In order to effectively combine the results from our vector and BM25 retrieval, we can use Cohere's Rerank 3 model through the inference API to provide a final, more precise, semantic reranking of our results." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9edNoqn4Ge_U" - }, - "source": [ - "First, create an inference endpoint with your Cohere API key. Make sure to specify a name for your endpoint, and the model_id of one of the rerank models. In this example we will use Rerank 3." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "kqcetSgmgA-u" - }, - "outputs": [], - "source": [ - "# Delete the inference model if it already exists\n", - "client.options(ignore_status=[404]).inference.delete_model(inference_id=\"cohere_rerank\")\n", - "\n", - "client.inference.put_model(\n", - " task_type=\"rerank\",\n", - " inference_id=\"cohere_rerank\",\n", - " body={\n", - " \"service\": \"cohere\",\n", - " \"service_settings\":{\n", - " \"api_key\": COHERE_API_KEY,\n", - " \"model_id\": \"rerank-english-v3.0\"\n", - " },\n", - " \"task_settings\": {\n", - " \"top_n\": 10,\n", - " },\n", - " }\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VDPdDNejGs4d" - }, - "source": [ - "You can now rerank your results using that inference endpoint. Here we will pass in the query we used for retrieval, along with the documents we just retrieved using hybrid search.\n", - "\n", - "The inference service will respond with a list of documents in descending order of relevance. Each document has a corresponding index (reflecting to the order the documents were in when sent to the inference endpoint), and if the “return_documents” task setting is True, then the document texts will be included as well.\n", - "\n", - "In this case we will set the response to False and will reconstruct the input documents based on the index returned in the response." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Irn73NfjGjt7" - }, - "outputs": [], - "source": [ - "response = client.inference.inference(\n", - " inference_id=\"cohere_rerank\",\n", - " body={\n", - " \"query\": query,\n", - " \"input\": documents,\n", - " \"task_settings\": {\n", - " \"return_documents\": False\n", - " }\n", - " }\n", - ")\n", - "\n", - "# Reconstruct the input documents based on the index provided in the rereank response\n", - "ranked_documents = []\n", - "for document in response.body[\"rerank\"]:\n", - " ranked_documents.append({\n", - " \"title\": raw_documents[int(document[\"index\"])][\"_source\"][\"title\"],\n", - " \"text\": raw_documents[int(document[\"index\"])][\"_source\"][\"text\"]\n", - " })\n", - "\n", - "# Print the top 10 results\n", - "for document in ranked_documents[0:10]:\n", - " print(f\"Title: {document['title']}\\nText: {document['text']}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LWvscYT7Lj67" - }, - "source": [ - "# Retrieval augemented generation\n", - "\n", - "Now that we have ranked our results, we can easily turn this into a RAG system with Cohere's Chat API. Pass in the retrieved documents, along with the query and see the grounded response using Cohere's newest generative model Command R+." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "fPBvQCPKlNko" - }, - "source": [ - "First, we will create the Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hJU-fPR5QKxg" - }, - "outputs": [], - "source": [ - "co = cohere.Client(COHERE_API_KEY)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wRxJqil0QO0Y" - }, - "source": [ - "Next, we can easily get a grounded generation with citations from the Cohere Chat API. We simply pass in the user query and documents retrieved from Elastic to the API, and print out our grounded response." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Coj63Hyhle_T" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message=query,\n", - " documents=ranked_documents,\n", - " model='command-r-plus'\n", - ")\n", - "\n", - "source_documents = []\n", - "for citation in response.citations:\n", - " for document_id in citation.document_ids:\n", - " if document_id not in source_documents:\n", - " source_documents.append(document_id)\n", - "\n", - "print(f\"Query: {query}\")\n", - "print(f\"Response: {response.text}\")\n", - "print(\"Sources:\")\n", - "for document in response.documents:\n", - " if document['id'] in source_documents:\n", - " print(f\"{document['title']}: {document['text']}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2t5R9b-vRz74" - }, - "source": [ - "And there you have it! A quick and easy implementation of hybrid search and RAG with Cohere and Elastic." - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" - }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Cohere_Elastic_Guide.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Cohere_Redis_Guide.ipynb b/notebooks/Cohere_Redis_Guide.ipynb index 32651173..d6e0c646 100644 --- a/notebooks/Cohere_Redis_Guide.ipynb +++ b/notebooks/Cohere_Redis_Guide.ipynb @@ -1,345 +1,18 @@ { - "cells": [ - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Import the required packages for this tutorial\n", - "from redis import Redis\n", - "from redisvl.index import SearchIndex\n", - "from redisvl.schema import IndexSchema\n", - "from redisvl.utils.vectorize import CohereTextVectorizer\n", - "from redisvl.query import VectorQuery\n", - "from redisvl.query.filter import Tag, Text, Num\n", - "import jsonlines" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# initialize the Cohere Text Vectorizer\n", - "api_key='{Insert your API Key}'\n", - "\n", - "cohere_vectorizer = CohereTextVectorizer(\n", - " model=\"embed-english-v3.0\",\n", - " api_config={\"api_key\": api_key},\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "17:53:38 redisvl.index.index INFO Index already exists, overwriting.\n" - ] - } - ], - "source": [ - "# construct a search index from the schema - this schema is called \"semantic_search_demo\"\n", - "schema = IndexSchema.from_yaml(\"configs/redis_guide_schema.yaml\")\n", - "client = Redis.from_url(\"redis://localhost:6379\")\n", - "index = SearchIndex(schema, client)\n", - "\n", - "# create the index (no data yet)\n", - "index.create(overwrite=True)" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[32m17:57:31\u001b[0m \u001b[34m[RedisVL]\u001b[0m \u001b[1;30mINFO\u001b[0m Indices:\n", - "\u001b[32m17:57:31\u001b[0m \u001b[34m[RedisVL]\u001b[0m \u001b[1;30mINFO\u001b[0m 1. semantic_search_demo\n", - "\u001b[32m17:57:31\u001b[0m \u001b[34m[RedisVL]\u001b[0m \u001b[1;30mINFO\u001b[0m 2. user_index\n", - "\u001b[32m17:57:31\u001b[0m \u001b[34m[RedisVL]\u001b[0m \u001b[1;30mINFO\u001b[0m 3. demo\n", - "\u001b[32m17:57:31\u001b[0m \u001b[34m[RedisVL]\u001b[0m \u001b[1;30mINFO\u001b[0m 4. redis_final_demo\n", - "\u001b[32m17:57:31\u001b[0m \u001b[34m[RedisVL]\u001b[0m \u001b[1;30mINFO\u001b[0m 5. providers\n" - ] - } - ], - "source": [ - "# list all your indexes \n", - "!rvl index listall" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "Index Information:\n", - "╭──────────────────────┬────────────────┬────────────┬─────────────────┬────────────╮\n", - "│ Index Name │ Storage Type │ Prefixes │ Index Options │ Indexing │\n", - "├──────────────────────┼────────────────┼────────────┼─────────────────┼────────────┤\n", - "│ semantic_search_demo │ HASH │ ['rvl'] │ [] │ 0 │\n", - "╰──────────────────────┴────────────────┴────────────┴─────────────────┴────────────╯\n", - "Index Fields:\n", - "╭──────────────┬──────────────┬─────────┬────────────────┬────────────────┬────────────────┬────────────────┬────────────────┬────────────────┬─────────────────┬────────────────╮\n", - "│ Name │ Attribute │ Type │ Field Option │ Option Value │ Field Option │ Option Value │ Field Option │ Option Value │ Field Option │ Option Value │\n", - "├──────────────┼──────────────┼─────────┼────────────────┼────────────────┼────────────────┼────────────────┼────────────────┼────────────────┼─────────────────┼────────────────┤\n", - "│ url │ url │ TEXT │ WEIGHT │ 1 │ │ │ │ │ │ │\n", - "│ title │ title │ TAG │ SEPARATOR │ , │ │ │ │ │ │ │\n", - "│ text │ text │ TEXT │ WEIGHT │ 1 │ │ │ │ │ │ │\n", - "│ wiki_id │ wiki_id │ NUMERIC │ │ │ │ │ │ │ │ │\n", - "│ paragraph_id │ paragraph_id │ NUMERIC │ │ │ │ │ │ │ │ │\n", - "│ id │ id │ NUMERIC │ │ │ │ │ │ │ │ │\n", - "│ views │ views │ NUMERIC │ │ │ │ │ │ │ │ │\n", - "│ langs │ langs │ NUMERIC │ │ │ │ │ │ │ │ │\n", - "│ embedding │ embedding │ VECTOR │ algorithm │ FLAT │ data_type │ FLOAT32 │ dim │ 1024 │ distance_metric │ COSINE │\n", - "╰──────────────┴──────────────┴─────────┴────────────────┴────────────────┴────────────────┴────────────────┴────────────────┴────────────────┴─────────────────┴────────────────╯\n" - ] - } - ], - "source": [ - "# make sure the index matches our schema\n", - "!rvl index info -i semantic_search_demo" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "# read in your documents\n", - "jsonl_file_path='data/redis_guide_data.jsonl'\n", - "\n", - "corpus=[]\n", - "text_to_embed=[]\n", - "\n", - "with jsonlines.open(jsonl_file_path, mode='r') as reader:\n", - " for line in reader: \n", - " corpus.append(line)\n", - " # we want to store the embeddings of the field called `text`\n", - " text_to_embed.append(line['text'])\n", - "\n", - "# call embed_many which returns an array \n", - "# hash data structures get serialized as a string and thus we store the embeddings in hashes as a byte string (handled by numpy)\n", - "res=cohere_vectorizer.embed_many(text_to_embed, input_type='search_document', as_buffer=True)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# contruct the data payload to be uploaded to your index\n", - "data = [{\"url\": row['url'],\n", - " \"title\": row['title'],\n", - " \"text\": row['text'],\n", - " \"wiki_id\": row['wiki_id'],\n", - " \"paragraph_id\": row['paragraph_id'],\n", - " \"id\":row['id'],\n", - " \"views\":row['views'],\n", - " \"langs\":row['langs'],\n", - " \"embedding\":v}\n", - " for row, v in zip(corpus, res)]\n", - "\n", - "# load the data into your index\n", - "index.load(data)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Title:Microsoft Office\n", - "Text:On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "Distance 0.425565302372\n", - "\n", - "\n", - "Title:Microsoft Office\n", - "Text:On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "Distance 0.425565302372\n", - "\n", - "\n", - "Title:Microsoft Office\n", - "Text:On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "Distance 0.425565302372\n", - "\n", - "\n", - "Title:Microsoft Office\n", - "Text:The first Preview version of Microsoft Office 2016 for Mac was released on March 5, 2015. On July 9, 2015, Microsoft released the final version of Microsoft Office 2016 for Mac which includes Word, Excel, PowerPoint, Outlook and OneNote. It was immediately made available for Office 365 subscribers with either a Home, Personal, Business, Business Premium, E3 or ProPlus subscription. A non–Office 365 edition of Office 2016 was made available as a one-time purchase option on September 22, 2015.\n", - "Distance 0.447538018227\n", - "\n", - "\n", - "Title:Microsoft Office\n", - "Text:The first Preview version of Microsoft Office 2016 for Mac was released on March 5, 2015. On July 9, 2015, Microsoft released the final version of Microsoft Office 2016 for Mac which includes Word, Excel, PowerPoint, Outlook and OneNote. It was immediately made available for Office 365 subscribers with either a Home, Personal, Business, Business Premium, E3 or ProPlus subscription. A non–Office 365 edition of Office 2016 was made available as a one-time purchase option on September 22, 2015.\n", - "Distance 0.447538018227\n", - "\n", - "\n" - ] - } - ], - "source": [ - "# use the Cohere vectorizer again to create a query embedding\n", - "query_embedding = cohere_vectorizer.embed(\"What did Microsoft release in 2015?\", input_type='search_query',as_buffer=True)\n", - "\n", - "\n", - "query = VectorQuery(\n", - " vector=query_embedding,\n", - " vector_field_name=\"embedding\",\n", - " return_fields=[\"url\",\"wiki_id\",\"paragraph_id\",\"id\",\"views\",\"langs\",\"title\",\"text\",],\n", - " num_results=5\n", - ")\n", - "\n", - "results = index.query(query)\n", - "\n", - "for doc in results:\n", - " print(f\"Title:{doc['title']}\\nText:{doc['text']}\\nDistance {doc['vector_distance']}\\n\\n\")" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Title:Microsoft Office\n", - "Text:On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "Distance 0.425565302372\n", - "\n", - "Title:Microsoft Office\n", - "Text:On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "Distance 0.425565302372\n", - "\n", - "Title:Microsoft Office\n", - "Text:On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "Distance 0.425565302372\n", - "\n", - "Title:Microsoft Office\n", - "Text:The first Preview version of Microsoft Office 2016 for Mac was released on March 5, 2015. On July 9, 2015, Microsoft released the final version of Microsoft Office 2016 for Mac which includes Word, Excel, PowerPoint, Outlook and OneNote. It was immediately made available for Office 365 subscribers with either a Home, Personal, Business, Business Premium, E3 or ProPlus subscription. A non–Office 365 edition of Office 2016 was made available as a one-time purchase option on September 22, 2015.\n", - "Distance 0.447538018227\n", - "\n", - "Title:Microsoft Office\n", - "Text:The first Preview version of Microsoft Office 2016 for Mac was released on March 5, 2015. On July 9, 2015, Microsoft released the final version of Microsoft Office 2016 for Mac which includes Word, Excel, PowerPoint, Outlook and OneNote. It was immediately made available for Office 365 subscribers with either a Home, Personal, Business, Business Premium, E3 or ProPlus subscription. A non–Office 365 edition of Office 2016 was made available as a one-time purchase option on September 22, 2015.\n", - "Distance 0.447538018227\n", - "\n" - ] - } - ], - "source": [ - "# Initialize a tag filter\n", - "tag_filter = Tag(\"title\") == \"Microsoft Office\"\n", - "\n", - "# set the tag filter on our existing query \n", - "query.set_filter(tag_filter)\n", - "\n", - "results = index.query(query)\n", - "\n", - "for doc in results:\n", - " print(f\"Title:{doc['title']}\\nText:{doc['text']}\\nDistance {doc['vector_distance']}\\n\")" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Title:Elizabeth II\n", - "Text:Elizabeth was born on 21 April 1926, the first child of Prince Albert, Duke of York (later King George VI), and his wife, Elizabeth, Duchess of York (later Queen Elizabeth The Queen Mother). Her father was the second son of King George V and Queen Mary, and her mother was the youngest daughter of Scottish aristocrat Claude Bowes-Lyon, 14th Earl of Strathmore and Kinghorne. She was delivered at 02:40 (GMT) by Caesarean section at her maternal grandfather's London home, 17 Bruton Street in Mayfair. The Anglican Archbishop of York, Cosmo Gordon Lang, baptised her in the private chapel of Buckingham Palace on 29 May, and she was named Elizabeth after her mother; Alexandra after her paternal great-grandmother, who had died six months earlier; and Mary after her paternal grandmother. She was called \"Lilibet\" by her close family, based on what she called herself at first. She was cherished by her grandfather George V, whom she affectionately called \"Grandpa England\", and her regular visits during his serious illness in 1929 were credited in the popular press and by later biographers with raising his spirits and aiding his recovery.\n", - "Distance 0.553019762039\n", - "View 4912.77372605\n", - "\n", - "Title:Elizabeth II\n", - "Text:Elizabeth was born on 21 April 1926, the first child of Prince Albert, Duke of York (later King George VI), and his wife, Elizabeth, Duchess of York (later Queen Elizabeth The Queen Mother). Her father was the second son of King George V and Queen Mary, and her mother was the youngest daughter of Scottish aristocrat Claude Bowes-Lyon, 14th Earl of Strathmore and Kinghorne. She was delivered at 02:40 (GMT) by Caesarean section at her maternal grandfather's London home, 17 Bruton Street in Mayfair. The Anglican Archbishop of York, Cosmo Gordon Lang, baptised her in the private chapel of Buckingham Palace on 29 May, and she was named Elizabeth after her mother; Alexandra after her paternal great-grandmother, who had died six months earlier; and Mary after her paternal grandmother. She was called \"Lilibet\" by her close family, based on what she called herself at first. She was cherished by her grandfather George V, whom she affectionately called \"Grandpa England\", and her regular visits during his serious illness in 1929 were credited in the popular press and by later biographers with raising his spirits and aiding his recovery.\n", - "Distance 0.553019762039\n", - "View 4912.77372605\n", - "\n", - "Title:Elizabeth II\n", - "Text:Elizabeth was born on 21 April 1926, the first child of Prince Albert, Duke of York (later King George VI), and his wife, Elizabeth, Duchess of York (later Queen Elizabeth The Queen Mother). Her father was the second son of King George V and Queen Mary, and her mother was the youngest daughter of Scottish aristocrat Claude Bowes-Lyon, 14th Earl of Strathmore and Kinghorne. She was delivered at 02:40 (GMT) by Caesarean section at her maternal grandfather's London home, 17 Bruton Street in Mayfair. The Anglican Archbishop of York, Cosmo Gordon Lang, baptised her in the private chapel of Buckingham Palace on 29 May, and she was named Elizabeth after her mother; Alexandra after her paternal great-grandmother, who had died six months earlier; and Mary after her paternal grandmother. She was called \"Lilibet\" by her close family, based on what she called herself at first. She was cherished by her grandfather George V, whom she affectionately called \"Grandpa England\", and her regular visits during his serious illness in 1929 were credited in the popular press and by later biographers with raising his spirits and aiding his recovery.\n", - "Distance 0.553019762039\n", - "View 4912.77372605\n", - "\n", - "Title:Elizabeth II\n", - "Text:Elizabeth was born in Mayfair, London, as the first child of the Duke and Duchess of York (later King George VI and Queen Elizabeth The Queen Mother). Her father acceded to the throne in 1936 upon the abdication of his brother Edward VIII, making the ten-year-old Princess Elizabeth the heir presumptive. She was educated privately at home and began to undertake public duties during the Second World War, serving in the Auxiliary Territorial Service. In November 1947, she married Philip Mountbatten, a former prince of Greece and Denmark, and their marriage lasted 73 years until his death in 2021. They had four children: Charles, Anne, Andrew, and Edward.\n", - "Distance 0.573408603668\n", - "View 4912.77372605\n", - "\n", - "Title:Elizabeth II\n", - "Text:Elizabeth was born in Mayfair, London, as the first child of the Duke and Duchess of York (later King George VI and Queen Elizabeth The Queen Mother). Her father acceded to the throne in 1936 upon the abdication of his brother Edward VIII, making the ten-year-old Princess Elizabeth the heir presumptive. She was educated privately at home and began to undertake public duties during the Second World War, serving in the Auxiliary Territorial Service. In November 1947, she married Philip Mountbatten, a former prince of Greece and Denmark, and their marriage lasted 73 years until his death in 2021. They had four children: Charles, Anne, Andrew, and Edward.\n", - "Distance 0.573408603668\n", - "View 4912.77372605\n", - "\n" - ] - } - ], - "source": [ - "# use a filter expression for a more complex filter\n", - "# define a tag match on the title, text match on the text field, and numeric filter on the views field\n", - "filter_data=(Tag('title')=='Elizabeth II') & (Text(\"text\")% \"born\") & (Num(\"views\")>4500)\n", - "\n", - "query_embedding = cohere_vectorizer.embed(\"When was she born?\", input_type='search_query',as_buffer=True)\n", - "\n", - "# reinitialize the query with the filter expression\n", - "query = VectorQuery(\n", - " vector=query_embedding,\n", - " vector_field_name=\"embedding\",\n", - " return_fields=[\"url\",\"wiki_id\",\"paragraph_id\",\"id\",\"views\",\"langs\",\"title\",\"text\",],\n", - " num_results=5, \n", - " filter_expression=filter_data\n", - ")\n", - "\n", - "results = index.query(query)\n", - "\n", - "for doc in results:\n", - " print(f\"Title:{doc['title']}\\nText:{doc['text']}\\nDistance {doc['vector_distance']}\\nView {doc['views']}\\n\")" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.18" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Cohere_Redis_Guide.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Embed_Jobs_Semantic_Search.ipynb b/notebooks/Embed_Jobs_Semantic_Search.ipynb index 03f23e43..7bc672ff 100644 --- a/notebooks/Embed_Jobs_Semantic_Search.ipynb +++ b/notebooks/Embed_Jobs_Semantic_Search.ipynb @@ -1,320 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "ig3hmpIt8ptJ" - }, - "source": [ - "# Semantic Search with Cohere Embed Jobs" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "fc94ylzpucDm" - }, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"\n", - "! pip install \"cohere<5\" hnswlib -q" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Qu9a_jwZ5Zop" - }, - "outputs": [], - "source": [ - "import time\n", - "import cohere\n", - "import hnswlib\n", - "co = cohere.Client('COHERE_API_KEY')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Fgqhh1kk8mnY" - }, - "source": [ - "## Step 1: Upload a dataset" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "kogkZnDEnK8B", - "outputId": "646b4508-8111-42ad-a9b2-3425da1ae5ff" - }, - "outputs": [ + "cells": [ { - "name": "stderr", - "output_type": "stream", - "text": [ - "uploading file, starting validation...\n", - "sample-file-hca4x0 was uploaded\n", - "...\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Embed_Jobs_Semantic_Search.ipynb." + ] } - ], - "source": [ - "# Upload a dataset for embed jobs\n", - "# This sample dataset has wikipedia articles on the following: Youtube, United States, United Kingdom, Elizabeth II, Wikipedia, 2022 FIFA World Cup, Microsoft Office, India, Christiano Ronaldo, Cleopatra, Instagram, Facebook, and Ukraine\n", - "\n", - "dataset_file_path = \"data/embed_jobs_sample_data.jsonl\" # Full path - https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/embed_jobs_sample_data.jsonl\n", - "\n", - "ds=co.create_dataset(\n", - "\tname='sample_file',\n", - "\tdata=open(dataset_file_path, 'rb'),\n", - "\tkeep_fields = ['id','wiki_id'],\n", - "\tdataset_type=\"embed-input\"\n", - "\t)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "3lAS4bcvCplJ", - "outputId": "5e1fcd0b-4880-43ed-d8a4-03148b2ef047" - }, - "outputs": [], - "source": [ - "print(ds.await_validation())" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "QgD2QCJk9kUN" - }, - "source": [ - "## Step 2: Create embeddings via Cohere's Embed Jobs endpoint" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "yMYoU9y55m2D", - "outputId": "fd58014d-a9e3-4a3f-ca4b-966880dec412" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "...\n", - "...\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Dataset has been uploaded, create an embed job and specify the input type as \"search document\" since this will live in your Pinecone DB\n", - "job = co.create_embed_job(\n", - " dataset_id=ds.id,\n", - " input_type='search_document' ,\n", - " model='embed-english-v3.0', \n", - " embeddings_types=['float'])\n", - "\n", - "job.wait() # poll the server until the job is completed " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "LEevvN2XCUpR", - "outputId": "d123c0a4-0c6a-4235-9ba8-658d5d3ec358" - }, - "outputs": [], - "source": [ - "print(job)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ZVf1njJ4952G" - }, - "source": [ - "## Step 3: Download and prepare the embeddings" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "0FdyxbcR5uci" - }, - "outputs": [], - "source": [ - "# Save down the output of the job\n", - "embeddings_file_path = 'embed_jobs_output.csv'\n", - "output_dataset=co.get_dataset(job.output.id)\n", - "output_dataset.save(filepath=embeddings_file_path, format=\"csv\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "VvheIBdJ6FC_" - }, - "outputs": [], - "source": [ - "# Add the results\n", - "embeddings=[]\n", - "texts=[]\n", - "for record in output_dataset:\n", - " embeddings.append(record['embeddings']['float'])\n", - " texts.append(record['text'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-O-Yz8MS-DFz" - }, - "source": [ - "## Step 4: Initialize Hnwslib index and add embeddings" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "_vGfX8aQ9uD1" - }, - "outputs": [], - "source": [ - "# Create the hnsw index\n", - "index = hnswlib.Index(space='ip', dim=1024)\n", - "index.init_index(max_elements=len(embeddings), ef_construction=512, M=64)\n", - "index.add_items(embeddings,list(range(len(embeddings))))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yN5qBoVX-M7g" - }, - "source": [ - "## Step 5: Query the index and rerank the results" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "5NWR4MJUBQHW" - }, - "outputs": [], - "source": [ - "# Query the Database\n", - "query = \"What was the first youtube video about?\"\n", - "\n", - "# Convert the query into embeddings\n", - "query_emb=co.embed(\n", - " texts=[query], model=\"embed-english-v3.0\", input_type=\"search_query\"\n", - " ).embeddings\n", - "\n", - "# Retrieve the initial results from your vector db\n", - "doc_index = index.knn_query(query_emb, k=10)[0][0]\n", - "\n", - "# From the doc_index, get the text from each index and then pass the text into rerank\n", - "docs_to_rerank = []\n", - "for index in doc_index:\n", - " docs_to_rerank.append(texts[index])\n", - "\n", - "final_result = co.rerank(\n", - " query= query,\n", - " documents=docs_to_rerank,\n", - " model=\"rerank-english-v2.0\",\n", - " top_n=3)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Oya4CRdE-WDU" - }, - "source": [ - "## Step 6: Display the results" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "rvs0Se2wER1a", - "outputId": "eeb3e148-af79-46c0-ca3b-ae9facde5fbc" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Document Rank: 1, Document Index: 0\n", - "Document: YouTube began as a venture capital–funded technology startup. Between November 2005 and April 2006, the company raised money from various investors, with Sequoia Capital, $11.5 million, and Artis Capital Management, $8 million, being the largest two. YouTube's early headquarters were situated above a pizzeria and a Japanese restaurant in San Mateo, California. In February 2005, the company activated codice_1. The first video was uploaded April 23, 2005. Titled \"Me at the zoo\", it shows co-founder Jawed Karim at the San Diego Zoo and can still be viewed on the site. In May, the company launched a public beta and by November, a Nike ad featuring Ronaldinho became the first video to reach one million total views. The site launched officially on December 15, 2005, by which time the site was receiving 8 million views a day. Clips at the time were limited to 100 megabytes, as little as 30 seconds of footage.\n", - "Relevance Score: 0.94815\n", - "\n", - "\n", - "Document Rank: 2, Document Index: 1\n", - "Document: Karim said the inspiration for YouTube first came from the Super Bowl XXXVIII halftime show controversy when Janet Jackson's breast was briefly exposed by Justin Timberlake during the halftime show. Karim could not easily find video clips of the incident and the 2004 Indian Ocean Tsunami online, which led to the idea of a video-sharing site. Hurley and Chen said that the original idea for YouTube was a video version of an online dating service, and had been influenced by the website Hot or Not. They created posts on Craigslist asking attractive women to upload videos of themselves to YouTube in exchange for a $100 reward. Difficulty in finding enough dating videos led to a change of plans, with the site's founders deciding to accept uploads of any video.\n", - "Relevance Score: 0.91626\n", - "\n", - "\n", - "Document Rank: 3, Document Index: 2\n", - "Document: YouTube was not the first video-sharing site on the Internet; Vimeo was launched in November 2004, though that site remained a side project of its developers from CollegeHumor at the time and did not grow much, either. The week of YouTube's launch, NBC-Universal's \"Saturday Night Live\" ran a skit \"Lazy Sunday\" by The Lonely Island. Besides helping to bolster ratings and long-term viewership for \"Saturday Night Live\", \"Lazy Sunday\"'s status as an early viral video helped establish YouTube as an important website. Unofficial uploads of the skit to YouTube drew in more than five million collective views by February 2006 before they were removed when NBCUniversal requested it two months later based on copyright concerns. Despite eventually being taken down, these duplicate uploads of the skit helped popularize YouTube's reach and led to the upload of more third-party content. The site grew rapidly; in July 2006, the company announced that more than 65,000 new videos were being uploaded every day and that the site was receiving 100 million video views per day.\n", - "Relevance Score: 0.90665\n", - "\n", - "\n" - ] - } - ], - "source": [ - "# Output Results\n", - "for idx, r in enumerate(final_result):\n", - " print(f\"Document Rank: {idx + 1}, Document Index: {r.index}\")\n", - " print(f\"Document: {r.document['text']}\")\n", - " print(f\"Relevance Score: {r.relevance_score:.5f}\")\n", - " print(\"\\n\")" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Embed_Jobs_Serverless_Pinecone_Semantic_Search.ipynb b/notebooks/Embed_Jobs_Serverless_Pinecone_Semantic_Search.ipynb index cc883275..b25cfead 100644 --- a/notebooks/Embed_Jobs_Serverless_Pinecone_Semantic_Search.ipynb +++ b/notebooks/Embed_Jobs_Serverless_Pinecone_Semantic_Search.ipynb @@ -1,509 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "frQ5it0RmB0_" - }, - "source": [ - "# Semantic Search with Cohere Embed Jobs and Pinecone serverless Solution" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Fdhi1O4lrqaV" - }, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"\n", - "! pip install \"cohere<5\" \"pinecone-client>3.2.1\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "N_bYWQORGuMM", - "outputId": "ee9f81f3-e896-46ff-e287-48502cff0b29" - }, - "outputs": [ + "cells": [ { - "name": "stderr", - "output_type": "stream", - "text": [ - "/usr/local/lib/python3.10/dist-packages/pinecone/data/index.py:1: TqdmExperimentalWarning: Using `tqdm.autonotebook.tqdm` in notebook mode. Use `tqdm.tqdm` instead to force console mode (e.g. in jupyter console)\n", - " from tqdm.autonotebook import tqdm\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Embed_Jobs_Serverless_Pinecone_Semantic_Search.ipynb." + ] } - ], - "source": [ - "import os\n", - "import json\n", - "import time\n", - "import numpy as np\n", - "import cohere\n", - "from pinecone import Pinecone\n", - "\n", - "co = cohere.Client('COHERE_API_KEY')\n", - "pc = Pinecone(\n", - " api_key=\"PINECONE_API_KEY\", \n", - " source_tag=\"cohere\"\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "XulUR7tt69RM" - }, - "source": [ - "## Step 1: Upload a dataset" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "G677sKZc6NDv", - "outputId": "e4bfd9ca-590a-4cf7-d9cf-3657a16e2a7f" - }, - "outputs": [], - "source": [ - "# Upload a dataset for embed jobs\n", - "dataset_file_path = \"data/embed_jobs_sample_data.jsonl\" # Full path - https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/embed_jobs_sample_data.jsonl\n", - "\n", - "ds=co.create_dataset(\n", - "\tname='sample_file',\n", - "\t# insert your file path here - you can upload it on the right - we accept .csv and jsonl files\n", - "\tdata=open(dataset_file_path, 'rb'),\n", - "\tdataset_type=\"embed-input\"\n", - "\t)\n", - "\n", - "print(ds.await_validation())" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "5VAoaVo47Bmi" - }, - "source": [ - "## Step 2: Create embeddings via Cohere's Embed Jobs endpoint" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "77Vw5BdWGzFl", - "outputId": "a5e0c9f5-8172-4b80-a8ea-aa4e763fdeda" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "...\n", - "...\n" - ] - } - ], - "source": [ - "# Dataset has been uploaded, create an embed job and specify the input type as \"search document\" since this will live in your Pinecone DB\n", - "job = co.create_embed_job(dataset_id=ds.id,\n", - " input_type='search_document',\n", - " model='embed-english-v3.0',\n", - " embeddings_types=['float'])\n", - "\n", - "job.wait() # poll the server until the job is completed " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "d__jANfVvNld", - "outputId": "c4dcd936-c338-47b3-994d-71cdceaa6796" - }, - "outputs": [], - "source": [ - "print(job)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "UlEVKOCG7ZsN" - }, - "source": [ - "## Step 3: Prepare embeddings for upsert" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "vDvntjsnG_DX" - }, - "outputs": [], - "source": [ - "# Load the output file into an array\n", - "output_dataset=co.get_dataset(job.output.id)\n", - "data_array = []\n", - "for record in output_dataset:\n", - " data_array.append(record)\n", - "\n", - "# Take the output and format it in the shape for upserting into Pinecone's DB\n", - "ids = [str(i) for i in range(len(data_array))]\n", - "meta = [{'text':str(data_array[i]['text'])} for i in range(len(data_array))]\n", - "embeds=[np.float32(data_array[i]['embeddings']['float']) for i in range(len(data_array))]\n", - "\n", - "to_upsert = list(zip(ids, embeds, meta))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "pQwXK4xt7lls" - }, - "source": [ - "## Step 4: Initialize Pinecone vector database" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "GrLN8Y_THEBH" - }, - "outputs": [], - "source": [ - "# Initialize your Pinecone Vector DB\n", - "from pinecone import ServerlessSpec\n", - "\n", - "index_name = \"embed-jobs-serverless-test-example\"\n", - "\n", - "# A new property 'spec' is used to tell Pinecone how we should deploy your index.\n", - "pc.create_index(\n", - "name=index_name,\n", - "dimension=1024,\n", - "metric=\"cosine\",\n", - "spec=ServerlessSpec(cloud='aws', region='us-west-2')\n", - ")\n", - "\n", - "# Target your new serverless index.\n", - "idx = pc.Index(index_name)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "W2QMVhoM7o-5" - }, - "source": [ - "## Step 5: Upsert embeddings into the index" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "JaVrXHZ3IjiN", - "outputId": "716ad587-2ee1-475a-f1e5-9a0b23d2df87" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'dimension': 1024,\n", - " 'index_fullness': 0.0,\n", - " 'namespaces': {'': {'vector_count': 3664}},\n", - " 'total_vector_count': 3664}\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Upsert your data into the index\n", - "batch_size = 128\n", - "\n", - "for i in range(0, len(data_array), batch_size):\n", - " i_end = min(i+batch_size, len(data_array))\n", - " idx.upsert(vectors=to_upsert[i:i_end])\n", - "\n", - "# let's view the index statistics\n", - "print(idx.describe_index_stats())" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AtT1Yo_i8CZc" - }, - "source": [ - "## Step 6: Query the index" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "t-A82Z1EIrKR", - "outputId": "ed708eef-0c0b-4688-f0d7-f5fc39219848" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "(1, 1024)\n" - ] - } - ], - "source": [ - "# Let's query the database\n", - "query = \"What did Microsoft announce in Las Vegas?\"\n", - "\n", - "# create the query embedding\n", - "xq = co.embed(\n", - " texts=[query],\n", - " model='embed-english-v3.0',\n", - " input_type='search_query',\n", - " truncate='END'\n", - ").embeddings\n", - "\n", - "print(np.array(xq).shape)\n", - "\n", - "# query, returning the top 20 most similar results\n", - "res = idx.query(xq, top_k=20, include_metadata=True)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "pXhvxHwj5nX2", - "outputId": "422948e1-a554-4bd2-9d28-076df6f5c3ee" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.48: On October 22, 2012, Microsoft announced the release of new features including co-authoring, performance improvements and touch support.\n", - "0.45: On May 2, 2019, at F8, the company announced its new vision with the tagline \"the future is private\". A redesign of the website and mobile app was introduced, dubbed as \"FB5\". The event also featured plans for improving groups, a dating platform, end-to-end encryption on its platforms, and allowing users on Messenger to communicate directly with WhatsApp and Instagram users.\n", - "0.42: On July 13, 2009, Microsoft announced at its Worldwide Partners Conference 2009 in New Orleans that Microsoft Office 2010 reached its \"Technical Preview\" development milestone and features of Office Web Apps were demonstrated to the public for the first time. Additionally, Microsoft announced that Office Web Apps would be made available to consumers online and free of charge, while Microsoft Software Assurance customers will have the option of running them on premises. Office 2010 beta testers were not given access to Office Web Apps at this date, and it was announced that it would be available for testers during August 2009. However, in August 2009, a Microsoft spokesperson stated that there had been a delay in the release of Office Web Apps Technical Preview and it would not be available by the end of August.\n", - "0.42: On January 17, 2017, Facebook COO Sheryl Sandberg planned to open Station F, a startup incubator campus in Paris, France. On a six-month cycle, Facebook committed to work with ten to 15 data-driven startups there. On April 18, Facebook announced the beta launch of at its annual F8 developer conference. Facebook Spaces is a virtual reality version of Facebook for Oculus VR goggles. In a virtual and shared space, users can access a curated selection of 360-degree photos and videos using their avatar, with the support of the controller. Users can access their own photos and videos, along with media shared on their newsfeed. In September, Facebook announced it would spend up to US$1 billion on original shows for its Facebook Watch platform. On October 16, it acquired the anonymous compliment app tbh, announcing its intention to leave the app independent.\n", - "0.41: On September 26, 2017, Microsoft announced that the next version of the suite for Windows desktop, Office 2019, was in development. On April 27, 2018, Microsoft released Office 2019 Commercial Preview for Windows 10. It was released to general availability for Windows 10 and for macOS on September 24, 2018.\n", - "0.41: Microsoft Office, or simply Office, is the former name of a family of client software, server software, and services developed by Microsoft. It was first announced by Bill Gates on August 1, 1988, at COMDEX in Las Vegas. Initially a marketing term for an office suite (bundled set of productivity applications), the first version of Office contained Microsoft Word, Microsoft Excel, and Microsoft PowerPoint. Over the years, Office applications have grown substantially closer with shared features such as a common spell checker, Object Linking and Embedding data integration and Visual Basic for Applications scripting language. Microsoft also positions Office as a development platform for line-of-business software under the Office Business Applications brand.\n", - "0.40: On August 12, 2009, it was announced that Office Mobile would also be released for the Symbian platform as a joint agreement between Microsoft and Nokia. It was the first time Microsoft would develop Office mobile applications for another smartphone platform. The first application to appear on Nokia Eseries smartphones was Microsoft Office Communicator. In February 2012, Microsoft released OneNote, Lync 2010, Document Connection and PowerPoint Broadcast for Symbian. In April, Word Mobile, PowerPoint Mobile and Excel Mobile joined the Office Suite.\n", - "0.40: In 2010, Microsoft introduced a software as a service platform known as Office 365, to provide cloud-hosted versions of Office's server software, including Exchange e-mail and SharePoint, on a subscription basis (competing in particular with Google Apps). Following the release of Office 2013, Microsoft began to offer Office 365 plans for the consumer market, with access to Microsoft Office software on multiple devices with free feature updates over the life of the subscription, as well as other services such as OneDrive storage.\n", - "0.40: On April 12, 2016, Zuckerberg outlined his 10-year vision, which rested on three main pillars: artificial intelligence, increased global connectivity, and virtual and augmented reality. In July, a suit was filed against the company alleging that it permitted Hamas to use it to perform assaults that cost the lives of four people. Facebook released its blueprints of Surround 360 camera on GitHub under an open-source license. In September, it won an Emmy for its animated short \"Henry\". In October, Facebook announced a fee-based communications tool called Workplace that aims to \"connect everyone\" at work. Users can create profiles, see updates from co-workers on their news feed, stream live videos and participate in secure group chats.\n", - "0.40: On January 22, 2015, the Microsoft Office blog announced that the next version of the suite for Windows desktop, Office 2016, was in development. On May 4, 2015, a public preview of Microsoft Office 2016 was released. Office 2016 was released for Mac OS X on July 9, 2015 and for Windows on September 22, 2015.\n", - "0.39: On November 6, 2013, Microsoft announced further new features including \"real-time\" co-authoring and an Auto-Save feature in Word (replacing the save button).\n", - "0.39: In February 2014, Office Web Apps were re-branded Office Online and incorporated into other Microsoft web services, including Calendar, OneDrive, Outlook.com, and People. Microsoft had previously attempted to unify its online services suite (including Microsoft Passport, Hotmail, MSN Messenger, and later SkyDrive) under a brand known as Windows Live, first launched in 2005. However, with the impending launch of Windows 8 and its increased use of cloud services, Microsoft dropped the Windows Live brand to emphasize that these services would now be built directly into Windows and not merely be a \"bolted on\" add-on. Critics had criticized the Windows Live brand for having no clear vision, as it was being applied to an increasingly broad array of unrelated services. At the same time, Windows Live Hotmail was re-launched as Outlook.com (sharing its name with the Microsoft Outlook personal information manager).\n", - "0.39: On February 18, 2021, Microsoft announced that the next version of the suite for Windows desktop, Office 2021, was in development. This new version will be supported for five years and was released on October 5, 2021.\n", - "0.38: Since Office 2013, Microsoft has promoted Office 365 as the primary means of obtaining Microsoft Office: it allows the use of the software and other services on a subscription business model, and users receive feature updates to the software for the lifetime of the subscription, including new features and cloud computing integration that are not necessarily included in the \"on-premises\" releases of Office sold under conventional license terms. In 2017, revenue from Office 365 overtook conventional license sales. Microsoft also rebranded most of their standard Office 365 editions as \"Microsoft 365\" to reflect their inclusion of features and services beyond the core Microsoft Office suite.\n", - "0.38: Microsoft has since promoted Office 365 as the primary means of purchasing Microsoft Office. Although there are still \"on-premises\" releases roughly every three years, Microsoft marketing emphasizes that they do not receive new features or access to new cloud-based services as they are released unlike Office 365, as well as other benefits for consumer and business markets. Office 365 revenue overtook traditional license sales for Office in 2017.\n", - "0.38: A technical preview of Microsoft Office 2013 (Build 15.0.3612.1010) was released on January 30, 2012, and a Customer Preview version was made available to consumers on July 16, 2012. It sports a revamped application interface; the interface is based on Metro, the interface of Windows Phone and Windows 8. Microsoft Outlook has received the most pronounced changes so far; for example, the Metro interface provides a new visualization for scheduled tasks. PowerPoint includes more templates and transition effects, and OneNote includes a new splash screen.\n", - "0.38: On January 21, 2015, during the \"Windows 10: The Next Chapter\" press event, Microsoft unveiled Office for Windows 10, Windows Runtime ports of the Android and iOS versions of the Office Mobile suite. Optimized for smartphones and tablets, they are universal apps that can run on both Windows and Windows for phones, and share similar underlying code. A simplified version of Outlook was also added to the suite. They will be bundled with Windows 10 mobile devices, and available from the Windows Store for the PC version of Windows 10. Although the preview versions were free for most editing, the release versions will require an Office 365 subscription on larger tablets (screen size larger than 10.1 inches) and desktops for editing, as with large Android tablets. Smaller tablets and phones will have most editing features for free.\n", - "0.38: In May 2018 at F8, the company announced it would offer its own dating service. Shares in competitor Match Group fell by 22%. Facebook Dating includes privacy features and friends are unable to view their friends' dating profile. In July, Facebook was charged £500,000 by UK watchdogs for failing to respond to data erasure requests. On July 18, Facebook established a subsidiary named Lianshu Science & Technology in Hangzhou City, China, with $30 million ($ in dollars) of capital. All its shares are held by Facebook Hong. Approval of the registration of the subsidiary was then withdrawn, due to a disagreement between officials in Zhejiang province and the Cyberspace Administration of China. On July 26, Facebook became the first company to lose over $100 billion ($ in dollars) worth of market capitalization in one day, dropping from nearly $630 billion to $510 billion after disappointing sales reports. On July 31, Facebook said that the company had deleted 17 accounts related to the 2018 U.S. midterm elections. On September 19, Facebook announced that, for news distribution outside the United States, it would work with U.S. funded democracy promotion organizations, International Republican Institute and the National Democratic Institute, which are loosely affiliated with the Republican and Democratic parties. Through the Digital Forensic Research Lab Facebook partners with the Atlantic Council, a NATO-affiliated think tank. In November, Facebook launched smart displays branded Portal and Portal Plus (Portal+). They support Amazon's Alexa (intelligent personal assistant service). The devices include video chat function with Facebook Messenger.\n", - "0.37: The first Preview version of Microsoft Office 2016 for Mac was released on March 5, 2015. On July 9, 2015, Microsoft released the final version of Microsoft Office 2016 for Mac which includes Word, Excel, PowerPoint, Outlook and OneNote. It was immediately made available for Office 365 subscribers with either a Home, Personal, Business, Business Premium, E3 or ProPlus subscription. A non–Office 365 edition of Office 2016 was made available as a one-time purchase option on September 22, 2015.\n", - "0.37: In October 2022, Microsoft announced that it will phase out the Microsoft Office brand in favor of \"Microsoft 365\" by January 2023. The name will continue to be used for legacy product offerings.\n" - ] - } - ], - "source": [ - "# Look at the initial retrieval results\n", - "for match in res['matches']:\n", - " print(f\"{match['score']:.2f}: {match['metadata']['text']}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DyevqtBB8KyU" - }, - "source": [ - "## Step 7: Rerank the retrieved results" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Rqo6YdzJI0ny", - "outputId": "aac1de9a-9cae-4c4c-f4a3-fb87583f62f2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.99: Microsoft Office, or simply Office, is the former name of a family of client software, server software, and services developed by Microsoft. It was first announced by Bill Gates on August 1, 1988, at COMDEX in Las Vegas. Initially a marketing term for an office suite (bundled set of productivity applications), the first version of Office contained Microsoft Word, Microsoft Excel, and Microsoft PowerPoint. Over the years, Office applications have grown substantially closer with shared features such as a common spell checker, Object Linking and Embedding data integration and Visual Basic for Applications scripting language. Microsoft also positions Office as a development platform for line-of-business software under the Office Business Applications brand.\n", - "0.93: On January 21, 2015, during the \"Windows 10: The Next Chapter\" press event, Microsoft unveiled Office for Windows 10, Windows Runtime ports of the Android and iOS versions of the Office Mobile suite. Optimized for smartphones and tablets, they are universal apps that can run on both Windows and Windows for phones, and share similar underlying code. A simplified version of Outlook was also added to the suite. They will be bundled with Windows 10 mobile devices, and available from the Windows Store for the PC version of Windows 10. Although the preview versions were free for most editing, the release versions will require an Office 365 subscription on larger tablets (screen size larger than 10.1 inches) and desktops for editing, as with large Android tablets. Smaller tablets and phones will have most editing features for free.\n", - "0.87: In October 2022, Microsoft announced that it will phase out the Microsoft Office brand in favor of \"Microsoft 365\" by January 2023. The name will continue to be used for legacy product offerings.\n" - ] - } - ], - "source": [ - "# Add Cohere Reranking Step\n", - "docs =[match['metadata']['text'] for match in res['matches']]\n", - "\n", - "rerank_response = co.rerank(\n", - " model = 'rerank-english-v2.0',\n", - " query = query,\n", - " documents = docs,\n", - " top_n = 3,\n", - ")\n", - "for response in rerank_response:\n", - " print(f\"{response.relevance_score:.2f}: {response.document['text']}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Another example - query and rerank" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "2laQ-A5x9HY8", - "outputId": "c3d831fd-5df7-412e-8637-9c51c51c0846" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "(1, 1024)\n", - "0.66: YouTube began as a venture capital–funded technology startup. Between November 2005 and April 2006, the company raised money from various investors, with Sequoia Capital, $11.5 million, and Artis Capital Management, $8 million, being the largest two. YouTube's early headquarters were situated above a pizzeria and a Japanese restaurant in San Mateo, California. In February 2005, the company activated codice_1. The first video was uploaded April 23, 2005. Titled \"Me at the zoo\", it shows co-founder Jawed Karim at the San Diego Zoo and can still be viewed on the site. In May, the company launched a public beta and by November, a Nike ad featuring Ronaldinho became the first video to reach one million total views. The site launched officially on December 15, 2005, by which time the site was receiving 8 million views a day. Clips at the time were limited to 100 megabytes, as little as 30 seconds of footage.\n", - "0.58: Karim said the inspiration for YouTube first came from the Super Bowl XXXVIII halftime show controversy when Janet Jackson's breast was briefly exposed by Justin Timberlake during the halftime show. Karim could not easily find video clips of the incident and the 2004 Indian Ocean Tsunami online, which led to the idea of a video-sharing site. Hurley and Chen said that the original idea for YouTube was a video version of an online dating service, and had been influenced by the website Hot or Not. They created posts on Craigslist asking attractive women to upload videos of themselves to YouTube in exchange for a $100 reward. Difficulty in finding enough dating videos led to a change of plans, with the site's founders deciding to accept uploads of any video.\n", - "0.55: YouTube was not the first video-sharing site on the Internet; Vimeo was launched in November 2004, though that site remained a side project of its developers from CollegeHumor at the time and did not grow much, either. The week of YouTube's launch, NBC-Universal's \"Saturday Night Live\" ran a skit \"Lazy Sunday\" by The Lonely Island. Besides helping to bolster ratings and long-term viewership for \"Saturday Night Live\", \"Lazy Sunday\"'s status as an early viral video helped establish YouTube as an important website. Unofficial uploads of the skit to YouTube drew in more than five million collective views by February 2006 before they were removed when NBCUniversal requested it two months later based on copyright concerns. Despite eventually being taken down, these duplicate uploads of the skit helped popularize YouTube's reach and led to the upload of more third-party content. The site grew rapidly; in July 2006, the company announced that more than 65,000 new videos were being uploaded every day and that the site was receiving 100 million video views per day.\n", - "0.55: According to a story that has often been repeated in the media, Hurley and Chen developed the idea for YouTube during the early months of 2005, after they had experienced difficulty sharing videos that had been shot at a dinner party at Chen's apartment in San Francisco. Karim did not attend the party and denied that it had occurred, but Chen remarked that the idea that YouTube was founded after a dinner party \"was probably very strengthened by marketing ideas around creating a story that was very digestible\".\n", - "0.53: In December 2009, YouTube partnered with Vevo. In April 2010, Lady Gaga's \"Bad Romance\" became the most viewed video, becoming the first video to reach 200 million views on May 9, 2010.\n", - "0.53: YouTube is a global online video sharing and social media platform headquartered in San Bruno, California. It was launched on February 14, 2005, by Steve Chen, Chad Hurley, and Jawed Karim. It is owned by Google, and is the second most visited website, after Google Search. YouTube has more than 2.5 billion monthly users who collectively watch more than one billion hours of videos each day. , videos were being uploaded at a rate of more than 500 hours of content per minute.\n", - "0.53: YouTube has faced numerous challenges and criticisms in its attempts to deal with copyright, including the site's first viral video, Lazy Sunday, which had to be taken down, due to copyright concerns. At the time of uploading a video, YouTube users are shown a message asking them not to violate copyright laws. Despite this advice, many unauthorized clips of copyrighted material remain on YouTube. YouTube does not view videos before they are posted online, and it is left to copyright holders to issue a DMCA takedown notice pursuant to the terms of the Online Copyright Infringement Liability Limitation Act. Any successful complaint about copyright infringement results in a YouTube copyright strike. Three successful complaints for copyright infringement against a user account will result in the account and all of its uploaded videos being deleted. From 2007 to 2009 organizations including Viacom, Mediaset, and the English Premier League have filed lawsuits against YouTube, claiming that it has done too little to prevent the uploading of copyrighted material.\n", - "0.51: Some YouTube videos have themselves had a direct effect on world events, such as \"Innocence of Muslims\" (2012) which spurred protests and related anti-American violence internationally. TED curator Chris Anderson described a phenomenon by which geographically distributed individuals in a certain field share their independently developed skills in YouTube videos, thus challenging others to improve their own skills, and spurring invention and evolution in that field. Journalist Virginia Heffernan stated in \"The New York Times\" that such videos have \"surprising implications\" for the dissemination of culture and even the future of classical music.\n", - "0.50: Observing that face-to-face communication of the type that online videos convey has been \"fine-tuned by millions of years of evolution,\" TED curator Chris Anderson referred to several YouTube contributors and asserted that \"what Gutenberg did for writing, online video can now do for face-to-face communication.\" Anderson asserted that it is not far-fetched to say that online video will dramatically accelerate scientific advance, and that video contributors may be about to launch \"the biggest learning cycle in human history.\" In education, for example, the Khan Academy grew from YouTube video tutoring sessions for founder Salman Khan's cousin into what \"Forbes\" Michael Noer called \"the largest school in the world,\" with technology poised to disrupt how people learn. YouTube was awarded a 2008 George Foster Peabody Award, the website being described as a Speakers' Corner that \"both embodies and promotes democracy.\" \"The Washington Post\" reported that a disproportionate share of YouTube's most subscribed channels feature minorities, contrasting with mainstream television in which the stars are largely white. A Pew Research Center study reported the development of \"visual journalism,\" in which citizen eyewitnesses and established news organizations share in content creation. The study also concluded that YouTube was becoming an important platform by which people acquire news.\n", - "0.50: YouTube was founded by Steve Chen, Chad Hurley, and Jawed Karim. The trio were early employees of PayPal, which left them enriched after the company was bought by eBay. Hurley had studied design at the Indiana University of Pennsylvania, and Chen and Karim studied computer science together at the University of Illinois Urbana-Champaign.\n", - "0.49: In 2013, YouTube teamed up with satirical newspaper company \"The Onion\" to claim in an uploaded video that the video-sharing website was launched as a contest which had finally come to an end, and would shut down for ten years before being re-launched in 2023, featuring only the winning video. The video starred several YouTube celebrities, including Antoine Dodson. A video of two presenters announcing the nominated videos streamed live for 12 hours.\n", - "0.48: Since its purchase by Google, YouTube has expanded beyond the core website into mobile apps, network television, and the ability to link with other platforms. Video categories on YouTube include music videos, video clips, news, short films, feature films, documentaries, audio recordings, movie trailers, teasers, live streams, vlogs, and more. Most content is generated by individuals, including collaborations between YouTubers and corporate sponsors. Established media corporations such as Disney, Paramount, and Warner Bros. Discovery have also created and expanded their corporate YouTube channels to advertise to a larger audience.\n", - "0.47: YouTube has enabled people to more directly engage with government, such as in the CNN/YouTube presidential debates (2007) in which ordinary people submitted questions to U.S. presidential candidates via YouTube video, with a techPresident co-founder saying that Internet video was changing the political landscape. Describing the Arab Spring (2010–2012), sociologist Philip N. Howard quoted an activist's succinct description that organizing the political unrest involved using \"Facebook to schedule the protests, Twitter to coordinate, and YouTube to tell the world.\" In 2012, more than a third of the U.S. Senate introduced a resolution condemning Joseph Kony 16 days after the \"Kony 2012\" video was posted to YouTube, with resolution co-sponsor Senator Lindsey Graham remarking that the video \"will do more to lead to (Kony's) demise than all other action combined.\"\n", - "0.47: YouTube carried out early experiments with live streaming, including a concert by U2 in 2009, and a question-and-answer session with US President Barack Obama in February 2010. These tests had relied on technology from 3rd-party partners, but in September 2010, YouTube began testing its own live streaming infrastructure. In April 2011, YouTube announced the rollout of \"YouTube Live\". The creation of live streams was initially limited to select partners. It was used for real-time broadcasting of events such as the 2012 Olympics in London. In October 2012, more than 8 million people watched Felix Baumgartner's jump from the edge of space as a live stream on YouTube.\n", - "0.46: In June 2007, YouTube began trials of a system for automatic detection of uploaded videos that infringe copyright. Google CEO Eric Schmidt regarded this system as necessary for resolving lawsuits such as the one from Viacom, which alleged that YouTube profited from content that it did not have the right to distribute. The system, which was initially called \"Video Identification\" and later became known as Content ID, creates an ID File for copyrighted audio and video material, and stores it in a database. When a video is uploaded, it is checked against the database, and flags the video as a copyright violation if a match is found. When this occurs, the content owner has the choice of blocking the video to make it unviewable, tracking the viewing statistics of the video, or adding advertisements to the video.\n", - "0.46: In January 2009, YouTube launched \"YouTube for TV\", a version of the website tailored for set-top boxes and other TV-based media devices with web browsers, initially allowing its videos to be viewed on the PlayStation 3 and Wii video game consoles.\n", - "0.46: In September 2012, YouTube launched its first app for the iPhone, following the decision to drop YouTube as one of the preloaded apps in the iPhone 5 and iOS 6 operating system. According to GlobalWebIndex, YouTube was used by 35% of smartphone users between April and June 2013, making it the third-most used app.\n", - "0.46: Conversely, YouTube has also allowed government to more easily engage with citizens, the White House's official YouTube channel being the seventh top news organization producer on YouTube in 2012 and in 2013 a healthcare exchange commissioned Obama impersonator Iman Crosson's YouTube music video spoof to encourage young Americans to enroll in the Affordable Care Act (Obamacare)-compliant health insurance. In February 2014, U.S. President Obama held a meeting at the White House with leading YouTube content creators to not only promote awareness of Obamacare but more generally to develop ways for government to better connect with the \"YouTube Generation.\" Whereas YouTube's inherent ability to allow presidents to directly connect with average citizens was noted, the YouTube content creators' new media savvy was perceived necessary to better cope with the website's distracting content and fickle audience.\n", - "0.46: Later that year, YouTube came under criticism for showing inappropriate videos targeted at children and often featuring popular characters in violent, sexual or otherwise disturbing situations, many of which appeared on YouTube Kids and attracted millions of views. The term \"Elsagate\" was coined on the Internet and then used by various news outlets to refer to this controversy. On November 11, 2017, YouTube announced it was strengthening site security to protect children from unsuitable content. Later that month, the company started to mass delete videos and channels that made improper use of family-friendly characters. As part of a broader concern regarding child safety on YouTube, the wave of deletions also targeted channels that showed children taking part in inappropriate or dangerous activities under the guidance of adults. Most notably, the company removed \"Toy Freaks\", a channel with over 8.5 million subscribers, that featured a father and his two daughters in odd and upsetting situations. According to analytics specialist SocialBlade, it earned up to £8.7 million annually prior to its deletion.\n", - "0.45: In September 2020, YouTube announced that it would be launching a beta version of a new platform of 15-second videos, similar to TikTok, called YouTube Shorts. The platform was first tested in India but as of March 2021 has expanded to other countries including the United States with videos now able to be up to 1 minute long. The platform is not a standalone app, but is integrated into the main YouTube app. Like TikTok, it gives users access to built-in creative tools, including the possibility of adding licensed music to their videos. The platform had its global beta launch in July 2021.\n" - ] - } - ], - "source": [ - "# Let's query the database\n", - "query = \"What was the first youtube video about?\"\n", - "\n", - "# create the query embedding\n", - "xq = co.embed(\n", - " texts=[query],\n", - " model='embed-english-v3.0',\n", - " input_type='search_query',\n", - " truncate='END'\n", - ").embeddings\n", - "\n", - "print(np.array(xq).shape)\n", - "\n", - "# query, returning the top 20 most similar results\n", - "res = idx.query(xq, top_k=20, include_metadata=True)\n", - "\n", - "# Look at the initial retrieval results\n", - "for match in res['matches']:\n", - " print(f\"{match['score']:.2f}: {match['metadata']['text']}\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "WaN6U6u19Md6", - "outputId": "9984d8ce-1383-4530-ec84-6e3f3feea05e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.95: YouTube began as a venture capital–funded technology startup. Between November 2005 and April 2006, the company raised money from various investors, with Sequoia Capital, $11.5 million, and Artis Capital Management, $8 million, being the largest two. YouTube's early headquarters were situated above a pizzeria and a Japanese restaurant in San Mateo, California. In February 2005, the company activated codice_1. The first video was uploaded April 23, 2005. Titled \"Me at the zoo\", it shows co-founder Jawed Karim at the San Diego Zoo and can still be viewed on the site. In May, the company launched a public beta and by November, a Nike ad featuring Ronaldinho became the first video to reach one million total views. The site launched officially on December 15, 2005, by which time the site was receiving 8 million views a day. Clips at the time were limited to 100 megabytes, as little as 30 seconds of footage.\n", - "0.92: Karim said the inspiration for YouTube first came from the Super Bowl XXXVIII halftime show controversy when Janet Jackson's breast was briefly exposed by Justin Timberlake during the halftime show. Karim could not easily find video clips of the incident and the 2004 Indian Ocean Tsunami online, which led to the idea of a video-sharing site. Hurley and Chen said that the original idea for YouTube was a video version of an online dating service, and had been influenced by the website Hot or Not. They created posts on Craigslist asking attractive women to upload videos of themselves to YouTube in exchange for a $100 reward. Difficulty in finding enough dating videos led to a change of plans, with the site's founders deciding to accept uploads of any video.\n", - "0.91: YouTube was not the first video-sharing site on the Internet; Vimeo was launched in November 2004, though that site remained a side project of its developers from CollegeHumor at the time and did not grow much, either. The week of YouTube's launch, NBC-Universal's \"Saturday Night Live\" ran a skit \"Lazy Sunday\" by The Lonely Island. Besides helping to bolster ratings and long-term viewership for \"Saturday Night Live\", \"Lazy Sunday\"'s status as an early viral video helped establish YouTube as an important website. Unofficial uploads of the skit to YouTube drew in more than five million collective views by February 2006 before they were removed when NBCUniversal requested it two months later based on copyright concerns. Despite eventually being taken down, these duplicate uploads of the skit helped popularize YouTube's reach and led to the upload of more third-party content. The site grew rapidly; in July 2006, the company announced that more than 65,000 new videos were being uploaded every day and that the site was receiving 100 million video views per day.\n" - ] - } - ], - "source": [ - "# Add Cohere Reranking Step\n", - "# embeds=[np.float32(data_array[i]['embedding']) for i in range(len(data_array))]\n", - "docs =[match['metadata']['text'] for match in res['matches']]\n", - "\n", - "rerank_response = co.rerank(\n", - " model = 'rerank-english-v2.0',\n", - " query = query,\n", - " documents = docs,\n", - " top_n = 3,\n", - ")\n", - "for response in rerank_response:\n", - " print(f\"{response.relevance_score:.2f}: {response.document['text']}\")" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "name": "python", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Multimodal_Semantic_Search.ipynb b/notebooks/Multimodal_Semantic_Search.ipynb index 51640dbe..ba84a639 100644 --- a/notebooks/Multimodal_Semantic_Search.ipynb +++ b/notebooks/Multimodal_Semantic_Search.ipynb @@ -1,597 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "
\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Multimodal Semantic Search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Multimodal semantic search enhances traditional search capabilities by leveraging both textual and visual modalities to deliver more accurate and contextually relevant results. \n", - "\n", - "This tutorial guides you through building a semantic search system over images. In this example, users will be able to enter textual search queries such as \"Animals but preferably a gray cat\" or \"Nike shoes\" and retrieve images that match the query.\n", - "\n", - "It involves the following steps:\n", - "\n", - "1. Load a dataset of images\n", - "2. Encode the images and embed them\n", - "3. Store the embeddings in a vector index\n", - "4. Ask queries against the index" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "Let's install the required libraries and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install -U cohere hnswlib -q" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "from PIL import Image\n", - "from IPython.display import display, HTML\n", - "import requests # We can take this out once its in the SDK\n", - "import cohere\n", - "import base64\n", - "import hnswlib\n", - "import os\n", - "\n", - "co = cohere.ClientV2(\n", - " api_key=\"COHERE_API_KEY\"\n", - ") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 1: Load a dataset of images " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, we load a small image dataset to be used for semantic search - the dataset contains a diverse set of images such as animals, ecommerce products, and stock charts." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "data": { - "image/jpeg": "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", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# We've prepared a small image dataset to be used for semantic search - the dataset contains a diverse set of images ranging from natural images to products\n", - "\n", - "folder_path = \"data/multimodal_semantic_search\"\n", - "files = os.listdir(folder_path)\n", - "\n", - "# Let's view a sample image:\n", - "file_path = os.path.join(folder_path, files[1])\n", - "img = Image.open(file_path)\n", - "display(img)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 2: Encode the images and embed them" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we define a function to convert each image into a base64 Data URL and then call the Embed endpoint to generate the embeddings for each image." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Define a function to convert each image into base64 Data URL and then call our embed model\n", - "def image_to_base64_data_url(image_path):\n", - "\n", - " with open(image_path, \"rb\") as f:\n", - " enc_img = base64.b64encode(f.read()).decode(\"utf-8\")\n", - " enc_img = f\"data:image/jpeg;base64,{enc_img}\"\n", - "\n", - " response = co.embed(\n", - " model=\"embed-multilingual-v3.0\",\n", - " images=[enc_img],\n", - " input_type=\"image\",\n", - " embedding_types=[\"float\"],\n", - " )\n", - "\n", - " return response" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 3: Compute the embeddings and store in a vector index" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we'll compute the embeddings for each image and store the full response in a vector index using `hnswlib`." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "# Embed Images and store the full response in an array\n", - "embeddings = []\n", - "file_paths = []\n", - "\n", - "for file in files:\n", - " file_path = os.path.join(folder_path, file)\n", - " res = image_to_base64_data_url(file_path)\n", - " file_paths.append(file_path)\n", - " embeddings.append(res.embeddings.float[0])" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[0.016189575, 0.026306152, -0.048461914, 0.025924683, -0.0019521713, 0.008323669, 0.021987915, -0.049621582, -0.046813965, 0.045318604, ...]\n" - ] - } - ], - "source": [ - "# Print one example item from the embedding_objects array\n", - "print(str(embeddings[0][:10])[:-1] + \", ...]\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 3: Store the embeddings in a vector index\n" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "# Create the hnsw index\n", - "index = hnswlib.Index(space=\"cosine\", dim=1024)\n", - "index.init_index(max_elements=len(embeddings), ef_construction=512, M=64)\n", - "index.add_items(embeddings, list(range(len(embeddings))))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 4: Ask queries against the index" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can now ask queries against the index. First, let's build a function to retrieve the images given a query." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [], - "source": [ - "def retrieve_image(query):\n", - " top_k = 5\n", - " size = (200, 200)\n", - "\n", - " # Convert the query into embeddings\n", - " query_emb = co.embed(\n", - " texts=[query],\n", - " model=\"embed-multilingual-v3.0\",\n", - " input_type=\"search_query\",\n", - " embedding_types=[\"float\"],\n", - " ).embeddings.float\n", - "\n", - " # Retrieve the initial results from your vector db\n", - " res = index.knn_query(query_emb, k=top_k)\n", - " doc_index = res[0][0]\n", - " doc_scores = res[1][0]\n", - "\n", - " # Let's view the response image:\n", - " print(\n", - " f\"The most relevant photo in the top {top_k} retreived images with a distance score of: {doc_scores[0]:.2f}\"\n", - " )\n", - " img = Image.open(file_paths[doc_index[0]])\n", - " img_resized = img.resize(size)\n", - " display(img_resized)\n", - "\n", - " # For the full list of images grabbed:\n", - " print(\"-\" * 100)\n", - " print(\"All top k images:\")\n", - " for x in range(0, len(doc_index)):\n", - " print(f\"Ranking of Relevance:{x+1} with a distance of: {doc_scores[x]:.2f}\")\n", - " img = Image.open(file_paths[doc_index[x]])\n", - " img_resized = img.resize(size)\n", - " display(img_resized)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's try with a first query about cats." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The most relevant photo in the top 5 retreived images with a distance score of: 0.45\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAMgAAADICAIAAAAiOjnJAADtE0lEQVR4AZT9WYxmWZIn9vm+7x4eHhEZkZmRe1bWvvUy3V2caZJNDkckRVEkBIECBAKEoAcJ0AvfJEAPgh4FSCAECQQhgEOJFESNRGkocjhNVvdMd1dXVdeelXtlZOzhu/v3+b7o97fz+Ree2UMKOuFxv3vPPYsdMztmduwsd/Df/l/93fPz8+Pjo6PD3aP97YPO1mFn8/i0OzgwPDI8MTo+MTYxNTY+MTwyPjg8MjIy3MLg0ODw0PDg4ODAwMDFxdnAxfnAuZsLj6KGhgYHh4f9CUND8owOCcMDg4N+RpJoSJqhgYuhSj5w4V8uwuDF+fngxdnZ6dnZuf8nbr1RkdKGFKaY4eHUchkKAJnOk7tC3pxfDI0MLUxNzkyNbu3udg4OA9rp+YUiBwZHRgdGRsYGR4YHLwYHzg6Odzempy5euv2CWj/55JOtra3Dw8OTs/PBIQ0eLqguTuWHEVED2pZmqFeAhwuPw3AFOIANwYQEXnmanByfnp4eHx0TdXKuKRcAPTk5OQNFrwlwqMA8yiFfK9y1hctkKbAfvvDKo6qr9l7VYhpiK0srvJ/7+U1Dl+ce4gJd0JjK/Lq/8jtwcQqredOSh0y5S3EFnWznGn8ZRhqUAa7uoCxIHzofGh4bGZ8Zn5waH58aGx0fGhmDqhA24TL5QBjhYgDCCvHBDZ4J02hnWKElDQtW9cNDyDB4IT8CFEwFpUevlZGGFWOdn0O+cApY2G7lFIBpRP+m3bdra6RXHkFzfHJ8eDSIsYSzThefnp+cDZ1fTI4Pz89Nnp1fHBx3x0fGdZzZpZuvv3b72tLyyenxjZvXf/3uu/cf3N/fP8JZo2GY9B+AFWjnQ4Un8KRSMKtNlNYmWbUiiS/ETE9NTM9Mjo2NSZLadRThBIum4dUEv25yXwVWXMHf7nq1XMYk9WVor/pX3c59e2xJCsBW1bAfBV5mzW//sd20dOKv3uTe/yKTn8iOs/ANYkuoPa4pq7FVfr2QzF2yjlSE3PIUBUdGR8cnRy6gdHRkYmp8YmZ0bNL9YCRNsJrOEY7Rg4kcgkoFweUg+QNB9b41srUT6LgLAUruhCUlD2wBqH68yrPH/CVBr1Mk0tOlpAr18rpJi7zJk4Lk9yNZsJCyzgeGQ8Zu93hhdnxqYmTo/BRfjI0MToyM3H3p2uL89MD5Oag0Y3j4YnFpfgQDjY4enx7PTEx85SvvTE1Nbmxsqv705GxiYqLJSAx6enqmCnn29vaODo9UlQYopdodzhNRMmxyYmxufm58fNx7LH58enp0HFHVa2GBHWwF5YXWxGhZ4SdNKone0NFLfNnYhpTLV2IrVzIKhZLgBFIrH3wUElNkgBWS7MpN//FqZC+pzLlLO8Nijcm0M49nF4PFM3lPeBEokTJJXDlGWkeMsBChEvQZGx0YGB0ZGR0bmxgZHhPljbKLAVJEhWCTDLuI7JHkDLNgNlHBSetAXl02I7mqQ1dJuDB4vPxTbcpPkmpy+kWxYzFRIBJ6XCV/yQkJU1NSDlzQadGqEanRy+IuhsYGRk7Q/vR4aWnueH9yfGyE/JiamnjtlZcmRkfOjo8wCm7DK6enx5sbm0PD23t7neNOZ2h06M3XXht9e1Qk0l9bXqbM1zc30G9rcwvqVm/c2N3dPT462dnZPTo+npqaOjk9PTw82j84VCD1OT0zdX11ZXZ2dm+3iwUPDw+Oj49JLI1Ek0ZIpWmyKxlfHCa6F6r1n7v/QoxMV2Mk1UGeZ/Cu0Ocn+B84g9X6k6vwm9e5add2c/VezBdCo44rKsF1hR7JqpIkr6JDxCQaOB85oyFYNKfp00oH4sXwqBuSC3/gBqjAdcFAdbAqwsNIe0ZQlC2uTHnBG04Oa4SBoyBAkqfAo26JKlm4T22YoeBI96qbSlFtVjvjRTxtNBIxGbGghNZxlMP8UTd5AVxkUoC6mkk3OHiKZU4mB6fHB1cWp+embi3MzE3PzzC8xscmxkdGdg+PTo5Pdvf2QHiw3z2TdmJ2dHHxbHLy8ORoenJqa3t7bnZm5fqKBDRYd2zs2bNnu9vbswuLWGh+YW5+fmFzfYMqvX59tbvf6Xb3d/c6kxMTIF26trS0tLC1uX1y7Wx3d+fBg8fPzjb29w+JUooweK6WVGuCmUL7c17pRRXt271rn5nazdWYIDmJQ9kyNcJHwXVFgafuoCf0a6HSP7/vP7ab/qMU7R5petQRFTrnTdEztWpTlVz19lJejJxcHOu3xRq6FLtoFJGLhUKrRA2cR1ojWamOhhOFFb/ExguuCEb9LxZ8hBbbVPsFmjgN08OqgGiqEkfV5LSSEi0oG6ABlpIqhlFh8KWQKF5YGcZDkb7EaRgyAvJidOxscXb02vzc9PQkpVZwD0D06NgE6/vk9Hx44HxudhZsxO/o9CSxodYuHXl8crDfoZ2mp6ZnZmbOz8ehRuGP93aBsjfcWV5eJt+mZ2f0ubPDI4JtbGz89dffnFtYODw62t7c3FjbmJqauf3izanZ2ZGJsfmlhZcZEePjFB+M4MvTsxMsfD4z8cKta1MTYx9/8pmRQ3oG+LVoZCQIClbTRiEvgpCGFr/Pb7y9+tiSJUWFPIbY0gfPiqgY79JdBwYjJsQkQbCNCXp1VWRqbKH/+IUb2LuaoD3m2oBNJRlOCeDUbdr9CCvZA4pX5hHGN2ZrbEko0CkXNE0wMRrBcdlC5cI4lhoYPGXOxJSL3g23MbkV3UBB4/DFSP7SRu/zl7ZJnFoa9xcsgemctR4e9aq1XzkpDWIZNzH7TwnSidGL6cmB6YmB+cnx2Vm6aIbiTiuM9IYNRxiY50tLi8Z/x0fHRG/GY+GnfWXR8UdHR6HCyPDKtaWTo+ODTme/uzcxOd7d7ahucXERqzGtSKHh0RHAEOf02uHBgdEiHpwoy2lxdv767ReGx8bp/5Gxyc7ulroLrYP7+10Nvzg/2+vsdjp7Z8cnQxcniwvTw50huCb/BhkYQxk2FbEvkdVaWtc+Ahsae0i48qox4vO3hcaWrJ+3yr2K4MZywXU/5ZXEif9vDp8HA4FSa2BoJkmBVxyCrgMM29CYlNCJBsZovR6LJHKQdKA9atgddUQzEigteWDAT8VIp5FqkVsKDH+5ieRF5PPR4fMLOKa/wmHhp8ihFCEOWNWU5MLoZ/wBx2G2wgbtlh4QdTdEAiZljBK8cz4zPnBjYWx6ihE4xa9wWoL2nMBMMYOjI+OkJBtobFQRg3wk5PDOxvYJnj8+2j45wp/Xb90aPzqkXQ9ODp4+fXJ2cjJ/OsccAJGOtr21NTs/PzqmLw2xog4OD0ZHxubn5h/cu//o449vvXRn9fbLVCoZxi6fmpntdnZHx8YJyu7+IQ0cwT80OjY1XsgY2j3e2uPRmBi/dfsuzBx3jzpcHN0DMlXbGrZKzqSJbnINsYpkdZ/HCm76LNVL1l7E0yEpjJZyqPSlat3pxoXp4oMkuBJSx5XC25t+zNXH5EaLStwIlMd4YBQILBAmRQEaWTmiZVJHIkRCj6BEdFGYRLHVyGqhJ71NTgxBOfjxuhhLysZYxRGpM69SB0YZvhjFnKrXvqCbTosiK9ZKBfnvihVlCmNRyomLqRdbDQCxnYymIq9i8o1wRJ1Go05MzSxhLDIjsi29Rqc4pa/VMDkzs7e3Oz46Mjk8Pjo0vL/XOTw9Ghkb3t3e2tnafuGVV3Db6T4Vd3CwfwBkKnJvd29+cQEqdAdKF8gj42Onp3h+cHpqtrO7MxZtOvqTD95npC2s3IQDzZiYmNzb3gT22OhId3+fkU4bprFBzTmmXlxagtyz0/2dzfWTg72R0QmD0OXhkY3tnYPD44OjY1JQ8yiHcBl8wF+o1zOSvsBG3lwNhTyXxLWf0nThUu24fJsiQ45K04+8etN/BRXtvn8jWYtJBaFUErjWU4tqAut5RO5YxkVHbEcJyoCCiDQcZdYjemOlcl5UufQCZYcSSo+kCcThxNJtIhtX4QwAcPyx+s/CtqeqoMz0nsAVSwDADYRAe0ojK6Q4jKmkE/ir3hBdjGcuTlKyui5w/9ng6OHF6OEZZ0lGniTh4ckxw2pwOF6SdI+B4dm5JXxwcop3DsA3NjkeP8DF+Y3rK9Oz06pkaO8eHLCVjroHKysr0eBDQ9dWVmbnZs8u0jwyienY3e+enhyDb3dri+h64823F5eWIWFmepYA3tnZnpqZAsDe7q6WGRLSs7jWkHN9c1NRoxMTM2y8k9ORoZGtjc0jEvDo6MWXXp6YGJ+dm9/e2TPS3D88JOpYhKdwkJCWZoRdQbF+g7crob26TOC3R2n4aYmvJmj3ylVAe9u/7ydrrxqGkyYob1ZUhvYBp+RFGL9XlTtmMCBhN9JC2ZevkoKmDwu48z5CiRusQkov3mQTBOkpnJySIkKrvb286px8j0SSeBSRD/NEByVLlGWsOy+wjjd5iwbALvkZaXXO4C3TKuwmdiReDMnCfGBrrUpDMX+0uG4wMn4xOMqXwvYiMJTH30Z+pL7BAR7deCY1df/0mNf77GxyekZ50/Pzj+7dG1tcHJ+alZK46O7ujLPPLs5nF+cXV5anpqeh43ivC7Pr609xCagnp6YHRkan52YfP3xIxQ2NjUOoV+z02dkZ93gXXo6PDlTKAzozO7u1vcXtPzY8drh/TBbOLCwYB0xMzW5sbKzevLF4fWVkbJzzbGpu9tXXXuXgOjw6XF/ffPDgSadzcALfrNZikYb2IPRKEPmFAM3eV2RwVH+h0f+/IbK/QglMaIfBoL+o4FVYLdyjhtDGfV6n4lCXVmkpscp5TMgyZUKfUnOcw5lzwAUIGHBDH7ki3gVliYxVnvFaz2DCPFBB8ChRtSXAS4RV1ZwV4Zfij8vqAmJ5csOpYb+GGmXHqItG9kdFpH41poDAoejcRLGen5yfHp0OD4+PSc/ciVocHcP5wMLpKaHKRTYMRyUiHoPMiwxEiL2Tk+7urqHjxPzcjdu3lldWDrgEjBg7RJTOdHRw2DUwXJi/pvtxGh8MDL549xVVM784W8bH6UZq/sL4AGNxVkWhnl+MjIzH3XVxPrEwcdg9gKfpmdmpuemjg/25peXl6zeMDHBOpNPwsHFn3IWTk1PnM/wXq9eWn62v7+51nz7e2sfT1Uw9Pg3+fGgxrtrY3vRj6jFUvsxxySuXhVzN4r6I1SsjrFFskz7dSgidwi7p6lVXr5hA1KoIfYojm+hKUVK2sUnvAT8hAy9xMRaBUnzTEiq0igw/sebzrwaJrN2431k+QzGQ6BC1jJS80enScgox/BGOU2FBURjBimFEMam+gsKlFIE/gykMSyJRf+GtNIVpOjI6ND4yyN6ZMt00NjY8SNtMgUjC4vZISrM55uRIMgXiKoKEV51va7fTXbp5a355cWd7c3dn06iNw/2V198i7I7Mj7KxNzYoKWYWVlqemZ+bmzO3o61Hhx1NSYGDw0+fPVm6dm1xYXFtbV1T9cPRsWHqknWlP46PDV+cHE1MT+1jtbOzmYlZHr/TI331nKtif29/d2dPuzRm++RwmN03THGzS2lvc4tjN1cWLk4PhlYXtnYOdjp7p/pHDzelci6xdxn3OZ4LxioUrb7IT1/I0hLDD/y7tnw9ZsIZl7W2ZEWhELGFqyRrGdGxl8m7AJkpnfCXprk0mWSwTpYoJM0PZ6B9GmBcmOE8TPg3DCOYAEASME5IECZ1BImEAJWqBAN9hBlSRQPRNTWX/GOppda6F98aCYZ01GBepak/UDRYhgeZUXMTozdX5mfM1UzSfVhrkvhRISuYobOwuCgPSap7lLmFzUbSYY6OuTfJiet37uzs7Hz6wUfPHt5fvnH9G7/93YnJuf3OzvnZ0c7GGs5Yvn7TMJN3ng+Cujs67h53iJv9w93dC57Sg/0XXryzsDi/s9eh7YmcsbOT7Z3Ncdw9PXtyrABVn3JqdA8PpqemhsZGjA8gYHxinKf+oLM/Pz/PxcUDs7+1d3SQyf7l5RtavbW5fjp8/vobr95YWXg2sH12NnZ8YpaJGgmJgqVgsUgczFwSue7bo7ftpv+2fyO70H+8mqxxVS+m0N4S//+8ytKHp24CW68K7obGm6WOqqgMCtF1IPYr01vCeLXRio4yoTYWSUVWha2iUcMHLLhYBFBUd1FX+Gp0eDxKzV1KKIy0Wt2idNz5wVkPU60ZkuHjmPq4K9xeMi92VTkvFDcyMD5+MTc/yeUIHEUdHx8ODYwwgwRCYm9nF+WUTzdNDk3pSKB139nZ3d3e4aM6POhur2+ytKS5c/eViZnpfd7xza2jw53xqanryzeGx+RK39rcWDfft7e9vrezvbG+Tm7Sfq++/sro2Mz5yfDkzOzA8P7x6cl+Z3cia0Am6VmTOViQpmXsR9QODprSoQSmJibXnqwx86cXZjt7u1MTE0ckqNn+iUk22fkwT8kZPxlPyf3PHs/NzwycneysPTICkICLOVauEbNE1XVD/Sv47HNAQ2//8Qs3/bftxrXdwHi7uZq+JuNCmyZgQqZLmw/z1GPYPLd5iGHjNnJPaRUV+zovL3nNY3tOOySLmWUOjsZIMymIsBXPUBM8veaFTzNCMADMFEs0ZRSlMZo/LhZMUinblZ1uYQxfaBRohWSvLugSa7xaKkfFRVCqjf/YzOXc9NjqyqI1F1P8TGqsjObimNKBb2yMubO+trY4N8NOYvLgSToojoCz05mFeQ1hSj959IjH5Ju/+93J6an1B0+6u3s4cuHaMgVntsWE8QW6bq+Tct3drc727rPHz46Oz1ZvLb/51ttcZ8cXQwvL1/c7HYbd8cXFxOQ0zMbNcT4whusHeFiGGBRkZPd8T1+T7NRc4cAAp+vak0fdta2toeHbb702em3q+KA7wSqbnunubE5MThwfHj/95LPj69dGp8YWpqd/+vO/Ysp/6avfWLm2Ylza6ZqHiloo/BAAPYP9kmKhQsPn1Ws/sn/zhbctvvf2ajcvtqkxYXip8VXe02C5Nv7qXytncQUgJB4xyA3vlJxtVYK8NFqeGqSYBaMQQlGC/KiJbm+qinBAAUawsBQyq5e/0lBlw0XucDwiNKZRk+IZWCcYqzFPZceQghYUgvKCTNT5L/g5sdTs5Nidm8t3X1y1wGliilCJkyNA8XePpUQZeQHAd7B/uLZ2MDE1AX510kQikY0S39ne2d7afHD/s+srq4vXVjDHxtr60eHhnVdenppbYlHDBPV0etTdePbUzcFhxxT3tZUby9euTy8sTc6vYJ2pwUFqN1ORkxOIGuGksxrlDQ2dHB4aaQZPwxccadevXccFB93u9Ozs0rXlne3tnfXt6emZ1dsv7Ox22Ij7e0eWuk2Mjj0lx3Z2GBS7WxuE5czCIgwRyx99+tH2f7X2+ltf+so3vjE6PLW12zk5a3ZKo1XRqNDXnosMz1+1O0CKb9er774Yg/CXxlWxSWhcxlfTHnndyr9kshoFXikxKSr4MUrSl2LV9Agfsof4PcZpFlOm7QgeTgO0L8+brIqhNWtQ1zpRJldZN9iLjzG9R4q0SbpKVaPO8+NStiiOt+IeK1gl8hteclcclmV48YkODYyNDMxNj95+Yfnll28tL81MjI0rTeFEUnf/YHpiktbFZRYpqMwVyxqOTc5MsnUY//v7HZFkBnlGhHb2tgH42pe+ZD3CYedg1Ljv5nVsMTExttc9Ou0edna3Dw873U4nRuL41PUbLywt3+Bt4BpQ7+H+IZlqXSQ2NeGYmcTTM8ISK2HQLCQ8O7eejX/r+vUV40ZLJOZIypFh44lOd3/l5guk7drahk51bWllYekawDafPTHXw5w7jSG3Q69unZwAe/Ds4u4LL3zy8ft/8f17x931t778XavLOq3xcXOlazVCBmv/9SGIvZKgf+8GhdLrYbNMuR4xFBUlU/S7UmzxBN2YXC26f9Me8yoUTzFWN5TYkKSMHsO6jDlllEYJxgtNkFTdvJVNAie/zkrkRMfhRSVHCXokdZqGcp/EruWjcl+8y/tYelBXh6PgJpVpW/FTMrsZHo17dHxwbMJwfWJ05drMSy/dMBQbHzV9N0rxCSfHGfo9XX82wtm4sEDjZErg4pxKHJgc4AHgDtCCsbGR/YM9Rjh4KEprGZZvrE4vLGIgvoVrq9cNL8VyVVrvcLTf2dxcs9gB37BsxmdmF5ZWxyZmZmbnjg73sU46WLy+NOyZXPQdCx1X4Rso0CJY6Ox15ufneFBZdW4QSaX42FrCI66cwQHz3rz8RN3+1ib8jIThM+8FdXOLy1a87qyRl/vLK4unnT2+tZHTs3d/8pO99SdvffXb4zM3qQWdv/XE3AR9/2TG0uT2yk1LFma6jExMcQkKtWTeoUbKUmBl6QkYMeKKVHWp5yuXfi093qJMGOm0iNTRTfHExLRC3brElUwLGuN7LNYKcwQ4Ig440stxQfFfbR7xCe/gZwQcK62VWVwlS6QcVUhihSHVlrzJntWZcWVYt5M5a8MEBvrc1Pjqyvxrr965fm1ZrZj+IJbGPmlk7dTm4wdPP3tAbk3ML7z05tu3XnpZAaODnFpTpmssZjFBrbaZ6bnDkUNrozbWnnX29r/+rS8BAPebt2QLDRwNZTrB3WH35OgAs248e0bdjk9Nr6ysWgaq29BUHAp0HMML0CYisXW8/henO1skYnACQ1rQTFG9de3pOl8Xv+nakyfeXL9x+zAz4nFMxCO6f7i1saY6GnN+YWFuhmE/fTCwNzUytb3+zHxBZ2drgl15erY0uzA/NUs6djd2P/nZX73yrd8bGl+ECfapKktVQWEQ2Kjrpt23yPbYv36Oq4rqeRVcxIUVBPu75CpvwhlVeO6rkf1HKZ+zcwOgcWUR1DArSx0y/xeeCZs0LonYiAmuN5bBFF9oWiEBXdCEkPThS16DsqJa3a6Nh4DRgHDt3wC0wYrtVEiWBdwAiFfzBrU4qibZ6VOjL1xffPmVG7duXV+an+dZwMsEVVyL54N8iD/+4//8w/c/3NzeMmIYm5r9+NfvvfalL//O9/6pIQQxFT1KsB1EsJ1E/OxbctDtbm9vGxiSbR61RBoMGiaxAGFw4LDbOac0T095pEi7a9dWeBCO4jHdx0y8mBcH8MTdOkFR8nPgs53uHqOSytMGSpH7K/48zTg754lAr43NdUhcWrxGBfPzwW33eP/OnZfWnjzco3PZf6fnP3n3vXe++51X3/mSWaONx0/Sq8y0X8RKS2HnZ8qPHrgYIlcNb+durbAjCmc9uQJ9XwgN4Q2zefX8LqxToSXJm8uYXqrPccznsiZf0vfYqJXTu2a+LAOvtF4UiXXqB5XhtKYSwlkyYyOj++ZmIEY8J1mMfJnJq3CjEDM8+qxlkaZXMtKWMMqjgqrAgoDdpAClyUQJFxT1tl1UOYqrFqYnXrq98pWvvnrnzm2EZLsxbGk3IBvzP/34N//Z3/0/bazdW76++sYbrKXOXnd3f+uXf/6f/ZSJ9Lf+1X91eGzi/Hj48HjjfH+fpzu8pXfpA6dnvN0ZzVFkJ0f6kmUtBAmXmMmtvZ0tDd7f6x4eH88uLtMCjx8+gAT5pqdnJxbGI94u4siIk2548OzoZMIaCyLt7ASPkojxxXDHX5yz048H94O086HZ6XlLAk+PDk1kHhwfvvzqWycnFyyqybFxYmdsevTrqzeo5oOd/cPOYcy2C1B3JyfG7W/Bu+NGBbFZT00vYC41oKylAoW6wbP4CIP2Qu7zC46LQIsJ1Wzi9pioTHgEMiE5/WVew3txl8W4D4f8k0I/vqqVJuyQsoo56jdJ4vatpJWCYov+SihHVWRVjc4KjEoZtirtFq4KjxDJ+CTWVWKSxrilVQq4iFlrHDKujA2Wkmu2xfIszgLvU7ufaEEhw8CRqbHhF27Mfee779y4cZNNpc3FwsaAmTLf3975+Z99/+6rS//cv/Tt6YnTnc7axcgicM0lnxALz35875fXX/v237ZMnxOIcgOtSinQ9Y0sL15YWIwv4IzRnJVY+oXZhrODrokZ7vjJsQnYnZ2Zvjg5+ezjj6bm5m7ceCGuz7mFjc0NXo3lpUWrRqtbmascnBwawkM0F4/oycEBfA2Om/mOW5Pf3vqIyYuZg51dUz9cJLwiKzdukKP7Voadn3U7eyCcnp8D3se/fjcG2QLolu+89MrWk4eHh/vQmTnP8YjqzAJdMA/MMXqsnoyajTuiydrt5xihxQW5FTymF9fkdiNnP3XjqtDmCj+5bwn6JfTKuZKmmMal5/hAzEZPKUdI/lC2VV9iqt23ays9ryvI4DfXcFWKCdXSKH+JiHLMYr30p57giwMiEAvoKq/055YTpp/QwpGX3rckxoCjwwOcny/evrE4O33Y3R4Yn6GQi2ctmDs5P2JqfPrKy8Ort9++GBnY3Xg6vDD3WWfwj//+r77323dWl2Zur8ycnX3YWf9sZuUlw6v9PXMs40RO96BLg84uLoCbtMgq9W53/emzs+Nje9OYPnRWIEojzqeHBj7+9Sfs6BfeJA5PNtYfPn70I0bPtWvLViagPillAUMMzMHBsenJwdHIdkKLaKTIJiYnreIi1UfOB/llD7AR+/3w0Dw3Y0s5m+trfPpzc7O6rXlwIFnKvHJrFS02Hj7T3zDcxqOHrK7JyUkOOVqc7rYmDK2I5JnZpSJCOnPwyQ4JutN/8hMGUiFR1qQOGBPZ+58EnwshXxEQNd0JXlc5SQYbeZvnFpe3l0FM/up/XS/zAWyE5zr8kX8RaK1cNzjHEDBFZF1UExnBuqCoK8UHGJEtTZlN/OYRY3Fo1brYS1UIEZFRmC4GvCpkU5CWFEpgYjSTu7ZnTSzOju2uP9VLp6Y73sIvQKyMO3zy6HzzJ4vLRydDpgPnlt7853/+6Sf/y//1v0Ot/Yv/+m8N7/xG1zaJcrz98cCNl1ju6yRc94BDlj5l9ARU01gjIwZ0+93O5vqz4/1uWsipMTa2cv0Fcgu9P/vkI4tBSYf/+3/0Hz95/JSo63S2TIi+8MItayhWb73wta9/4+7dl6fnLG0Y4fYYNks9MtzpcnwatVB6+1p/fHhONpHCY+MsuRO7hSy/snPTkukJLqz5OcNbTi9XK5oPz7KeQgvXHz8em+Ie02QLY2fs7znY3YlJNz42YIr94uTer3785vy105GpjK+kCzOhfXpsoRKVg2QhJL0kqGQ0YkXXq0rRaF3JQk9k6idIohRfLOoO2i7LrELzKjwnTa+2pO9X4J2heEeJlJSSPbeXBXBcmkxSUgTYXulPuKdB00pz3x4LhogrAc/gxHCLfBFSsc9SQesKxYFgUmCDrCersobJVsbh6ckxLqspyy8Hdf2JzCONUC5nI2zlgZHdg7WB8eORmWtnEy++8ua/8Otnn/47/97/5qd/+cG/9T/9V1dWJg63WdkjI1OjJ0fPaNCh8WlN5WEwrUjxGYaAw8wP9+bW1vazZ2vYywo+E4m3br5w6/YdPq2x/ZG1R48kMBv9H/29v7+xvs1ExN+DJhXOz7aePjk9ORkZn/jpX/7gn/6jP/ryV758684dLabCDaktyTdUjGGUxZKU9sBJdTkt8Jv1D52DdKhBi5snTvb9s/p0mkLeffqEyBzqKASXDpx3D+eWb9y4ffvhx++vPbpvHJAxgTKZw4edR8+e3Hr7mxOLN62bjMgPN8QUCfHJAoIq0zCxoorULg3BeexHhQca910mQh0xRbTLqEumTNpwVmWRyl8a1zjleeKrd0rDWIchPusm6ijELjZwH9jYS7R7ZgMjwzBNj4Wr4BQlg+zaFlQmxNPKjkrRiouXMw6uyl4MTl1Kyggrfm/Nr6lF3qjhmYnxG6uLr77+0srqDS2gWSzWa7WwLbafPtntPl24YSPNwen2/Xv3/qtffPDBT//852++89K//K/89kBnAy+OLV3LHFCWmYN/aHlhiRIEJtqy0JHdXpqpifGD7s7Wsye7m9tcqHMLc0urNxdWbmxtPDFS28hGia1/+Md/srW5az39rRuLL716+9bN2clRa5uP+LJ2drv3763/w7/37288+IPv/MEfvPjqK1qeKZsLU5bj9OCZUebxMQm5vbsTIaBPnsh7PhsJF38Vua5V1nKdnJ0cHuwPDGJI8s2gcej23dVowrHx93/1k/sfv2+5KVcaQ5627XZ423bl3t/bHJ1ZuhieMIFbRG4spRMVaxSTqbX+eg8hVTEKCpE9uV7yWbitCJcEKHVJ2ktW4+HBpvLgy5IHKSmZa+4jlbaIq9dQnyVbPJQ1CTghDtGwEVVANuol8bRy82iP1JGHaUokcCsocSXnWgXtVq5MEUrL4MRflVgyhVQTcluSq6hh0AOOYTMbo8vLM+985bXl5RUKBPoN+xWYlZweBi92NjbHM2HJPhk83rl/PNAdPrJCpvuv/w/+zuLM/va9e5Ojw1Te3KD5404aU1QEPN8BlUT7eWsu3Zzzo0cPN4zUOC1vrt65+/L1Wyz0mcN7+1vrTw+6h9///p/vrO+98vLSl96+/a1vvX739VuWKVyMDW7tnIwPHE9FdQ599Iv3fvzDn/3J/3Pt9//oj6aWTfncMOR8ur7Fq8cyMtO0X9soqrNHPwIAQnA2GOhi4JGLJLEVI9aOHnX38KLB7+LU+NqzRztPnzy6/4m5S+KajXU4OLC6fB0ONp7sT03NYVM2IpFMRg4zWDJygmhF9phERXXbo1EoVUTKTYV+SjfetMer15CpF1930XiV85KL2tsMI66EfgneGhVmVZz0RDrYMheY+WT1tT+vMGx4hEaI0K0gpwLbfZUMQJ2TaRVeaTFYUjnuG1O6CjJSlxjFD0w1a145sAL1N1eXXrt7Rz6LlmwmttSEwW5tzcjkJKf47vrm8cbT5dnBcUxgZ5WFeAPDf/uPvvbP/e71w88+PD8ZmJ2bXBjY37//8GDvBdPmaqiG5CqwZthbRl4PP/v0waf3zk9Pbt25/daX37m2etMiwZPu0SHH0fb2n/3FTx89evq1N1d/9w9e/Z3f/fr11aWxmRGbv/6D/9sP/8vvv/9v/g//8KXpo7GLi9/95//md//G3t/99/7ff/Kf/ie//b1/+uLweH5haXo6TANTVtdYKzG/uAi38QAOXOzs7GkwfT+GsXjnOx2oYI+fDZ4y0i1n2NrZ3u+YvdyD7bOz/ZFBivWstvNYtWpP3pAV1UqanJ2NNrVFahQ5YqOY0bf1uzgpkiX4Rzql+NdIUfRoZOnzWqNg780lNXuRERKJAja8JY1nD3XNU6Vvef/rrjZTeJVMXOVYgzXht7Y/lMhJESgbPRi2KLdcM5iU3m5cBRJtKG6GCL24oGv/dL9WefFTOKsF6rJJL3UStRYDFntTfFFhxobmbUy9naejWycwwpV1fjE2MvTxvaevvPKitcSGZhtbm7fn9v+tf/HuyWfvXQxMX19dnh07H9zfeP/9j4dufe3c7p0DC5OPNQbclpwfnx+ZALYD59nTx1aTLV6//uVvfvPW7Redd8L+Odjdphnf+/XHv/rVe2/dXf5X/uXvfOW33lq88ebize8cDWz87/4P/+7/8X//p9MLU1sDQ6v8q+s7F8Prd+8s/mv/vd/5P//7f/Zf/YO//83f/hu7iyvzK9d4msmkSbwSmbTPPGoCHkOLMakIDwQYlAcdp4eIr40Yzsqb8cVxi4xY9JA1t7w6PjFiPT22sx4QGQ7XzI6fjM4O2K22kJ7SyIuxKBMaNixWI3BYD6WI1T4buemxSJ8kV2LaK+BUoZeWFghjd4uUR124NLwifCF9i2zX9srVXE0YSOYoajLn7MIyp1A/fNYLecwwroqnXDO9mIUi8oenykPlN6gaaus6Akfp1gAFkZU3xbovZ1nWY4nUFpDrfkZWx8fG/jn2Jh6bgRxloVvThuPZrBD39tzKysjktQ8/evrGa9eGp4avr1zrbD/unuyMTk4t3npx8vxw54N3z07G/vQnx//d73176OL45HDPVgieMZBkvGZb6tAg57Yx5vIri9dv3rl95xXLqjhIyePNtScfffzxz37xgU2L/8Ifvv7db9+cXF668erv8x+8+7N/+P/4v/5jpvnc4vS1pdnzkyeDQycssbm5sVdfe/G7v7X5v/13/vPOwcE//y/8KzMTM5Zh7x91LacfjRFAftJ9nFEOv4C9tN+FDcbvxUayKIvxfnDQ2d7eoB5xgs37AF1YXrbOxy6jk5MDq2w4LDafrW+tPZqZncaTsxwbOhmEo1ojeyhjPwu1iDIhv+7Ejin1WHxRBGiJ3bYAw25EtpuUhgtCuhJRlag4VFS8Q4K3LX0vS6VxeV5IxXhL4vZeAtStFBGsWSZA0l5Kwsv8WVuVCR3VZb53iN83Q5MsaoCv7PExTlEiPgg1YTbzRXKryQ20BrPxwhoFsPGVBt5Ui6sx3PjoRCoNe/MbnJwcWYVyYGUC5pjkBrh56+5Xvv7+T/9s6PTBq3dnZhZnrWRffOmu/jm48/Rwc2v9ydk//NGD+Rd+7/aLt5nne3s7QGD5kk+KnZmZpmiM/OYoLXPLN2/aJ1/AnSDspx988IO//OHjzZ03Xph75+XFMZr1ZGv9/v9n4Lyz9eSpCevji9O3v/ayEefFTnfIWgQuhPPBo6HJGzcnVm+tLq+8MLdk6emwEyIWrq9CCCTyPOhsRK/OgnvQa4Jfnk6oedLxCRb8+ajZo8mcc3TIVdUxRWTh8gUfLKSub2x1u3v7lgFZA+icnMXFwYlJh0MsLC0RbPTKJR/ghgwDcRXUVwgX9Ajb5x5q6TJOmqSvV2GjCiKhPvFFr8u0oY73qJdclzdJfFmyyF5RlzceHQoS3EpXBnSMERxGJCWU91JMZHUt7nMvseyRNI1Xwi+0X5Lnhb5SdpOrxIJ41zCSPMbTlkJirCDi3JRYErQSKztvjYqOrAHIhg5XztvTi4OLgTW6bHj55s0J4mdk5P77f/VXP7+/NPdweebITgTptp9tHg0uXix967f/zu2l6+zco63HOzud/ckpEydj1FO2TnSsAx6YnZnXs5ft97u2mAF8BllWE2z85K9+8mxja8zaP2fNDAxNmLDpbhydbI6NzYxfsPIPb91d/aM/fGfMEWJ7RNLu4rXl0fHhwYlxC9VHTka+/Tf+1itvvz0xO3N8eqRxM+X8zIquzo5pSCKG5EVZ8hiSaD79SgvN/9gZTZwCpzueGZvJvY5xD2W6tbX5ZO0ZC4WONHDQfETJymcuh05nfhkCYa6Ql66IbPqo3+c0httQpHFPkbjRQmSfS1qClrLo0LuELhWqAEWoI89et1dXE3/hviUbMbmBbzIXUQa0fRDyRn4YCl9wxLCvs7TBZHVSVX19sFRGv/AKgFRxJFJrqpQYq/FWxcc0CwsZckYFxrrQatnTpMCc1xjccCdMR9zRAqfH/FhGQNhiq8tbvTlnAnll6c1vfev6nZeefPbp5sMP9549mpi9mLH35buvTSy8ODg6tn7/EdfO2rMtEM3WbImh/O6Ok2S2IkEHcMIEaZtWDw0ZUZI7B3tb649/09nZGRmeHLQvY2Lagq79p2uTr790NnRhJf3S9OTrd1f/O//931sZfHby5Jkd+fPzy8vzS/FTTE/+pz++9/KrX3nja18ZMWMwOTkyMJFTCrLLo1aUpdlmIbf5IBBeQxlWmVMeHjSxYwMZnajbsQAgwnaemzdvPnzw2D6jhYUlXtcnTx7S5siR08rGR/SN3b3dJ/c/W1pcnZydOBuGxpgJYTCICwpD+IiYUCpiLA9FNcwhuuE8cEguXq0xpIjRcKHIxiW9HxJEuT32zZtkqYwtWS+xqMtINyJdY0tKjQk8+itHVeYt0Z7iGnI+UflsPQqNtyS2U15+zAhXWUZ3mmbUqJD0ivoXGhApFEAyiCl7Tq2K6kNWtRdXRwtfjE5MhfDHp8zZU+vZ2SJjY5iaTnz68N7jTz+eX+CcWnjlS2+8+s5bkOHcD8uFqZ3NZ48//eDDdAEHEY3PzC0tEruWDxzb2sfSOToEL/c78WZa2kK+rc01S0A5tZ99/Om9994bPju9e3PlN9tHAzN3f/LB7j+zeG2UtDw8sSRiauTof/E/+R12VffZphIXrDp87fbc3MLt2ys//+M/+cXPd/5b/8b/eHF5eXp+kfkwScON5SAcjR5xWgjlJ2Z8YssC56ePLBYilAlRhKT6XI9tp43VZSKR/+HIoHxycnRjc80N/B/snzm7hIhaWIga5MS3lsuynd3d9WF9YGg6XBNuSX196ga3jW9CZwwkUZRjpW30aEmKMiyPbKRq74u9il6eIy3CSPUu73tVtJhG34ruvWoJ2pUqjNCJeV2CI/ydguj0mErFPNFzWCHzoHWeEfaCLe88xlyK6yDKRir8JIsoteZtSbK0S+PSK4gsS42d6xHnp8bILXlVlearwQAN00kzPDB+Mnixf7hPJSblyBAz63T/YO3JY13Wst3ZpbmZuRn7FDobG1tr63bPg2B44HTaLOP0pBk59fEbWYKXBRjgs28nxtYZbWW0+OThJpiO9w8e3b9n9dXv/9P/LHH4V7/+5Mn+2B9/sP7td4bntncIMDuqYeZ0f4OzY3lhdXx2dWZ88Nr1uZmxi5//8Q9//sOhf+1/9D+/8ebruoEBoA2NPFgRwpb0Q8qpwyKODWMGh1lN01l+vL0xNz8LMArXeIKosDwrdufFGSlPCW9uOqfTjsIz1qU5qJnZSdM4p+dmg7r2asi1emOh9uVajHJiQBKMKh9y/QWFxCJ5BIUhZHq+n/BWySd4TETWUkvceCl0jEdJXPLUbzGQtHImg+bUXb3r85mblhqVe9mK81q8zRQ5ScrVkMJ0mNVQ1GIxeSqv5Q8kbGRJGecEUoL9OjCoCPM80V+xyWJDZyXMAO0ZcdUqS61ZXZohUsndEo+m1kopqjcnzBQKRGWdb454POABJE6wJQ8h5ovNf3I+Mz1jq7ydnWoUuCTWn2yww6iHo87+2NTk7PWV/fUNB/GdHu3NjNfAnsshO3Q69hpb9WtIYsXB9OL89tMN4Oxubslr/debX/vKi6++PbMw863f/713f/Xx3/tPdv7d/9f7/8bffun29eOplfmBmcmJW7dfuDbHd+RU0dPdtc7H93/84eHQwrf+5r/5z1iHR3OzCeO3jaE6SPQYd4SwFwNaQxdfnJBJ/FVT+/bI7u7qNeKzUhqegvqg0YAYEnVMx0DMzkw9efZ06HhoYWmetqK4Q3Xer+4++8zs49jZEUQNjlApseIhPtgNd+RJ4qQnHRIZZsG44ZEKCAQwZEieHh9VumSKTPHfe+Ik3Ig+KT8JQuMqLQkrtBi3X7jx2DPeI1sGuX7MSbGOY0slce1g5g7I+VmZpcEfKZpcYYEb9rBJ40SOZkT5iChvXZt6JdhSO0lraR4vhrzYKJ0DgHGN6rj84HiMmSGtnahwXUdrHE1MTqUbKza2bfaz66wqw7fW5dEwQLNxxdYzPXt6bn5qcpoNcnBwtLCyODJ8NjbEdrnYP9q/OOk4q5cbY/3xOqicvDG3skRwdjpdy/soLRPMN2+sckCYOuK5PD8d+53f+drK6rX/y3/wH/+H3//sd758cXdtZ2ryfHJuwThs/cn62cnM+cSN8dWvf+mf/e7sjesmodmCVhOyuDUvznQLpsMkMdJhwqw2JY6tbUwzgICcHDN53NVtpqen5mfnMwVqDMERXAPNyLijAxDyDxslIsaCJfNDQ9gRLgsVh8P75kaGx6eOBsdJrOiHCIVeXw47wHnxTLgKGI19WhyFkleJTnyfISplpS7uIfrzW5Kq0nhopRUPKaCSfSFX/9ENwzxJhTgI/DPTlmVlIhA2VnyVgdNaogCJf8j5rD4uTYkRs5wygiL4iZcinTAhNVW7KIbDY4fSnNnjHlURDsRYbPThbMrjq5q0/MTBB2Z0R2ZmxU0ZUvEY4Bv8mzGpFev7/I1srpGOMbmqa3rYycQm1JB2ZmZOAmMmptw+9dfpAmCfk+jg4Mmztb0OIllAwzm1cv/BQ5u6Pv30kzdee/3WzZsW0RiHOjRmfnlhan4as7/z1Tf/7Vf+Z0+e8Jbb/vXIjtWJQat3hsZfnbx+/cWl26+Mz07DQsauFjKQxsOD3e6u/gY6hdm2T3oH/r1tBzqAp7u/Z75bR+J/wtA2CznC1LpFotm5gREeGbFYSB9LdHZ2nvnlzEBY2N3p6Ij2/pQ4DPLxVtB3bl93ZEFcVyoIV5UVFSlVkgiricMMeZ3AL1S/SRyWag89HrskVkW6gIfJrIyYKeSDwho1ZVQMzlBwj7xERSvsMrNXJDdAlWqUYq0iY9PRAwVi7CnqJ/M96s5ET7gulhh2Qv5B2pNXU2QpRzKuRBfExRiLapQ9FRZfJg8qIIWMKMQPXiPHDNME9/iF/MNCc7NzrKG97e39/V04HJ+cAA8cYi6NtbwYx4O5hOLAwuIsxkIMZdswqCNsdzqP7t8ftalmeoZGJCesAuXQYma9/sZbzkd4/OTJhx99+ObLr5pvQY4c+3e6Yyw6NEZG5jTbk7NjW0Zn5mdeX3Ce28uDw99N9wPoUN6iGoSZB7QzFgY41w46uzGnzJePjTPoCCtII5yyhH5/rzno2A0W7qw93bKkQhMshjECtqjxYtLs4THngj6JWhplDKibQQS6EdL4rXvYPds/cZCEHsUxChVIbkSTPxEM1rBaGK74KDR2Q0USe+3fpazpEZ5pAvKUAl+fFzxhqfaPgnJXhVWaULLK7/GT+7yXoti1vXrOWVAWsPyA0ZrLLJFJCVF2wbGzgzISNKo1coBeOxVUTa6wt8yOypydFtjLYS+si/gjtA+nlrpMIbWUPpqzGNWzg2XO7ZcyY2aj36geUa1kZFxYfbe1bZPMtrn8jY2nJsic1uJAMwhFLWuZQM8ooVjkcarC3Mzs2BjLn9ToWidMAOzbdvz03sD9+2cz85sDo58+ePabZ4+t8bKH4tqNG7+zeuvBg4e2eb3x6hsGBzwCVvx1ugfLq6vj07Pnw+NbO/ujB1FjH7//sZ0Uy9eW0jOGMxBjDRBs5DFNNzs3x8jEOBQ3u+3o8MBaLjr76DB54dMmDvi06GphdMhy5+ODE92GgLHoT36dyKYfWwwtwDeNdGN1ZXARwtlRJ+xQpuTRmcMBrBg7BKM/Kyy8pGRzEM3JaQ6OH5sc1Z8ckGR10XiOvinTvHFReEFAd5CgiIsbGNPhUUPnIRUwZ1ku4QwhqV3JFzn9hqMSkeZjV/JJv+qxUJWey6XlXjn/yYwlVt01nqiC48JiG3L0JXMKBai/AJCj9wlIpkAWdLK6RhpTWh0UFoxc63WUTOmQSEfkVAaNfnBXW61q9saNOXvVjgzZEDWUlUsWNW1t72xt7bx4e9WWeZwNEcMnttNIZoMy11p4HUc6uBFCiQGrAnMAGt6ZGPvoV7/eW9+6M3a6cHa0OD3ZOeg+Wvvg0199cDDE9T76xjtftpN4fmHR2IRFRdeYfl9x0lX3wNYxKspR/5NTOSHSwmH8wRKifqTHQlpNiFbbR9nn1KtkHpGHGYBWKAa9Bn3HXWfSWHKQ3nzawYscq7xoO+eQZfrGseDhPY6PARyDyRwrku1oe4sLC0TvBEEPNjyk6dFXA7YDsbxOiCabyXgJm4jSLa0BXJBpcc4qHUxssYQyGx1BUkItI7XICzWVsM/wOCo1hCAfSUiDpgzJwJq2hJFiABc7KcSbMJaIdnV7JRTrlUFTHCb5lZe5ZRqFH11TUhanKD2iPJxUzB45FKkWpsYatGGsMWdTsanq8CKINWyzGUEDeAXCLVkckYBZufUMtiNpzg54u9QUQ46wyi6XrAou+Etnx0o7N/thjmNycsZqy0az3jUHUB1S9zSOUhzssbg4b62LUcQ5//XM2Ve+9dWPfvne2i9/eri+Ib5rSnnr2djA2SePH7/x1hsYEafCvwEbVF27tmRs//jx4xGqdnhkcmY6qjxO/2NeJqyGYJvWyINbFtsJOQgmprBjwwFwsRdRWvbCKWNIVyGUx2dncBlE6lQ8Z8x2csxSHJ4ObGTTlwGK1YiWLegjWIzThHCKaXqclWfVBSPeFDVhkmEkduyEhf1nF87+g1YNJx35jR0qYc/Y0pJDLOwNGaMLL7nA+L2F/GIqfg7kcj9mYoxJM5SlO1yqfA6ZfcsMSgwpbOiKFUpFttuyYpAnzBYxppDImcYuFZMXHntJPDwPZAozqrKFfZSewZjkkT4ssAggs6JRWUyr7DeOSZRRHFxzAaZUPlIIPnYoriNHrQxu5lYUYhYKOgZN1xnj57HGK61FK93Ikhgo0vnCZE65cobIhCWcE7qrjYOm87pdK3qPcDSKsYFQMeaXFXzGCuOTC/MLs/M20fM2G+qZ+h6Yv7Z6+42Ld3/z2dnwxlNM8fjpn/30N52hsdXFpcPd/fd+/qvPPvjoe9/7/dt3b2FNa8zNwc3Pk3yTrFMtYsCPzs+jP/B8UwJ5KSu6FTqh21FJnV0reSb4pabqYIixkGnYTB52j6JwiMP4pLlUR0BZfXp0vE+VP3l8/9nj+1R1ydzwKF06PTWzP+Dk98jFnT0HilD3K/aYMSPS4ZhOtSmNFITltjETf8zPTOFF8+XFXvoCJBgcW/BsPMuVG6qjKusjMqCCAY9XKTEK0XzHaTRM1jzCmrEaqQlsyWPny06tRFda+lizuOEkKdP6sAtitlDskduIHiEcHb7U2Upu9JLlUBBv+3loxf4jdICpjCPCDGRt2pggO4s3hYDyn30VLsd0/O9nDA4nYOuXpn7Hza/aZcAuK7seHwZ30bkR3GoBd4Ywg2dZWagNRjgX56YsHO0yMz0J19YnwLOj1e/cfME0Ynz8h4cSm5wGsuqwPXlWKNUpL8x4POwcr+0dLp6PbO6ffPnrX//e3/5vv/DGTbjsbu3xrd9+81XHk05OTBq9cwYRM5NOVj4dcLrQ8NDhFgCZjbMzVkXt7u3MOrW2PhEAC5a3Gl1CPQ8F11c3p4+Obzuh1v7EgXMfWkCA+prJaWYkHYh1era5/nR746nDbQgqbSOZoCtGZu1n1ByMZbrQcSPrW9vXlxcnzk71M7gRNIpcYTQS61CWKf4LtvxkjPJ09ZCIAObUmDhzDnncOi2XwhFUdpG4ShCPF0k+L9xjd4ZMW10Tx21GAKYBYN5qEF0agpVqJ6AlJxmqKblxkrxCu2/VeWxso+7eTf1gL6xKLPHPklUBlhjKK4t7SmhpAD7OdKBJG/2FGgQZfhocMzKTQx6KLQqT9rcei78u88r87/ZOs+fpwTAXvlKq5Ok7FZJVxdR8T4ZlGwWs4jSLf7fW1iaGly8Oj0yHWCngrE4I4uYxgNfoOV8CaNP7pCSyZfXSOfOBXeIjAW998+v/4M9+MDF++Df/8A//9X/q91dvXj8lGw46FyvXbJ7ggvXtkd18KSLG+P6+dX+LQ6fnFOuRxcWdYQc6sq6gWY1rz9aoK4oG2IhosGCdoPlxyGFB29lh7nJuYVFZB9Yg2J/I3j522JrhoP5lMLerH4xmJjCyXYOZ3nBYuI0YYNQZPu93jzRdtLfDw2Zdh/AbIWaku9+x2cc0zpieHKs0CyX2jXx0LaPsI2dQ7tJlxzmNnL4rR1pRtkxX/AJyxkn8jlEUYayhbCDzPwxcUqPYHd5HUc+IjEa2I2h3sIPLDEgRnzgJP5XkQtWwWTFxyavURstFTPR4UESsGiFaNxa0CcHqLB6K4YpRUzAJaUmCajIetstPszLbZUQUjANMd5YpPcaRfrAY9RfNGYPdubIsbtINnSQ0UFElFEcSpuJBE0N+4rOozkEY7x9dbGyR4YNO+zB0zNjQXKF9eRmEMz6OrVIi2JwGq2RoOHVw3oWpm+zlP+gcTY6O/9Ef/bMvvn7361/7KjgVf3xk+e9oF8Oenp9sd6ZmhtD3Rz/8aXf/5LU331hf38JMGIUs2Vxb5wtwqkI46fSUgbzl1L+tTYSwSRBdD7od/gUy1e54uU4OM41DsOB4J0EQacY0tmdgMitj4qwp7YaTSo4E9+4hSoAQ9IZ9kj37sNGgcKK10uA++xB9tAw2ibVjx99a9jg4aODMHYj89q6htX36pqR4RyZmM8+TLl/BDaQJilVaFBzOZchkq0GO6GGbNAKEBuH6LCWXS/fGtcyhyD1zbghD+gjFDtmBXlwlQj0Q3rviq7xQTvFdNVQFoFE8doyYzR8mS1HYIzrYMaVGP8pKxXWuK4ZnexdEUa3mceKoi9hLCPYih7Jd59S+8xMnBcOj9ZHjzuqg8Qm18GINDWLHCY3LqABupeOT7V398vDawjyH1cTcAu4GNkTE8Bsat37SyA7hq2XOt57x7mKUVOoiCEy89MKtG9dWNp8+czAHIPUazkeNFp7ef3znlSlEWlqen7QsYmkJlT754CMLDbgvkD9u8cPDTJmcn2MsC7bGh0ftY7bmkKOPh8mBH5poYEEtd+x6HLc92vl9w/t4q7N9nG0/25nDKcMZrtksQa9xIlTmgJNp3YGrQvtJLPTrdLdpT3vR7ty5tXJ9WWO1FPoxKzBQrptTnwf0sf2DI45kK1GdOK+ZB1YxdrosvCC8sYDjSUoWBaWaHdJEXJELdA5OJx2oSaYQ0YUxICT0EpgkthQpVO0jRqajJ+fZJTtweEr14Ev0TDJ5Q7cI4CBfgvBWyvLoKf+yTyIvIirzGtHwLKKX0QQTInW7BAII23nUYiwVSUO8KSjmHmLw8UBbcSeQRWcRFT6Xp3YohaLqBR1uOBp0QHI81CJJPMtyvVKJtOlXOd/uwsnt49MLL9y5O39tcW550euomxxJlVMVnNKBTMMnzuc4HhgfdGJRmzNxrkF3u/P04WOm2eqd2zNzc0YEe9s7zoqzAXB/cG9uYWl0fAZS156tO9/zxbfeXlhZoXx9vITQ4S913tCD+/d9bMkBHYdnxxmXXHCad43ZDjZt79vlEeAoB7CzGIzybEt8/OA+RuRijyqzhDp+7/Tm3ig3FuSgHbOu1cbWPXynaPhoKJbQdNYeZh0gzfPYpNP5mflEqCZ+IF+JcmX2cSDn5HAsrl6/8drrry8vLylQjGlQ/dYSQpKPpNF7G2MFgNrgjcKQBuvUDhbP9dSkuDNbcngJBpBSdWilFjTFE+oykDJ/Rlodjg2bwsC6J8eaF9aKiwXzEIRESXGY7C2Et8iiuoqJWkFU3ME4gHS4zJckwpKZf4yfI0aYJFG0BUX0LR86vMSJqivwihSrYrgSPpltj0AqN0UTuZE3eCvdV6WKzYCcjD6iKpUSL1FEChFObU1djHUPLo6MARxNdrBvEC47XsRVhKYSDqzV7ezqiFmfeXAIHzZt7W1tP3n45Fe/fHfp5s3N3V0n2BIEHImoqK9ure8sryyYwUa81RfvjPF5Zob46MnjRys3Vm2pgAVM/eTp00/e++DZkyeEpE1/N27dgAcecPXi+k9/8/G0WcmZeb0MITbW1ra31i+YhZnUq/3v2Wt6cZjvbkIysoUQiJ3uxi9S3ZXxzSNh5QLnBe7Urrw7yyLmZ8+2btwwAJ1QQvaS0JIsy2OyxApm40GuWidkBcmY1Vw1Q5wbwuHRbIKTE+eBnYyRNMGubhzXGhphpxCKOe4mciulkQ4ggvAwJJZkEZUxEj5DuZGhqcER7o7pSbvGHQVAGRh/MGIVq+f0Oo+KlNDjqqIr3vGoXkTOEoCMReMaO6NRrG/CNpVaqrI0w88Cjjbg4/5OdrwV8VITIhKH8NFp0J0OlnOP4I/+aXIsopNswuFg0kg5TzJCbPqJ6LIkvFSVVhpt0Uo+9xc/+FOngMa5XPyEOjmSyg4FplXYBfSDw8YVB529+589+ssf/Ojxk6dIfjo8+eH7n/GELS3PMhrAsN85mOYL5eKYnH72yYcDw6fXVlcVQ0F88PNfWHrwte9+h1pkNr3z1a++dPfuw08/fu+993gyjZFwlfDMtuzTA9sKN/e28RHkmwl8/Pjh2vpTXYaXhEPfbjWM0tANIcVbYSw8QTwwDdP9ypuTTsU9UYedXCxkNcTGxs4Bx0WmetZXV5ccmaso/SpKGbEi9kBhX5njAgwXO/YXBLcGdfiLqQsIi8cOdi3wyeo0RznltIewVJRg6Yr2GM7xr0JYEMktX8Nh2C2ED22loVB4JnzVY3LcuIy4wahESTQLjiz3BACC21ZU7+rFZclhLJxTXF58Xq9UmQQ5fToqlVFoC1Ib1IYnAZdvTFhjZzhRw99Ip/Qk5aa+8uuU0ILh6EEhaq5UA6aDaJ7B6FYc6YOb49OW4EE0R4Ct5cE4Vzt8H5wtLXF0GU+hToLK2WcR4wbN/PDHZ5ubO++/++6ffP8fbW/tvvaWLw2+8fHHv/nJj35kCeeXv/k13Htc6GIf+esenjhZw5qZhWsv5ouG42Pf/f0/2Fp7RlRubW7e+82nN2/fsuTgtXe+bD/kf/h3/wNjx7t3X3nt9VcNeKcn53Sc7e3NwBLtt8PvsXptAa4M0qkeDgL3gdwCB0vUM8uZlmshtIhHBlkBj37QpId5y8Q2dNje7iiGtl97Zs5n8ObYNQhKacE/uvKLhoEwC/0YQ16xmKmOwIRnWHdonMkQn7yzbAIy8zaqIS7tupBaluVQlzVML1OEZAtUTtWvIHHAxV45/BIDhIxec0BOTxlhlM++miiVYsEpS6tIjOoSol7DBCOUcFwGYVlSkBALJ2EGMsaFCEnQpvBPVvAkUI9KiJ0VyZkQ7ovuU5JLRQExFhhdnAK4SI10daUx3UsewteeLo0k8CfHx80ZT5NMpHt6H4ih8Pycg8eRgwtzczwN8WmNjfGRys3jAr9M1/2tzqPPHnP8/c2/+bfMb2xvbPue29To4O7A+Y9/8EPDoLffeWPlxopPyLFEzPROzs2Sh4vOXbdTdGj4sw8/YVet3HlRnex3w71Hjx6/8MIdEN9+6e7f+Zf+xX/0/f/iVz//q8f3f7N6fdXEnQ8ALCwtk5rcEzr05PR4xhV2Lp84OjuH4yM5RoGO6J58I9M2sBkaitsgOMnhFDFqo/nMxh8eKZO9uLyYIefDR087Du4eHtnd7fIcX8zPHWWCka09onCZOJBx9IGZSccNyBjrAEojGlTG98oNd2qBxCl2HOJ/RjtUCpkr6I5sZDYq3gqKvYMgA7RoAqvpsUycAOG2gFrwhtT5nqX54Ykx85jZiOWkJbttwE9pNV9EU00pkOSogaHMOq3hTv6VARid2SRieDDCB5Jp4TB2IMQnkcwZHORlOOp58AZkxiEBzhIGfVNjlAwNQw7n1rA0An+WZIpnz6v45ImT9KFqbAbH6TUK2dva++DD+zvbnRur89/+1ltLC8tqtKs0xw85XX1jc+fZFm770te+zC41a4mXVX9tZcmuKW60jbWnf/799d/53u9dW1lUk83sR2sbswtzzBPWJObgBlWPiUndeGZ25pWZ17Y2tuLTRbuhoVffeMOpWB+++6sH936z390BE0ZeX590zN+LL71kb6kt+cdH3dgAfOUMYzY+zxgfgcVnLMKJcYfEQBZfaNbznJxwa2ACr5wJggXtGuKd0k+g3uoMTjDTQgqAxe2tLmFMeksPy/A2OycTe9EQ3kwrY5zKs0N8Srs0O8sDQieikeo7tEJn4HxGAhQL50M005XkzGdhpuULW+DunIjm75RvF/fzciO6UIxVlK7ZnowgGIgOVhkdYtYaUUQHhGEVTb64XA1IlJgRX3co1oizP3wjNjYWIYlpor2Bk3UuYaVkqKrp/RBedwotsUqkUoRhS6Ds8JSWUUiRUFgmthWMW3zlK9y6MkHFLpExMIZ1s6SCZLKGgMG+td1dsxh4a8fKOXLMEtU3O0fTe90YdlGIVjabejxdvHVj6dr1TMRGXWYmccnq0M2tt7/0JlcBjPzj7//5n37/T9740tuvvPoiF8nThw87+/uLy0vWCtDjvAmG8cQ6R7wDHZkwziO10Ky7sb65tuZx4GTkK1/99uqNm598+CuOHac5HDOzNp7YpO/IrJfuvrWzs/Hw3kfmVSwg00eIBOdWIhj+Qg39yYCPBWZPmEevKDT91eI04lnM1LRrjimEJGi5trx4NDuDHRHPbHFDIYcI1rJJjJGbtTLlnTcCJRNROMqAeMBTAp6IRAxmjGTNXGHi8liFCHxFuN2B6oAsRuDI5ptkN2fmGe2bme9VSIxUiYuUIMdEhTfMnVlRR0uWaIq7YNhmRpmjiyPj6LiQM8EEnKVt4bkabDbrK7K0ZGHGdeHN6NRykrv6DlcsUKXjCpIoDgglpObLSUp8IqMydTjwAIC9zr87P2tbFD9AHJKZSzYu082zaPLgaLdzdHjmvI2tnd1N6/sOaRY4d2RyNk5hcaIronPwjP7MCriOr8Bd9x1UuoYTFSIGD4au3bphtTFa2ju/trHuIMA/+Fu//+jps/fff5+c++o3vza3fM22qsVzTqB92+lXb6xSyUw5ywDJlc21Z+RfzOyMDA4fPV0fHhzf2Fq3tP769VtPn/7mpRdvKZwTlc1wtL/37OnFteu3v/rN1Y/e+yU/u2xOaDNA2LEG+gAzDPmchQ6nRxnbmRkFpxkgyoj55SyWYN+yhZkZRwmMju5PTTrQd0abneEri3XLQIJbo30uwb2dPUxBzZ44oNzusZD+/MQnUfTvWE7BEtvU+AQTRf7sOvUon63KbL+3ExMElynpZISrELxypleTd2f+xWomyVA6qK+3YbJSIEie30YTL7npqS19E29RftFgGAYPxKJyw9Qx0FBJeMsyimiSrEPm2gyjhh1VhmViBlJjA7ZxAih/fhWR78XrKecjTNQIvhI+0Y/S4ypBbdjBMSwL8w4ew1PU4AQoLA42LQX1vmiz6Qszu7ZlMn0yStbUaJdRh+MNzUz66tasYwssrvz1B5+RBa/cveNTvZNM6VFLkcZIVTyeFSFmEE/Px6am51dYPw5xCJCrtyZN1R7++oNf/PinnJ+/9VvftXHLON8X3qwMu//g/sLyoiU6GY8PD1578Ta+NvHsLPj55aUX797l2vZVHEcUjY0tTk12Nzafzs7MvPbqW9zfj57eP3Ks6LEqbn/tO3/j/qefPH74aT7OwwtqU7xZGGbcpJWlwukIVhw6d4At/AwO7uiRi4tLWAp+7MDBEE6ewQykCxyT3lihOnqoOzhgzHEAaQQigW1MyFPfzBCIlR62kUj2g4MLvbSxsk8moCpus00oa0Xo3YGh8eExG9OiZpAUm2TiMjTCNUJuio/a1FNUZKRUUqCmLKG5v7AXNrFQqghNamY/vRBZBRgQCz4HkjX87vi9wcmo89YEjESZCw/PKjwODIBEF9sOX1XFEs2JA+Mz01EohFcCDq4RUBWYGphYU5MOW2SpM6dGDKkc+OFTEL4rsxWPpu/lHh4cEbwx5DAUSWZYyI437Z/1yqSTrZ5DwztO84etI8d0Hrzz1usL89M6jNG4HFrafO68O5a9K8JX3uaXlu/95hPHq/m276uvv0yfd7a2f/azn9+6c8uq39lFu/bGSMqH9x44IoHxThue85fS6Ead42NODbH33an/1knzvC/fXDHytrZ5a+PBJ598uHL99mtvfNVZj/c/+82nn753vXtrYfH6yy+/9dm9D7e3Hlu2b9LP3ODweTSQKb1MIx46yPLIWhf8wfNgBSouMXwLXs9P8u2ymVknAmZUEil+YkU87GX68eQ0tjoDx2qFkcyBoYYBIT3LbGoE0v35qqkFK74wljKNF2x5owF5KZSHEYfZsuU40BtRG1UzhmEbGr2Fb3rrAD1Kj3fjVqWg8pmH+MFjFl1OGZEomSFin3FKWKaQ1QlqiNQKt8QEHxrRYxqjISypRgzpU9CLV+N2CoeqNrwkeGQ2TY1Ohf6j02Pj9hnrFLx28VvKAyr4Urr6dBRvGWhRYGen8Lt2uG+b1tqmD4mY6+RtxsKMB2czmGdVPWSBHnsNcTpEtlGENaZNt2d6H9r6cjy6N7izd8CCm16YpwD0MuoZN54ajx53tQUI+WxufWLECk/cb03cvXv3Vq4vcVC4OblxI0bJ8MnE9Dg/6v7ezvrWpup/9ctfWgKvFXxGKzdubTkMaW87bpSxkadP35+fXTIZAG8H+w7qevTgwT0fPnn51dd98fDTzz5d6O7x+l27dptxc235tmHg48cfm4uGGZu2MJaVsbu7e5ubu1mFO3S6sDjNTPQhzFq6E47ihAGz9vtjdYmB2kYa1hFlIFIHoNn45pggkdUYK7NG6O6aTs/z0WiMVmIYrLGMWle3p8yRPWO7vpcW1ZKAUFFPmsxaiWbK7mNCMedJEI1mJuOpLLkDC6iJIFjUJJlUnGCkmLHogIMpyvJxVRBFyDE6YnDkGR+4qir/I70iiRv/efIyKpzGVnTcBkq3jlktvAPOHciSKQzPrgYcEYWlJEY6K2Mwvw+TkgfrG+uPnjxd39w5MRXq42EZ+U6PDfl2l4njrCBQLy+0GmhAqwPJTE22kjUtzRoSCwtOWLCOkL13/+Ge+eDlRc7oqHl/ce95ddQ9OuK6wHbptNCT/VIjs9MzirVFcWV19YMPP7ag/tryNY2ZO8snBB8/eLCyvPr06bPX3/zyL3/x05GB8xsv3LJX9/ZLd6yQ+eDXv+Dl52LbePRoanru2uoL1jVwvPGdrj158OjRvem5RR+mM4i799nHDvjzCdYf/MU/JhxevfvW4ckR7iTYfF6TcDX3uOWEDyfdDQxubXQPswHVePBEB7SCw3wszqD60Jp8jUCohc4Q6/BIq5D1Txn94bJQC79gGlIifoN0fUIGi8TQsQLxjMsDUJFGZf9K4Gs8nRCa9kRoHb4cihg5dA/1I7lKgHCxm6Y7U5FPRDFrwpoRR7SoXzcWbZF2VBr5BCIswlk9lreCCtlYVlGqjJQT3LgjNeLHGogHLPLJSXhcwPHQlTzJohru0TTT3gLUxvAqowGxWTgk7pMBffSsCydnnf1DH7F9+tTauy0uNkvIsw9AUYYnjCR5TGzjVKePGvL4uFc5ugyDD/MhSNPScQhVDz424jULyq7X+0FrrcrxMmQaPWVFEczmC7sDQ5Ozc8bRZhAZmFwMPkZPqzoc4cmTJ9YB49rtjWcXZ0eos7Ux9eknF4uLC7/4xc+vX1v55MOPXr776l/++X95dH745ptfefDZE5sqvvy1b//yFz/2cSW4Oj7e3tndXlxaNZc5v2QF5/Ljh5/x+//yJz+45vPlt1+2lX53a+cb3/mdn/3oH3/4wS/n5paur94Yv3MXe23vPLOnzYcqLAPUIFTERnu7nbOsPHMUyEEjApcDTJu3tDShMZaxTvPGsDqq18Mu6sQsxoKxejK2yixChnKxc5Qd9sQj6ZoG+dCiMoMjYgbr5DwXlnqEBXMQdYlVyRuvVuEZSurmcWBh3RRYgWS8IKFyyqOpTlXr+HbnhZViMVmIR4h5zh8bK0dFJl+yZ5AgEe6Ysf9zbnrCZHc+M5Hew5IZpr2saTWlSJlbLKmi81iIlvUZBbMWLCxWW5ibY+30bKd7+GzTB0e3yRJfZTPeouphMJ4VPpmxSaowqyEZSuM8ajoqIy+dBruYZo1ZR5lnNJJFO3DEaMz09uCgk90fPX5igpnPMjNRsRjTnwlR9Wb2gVgcHcvq4IPOe7/+5erN21bKa6XB184WLNPFB08o1+PjpWWfFJi0G6y7e8DQvfHCnU8+ev/x4ye//Z3vra2vkVXf+NYf/upnf/mMtX586FvnTx5+ajf9teWb6PLaG1978uyhT891d5+9+7O1my/cXbq29MkHn3zju997dP+jzz75cP83H5hHun7z1vK1L+9a0L/5xNDNh1whhG3Feaa7BhqrX1gsQ9lqgczGNLiN3HdaxSSs5Sy80Mc/17ZOAIYjXdpIPIoiSqckWPko8UUUE9UUiqNpvN9htuSpa3QBpFKF7DCCQ08LD0lWNYVTWL7Ba1giDNJSyxGOy/JMauHMGVAk5un5qAUd5p9KRuGKDE1lVEjLh2bmWa06IqjIEfJzINOXbDQ8boaHCo9otAECf/ksiAFbNG80UT4yGP7OjLrtSkfbu521zZ18gjbr5iaM3RjocaXESZEDDMJSEJklpuVGVXfY0cZ61YaVqQlmQ9leEbhBUm3IZ1FcbPh8uXRkoq/uXjfOJp+QSpudXEXpQBM/AiHhainLw0cPnBoPlbGDLUdJX8/0Lbvj6eOHr7/91Yeffnrt2rVf/PTHv/t7f3D/08+sY/0v//jv//bvfG/92TNS6tbLb1Dl593dIR640RGSyel7t269uLezkdonZzaeWaB+tLn24OnaQ/Gdrc7rr359ZHDiyaNPj4/3P7v3/tjIzK07r9x9851N8nL9yfiY2dIBK92y4szplTqKw0gHnBzI3Iw2AB/kYIQs2YrDL17QtF/DIk7CEPEvVj8swxg/EF+ZZsE66MCkOD+qhd08t4dkPxUUYx8FlSAZPSAlnOABdgk6ShCJkMEDqhN0kXlF0urXGA6zMWphPfvXs9oAyk9tTxo4Hj46YC3ZQUSR46jBlZsvl35NIr5da30wFmmc5oXw1J9tOVqaQgktYBAMY5NT9lVmJ1LWOmb/PNYSWHqHJ2cG9tu+O7ufNUARg9GV+bg4CZv5hIymyaocClUa2KPeFasu+t/g5pT5eMIRTQWqNJyXFebWE+AlHYrjMfuqbf9aXlp4+0uv+V63qR51dTbXttk03a7dEJyfaw8+ZS5vbKw5GhmWjOp9I5NHgEee2MP9pLX5GSPS2YVrNlM/uPeJQ7P2j85efuWNH/zpPzCG8MXd7/z2H/igL5P55dff/sWP/+zhg08a7WuaZeDOi69BonWyZrzvffwBdwz60b5E4JtvfnVuYcXi0qdPPu3sbVAi5K8PEaysWmY9ub3Gy7phUwX6MQpjRObYknxITCfXdMYCvBggZ4abFRoP6RhtQOMjLX4iw3QSiYmfJkowDalODEgDVbjMEi7DOxxXvOi9LCRiVCrm0HxMKAZmWDsEJPs5Vrac2duAPiF89G5KjtBqFcmLGeOPcgBxRmyDx7XXnQMIvJpA9QwuLF3XAH4OmiJ+y9EJs92RIzn+knA17og8Az2tQXSBwcY6SWlAMBVTpmK621ppCzu3TXZY2JmBRowtsHjnl+Yll8w4axmFZelHuDZWKIYJT6aarPXjNkZwSipzCcRzOqu1z2Ss2Y90UEqOPTdASi36CvwrL77yyp3V1evzC/OWbu6sr5lUJiFfuH0b0ziL7cmDT60CBeqaL8ivrpLbRFpwkk5CF+5jVZSRfmt94+Bof2X5mq+PMxd+9uO/ACYG+tpXfkfnxZ3vfP2bn3z4/nu/+FGkTGhjLecZK8pyjPX1jdfefOfhw88622uwmxZ0u2+89ZVrq3e5cNee3rv/2a/ZJfqYURV1t/rCS3rZo4e/AbLFtowJUgKToRyyZUCdY7HMfdmJn+FMaAVfcGB4j3XsNYoShNpmZKXbY/EgswbybF9N2+tigCwZhWUUbLpPUR5B4jnw9Lw8XEaEFu0jdURh8jAtMBYahorFX6FnhJxOH3UR2+SC+rJwulixPrAVZT7KyeS4VHwSLQv0sEAYgkhs6/xMDvjsTHxlhpv8eEJLmfpKZ7uqyXIWB0d197N2vI0UCpyyfdoIJj0AOTS+GFZlGSMEk9qsN5fGjiCxbgFyvRC8ScBPoki4oDLyPpuxHah3ePT0yZPR4fNZUpYl4ti+uTnTsHs7HV+dSPN8eNy2q+bIGRrKNo3ZuI5AkQ1QBQwjVP9YW1+/vnzt4aMcw4b7KUeHND97co/E+PWvfvjqG++srt74qz/7M7xFqP/oL/4EW2oAnNncTMCsXL/+i7/6y7fe/vLi3LVPP/21xUxzc/PcWuwny5m+/o3fM5z8xc/+0eH+Dt5gsn726a9nZ5YcV2lW+/H9T/liDI1xnOZrtRkhPG0JK6ryX+hd2gLaNJ0F4tgwH0Dk/atZHc2psxQjjcKznKsEV47GPDE6jF5D/+I2iBBIR4UIzNkwDwlVIZYtlBgBiLTxsOQcfSxNMB4LrTGxSfTYNak3HAeq/KtRKRO7TDRgvvX2V4BC97nUAlEaPwKCuArgUcO+GmLxwUzcDXWUNISWAzX2JKbQbe1bsVAWf9B2Jhyi/sLZgQM7xRcrirzR09lYqcyogACqRGUTaI5eiAdip9egFn5L7DPb+eEMbYNXDgxdhyMlQ/R8YXl6aXHm9q3Vuy+/aHVDzSIMZmemMb0Zx5yMbisy6+iZMrWFRxSaLE9BAW3Z3t4CPhjVBUm+kOssSa5LGvPGjZdefvmNf/yn/8CSBX1blmXHvd194+c/+dFrb7wxv7j053/yD8wbmtbAoVrAmLv9wouf/uaT67fumCl6792f2eYwOT5Dw7BnHE508/YdynFvp/vhhz8/Oe1E53M/ng/5eI75Ivr66dMH+rX06Jvlmzw6YRK9JcQl6qubZIkLIe5BD4tGiviKA12flSTSh7bRx3wx7+TEMTs2l+MkTNAYCI2UgDwajV+kJi+gIruqzCIpIKRibiRZ6g2z4mnwko/opRtG8JJ2sYCjqZhxvs43YNUOxrQ5IkQnAiBaLQSDAXuGfPFuKZaZkwWDbDpSihulZKT1VpFjCssU90U+MZrl2vauWKEWzi79nAMawFCiEyBaoNHYK+Ih4grokTskPwu0hjYAIo+EiC5dQ7fxPyo4nSljaY6TeFJStwJIbPZ+tZnYzjS2AsSR5SInTsd20xcHcXH6C2eEg7j397Jp4Xg8Xy5lD0ZGxjq2EIUALVw7MXubPObY291zdPanjg/80jvf/OFf/qnZFIR89vRjn+f6yre//ZMf/On16zf+qX/m7/zgz7+/8eyJ792DARd/dv/Tl15+8Z6lEHsb73zlm59++rHpaliwh9GIfv3J/b3tzte+/ttf/vJvP12779uJna11YuVgf/fB/a4P2X/1K1+///CTfGGVpWM7ES9BtmNYiJd5Hi3HQuCEFpNRVjtqf2TBgNEYJgy6mzyBVeaydxLoqD54jbEwGbxpb2FVMen4+KQtIxApdaxYdmwC44BFAklxiZclrIYcW4KWWTdYeqRKKbGV9cf+aEVWMo9dNPfgm2+9U1VizPggULu8tfbNTfiSglBUrMGscotb8Cxry4DZwQdgDslplpo5ChhhKqH4J+3NhCOYNJXZyLEAfhnSsgg1PMz/FJbKc7U3ElbIW8/x0DSkycYoBArrzjQRmxfPm9tZWVxYvbF0+/aNpWUknFSKVeSMYZKLkEKeLMzr7HBpsXuOnNW/Y7+DcTIb7tT+QWhUE45W49LS0vr6eg1CTpeXbn37W3/w4fu/evTwfbyouPOj89Hp6a9+89s/++FfTowNf/tvfO+D99775P139ToQq8yKdUcx3//sM81988vf2OlsPb73gXGERudkGXN8g4MvvfSGZVqc22OT4+YiQeMocHKR4Hjxxdf1kEcPPvVxYPan8ViUQ7prGwzGhErIenlSSseI9RJZnkAABYNBNjmUQwOH9JBHj9es8bdiIj0NzWsxC+yGFUsVwpgdlDx2bHdyJtRTSkYV6XxqxL66axZxggNjIb0xIwRGbWMmPG/j04XjvWKfWANcns9BKyQxFmj47q1ZIV2sapmbXQhLTZp1pyWj+ytN5AcO4KI03HBUkPEU/QaSFFBta1zlluRUH3rEVmUK8kgYXhaIQUxksS4Ijcpj6Ec4icHy+QuwCRpob1uEc9DA5UEJDhqFktm2HM5M2SLFQJxanp++eXPl5bu3V29ct3ACrg1YTEDaUc2CJe1Ymg46i27sdi3N2bXcqbPLw2WbHvPd8BMQ7CRggZDjzIGlBubWgd658+pXv/SdH/3wH21sPohH7zyf6B2fnP36d3+fC9R3fn/r9/7W9t7ur378A2fPAlG7WGArK9dNHIHhjTe/ZHj4ycfv5hDkoQh4I1+IoGdh3wDTNOuCjwwMOLjwYGdn6+SI6Lp2+/aLFoo9W3/ELSWX5qM2scXYb85nXRg+CHhNg8zYQkV+DQ9WIfTM/Fa8OHvd7hNnOK1t5ktVdcoSxmKJNGEvvRuTJBaKzVm1EyMvVBDgH3F6EqjsJKIIOWg/qBSq9vomb0iWJU/kUQaKhgrmPX0RbXV1JfQNlD7wly/zYSyDxizsrK1q6tY8lUmjxBqic2pDY2aNqo09MYWLAhMeqQkWg4BS98DlZM96+MhanF+esPATQEq8Y0zSHFOWpR79SG/HQixTTbHpl1md6i6OBnKLtjAyY1QZeNxYWXzt1ZfefOvV69ev6ZJoSPjoVHFaGMpiLkOYEorgJ7SsXzBLY8zk61zspIOsF4hrA8G0zjhmbeOx5saVc3T6ta//3tLS6g9/8F8cHdGhDmDWUw9GJua+/Z3f5zVl3r/yzjeuXVv95U//3GdxVI1uTmPXLQ0UqN4bt1++ufri/c/e3z/YIWTRHcpgkYf1+sotvGXTtcUHDo/IhwJ85eDYksDT69duWI73bP1TPnmTW4ZxMI2xqj9GN2ANqEb9MDPBVvOGQRMIamkJTaor7nQ6jx+b8/D1a2dWxHgFHqobsREZhVUawBGUk6aM+KBiXVeIPyPWbJRRVG4MYtyT5ddQ1fq8hAZcLCbCC2MZdNEBKil7cCRzhVghWoo9RdOGWSWM++PikK/LWRoxQdAlqyNN1GTzhQZkgqmkYM00uQ870O8ljMI8glgcSRZxx+M10sd53KRTfAaAU5Rcyklrwqn+CdJHnkWy51EtUY1cDIkKeANsLizp67U42Ek+6rFiDodR3xqiwFhqbWVlQCwUMXiHLd9IX28c3qFYnRRyPDm8b/le1hNGTg6eW1wxc7BIP/sMl9nVX//qR9/5zh++9vrXfvKTPzGeU5YR+mFn5wd/8f3v/u7v/+oXf/XJ+z/Z2Xrh7a9/5+FvPtpee0qwEg/ot7i0mL1Em0/w+Btvfe2z33xw1F2njIxPAOBId0uqb71410KxzbXH3d31zu66uQIk55MjAsYmR97+0rd54Xa2npC5sECUpv1uchZtqA7Jun1UmgzBWoR8uSWc4CqJQbQ1zTl60RyHT2SIMnziV4jUMgcYrgxLOL9EXzUwssagx5wKrR0MakFMGZUcetXygvBuRZDxEEqRERAhJ2lhOarvrlvUisDKEgpobzlIGPnRVkhH5skVgwVncoITUoSGSpBIkd7l5AXmvqLCBmFxvtAcRRsSJlEGFNFz4YhSyZJhKunjN42wC+u44i0XPTHeBXe0S3U7r8JkLXjJgOfQ0J9Gho5HfDgrhosupQlh5nJtBOcl4nS2ulWH7pU93Ap2D0lst9FTi9anCBm7Q7MmyMGhWZtvd8MMkygQpXeevPf+X/3e7/9zmxtP73/2gR6lZ6Hm+Unnpz/8C+7TX/7sh/t72598+OuvfeObR53X3vvlz6zFsqOVO9YwGq5Pjnc/eu+v3nj7G48ej+xtr6V+w9ssAOR0+HBp+fqLL7+2vmYes6Nwxyc86z4cGX/CgTU/b9nOzZfuvma47BA3NkgPb4WWUMHwudob8Y8WMFuIgnY3MKgtkxMzk746YPk8WWt2Iv6ETG/g0toXnUUhBqgkEldO4e/5BcpTYMigePVl0QpWdgMSFlWxRzOOMWWCLk1H4K/ImzyXHHI1SE3DQTpkKnDY+jkjC5nUa9yAT3gOw1SxfgJBAA3bIBaGNIKNOdZkUGibtkfcgIOYwtgV0TCAjTAfFCgj2K6fJC7MR8DxZ1TT9MVqSlhK7UEeUQ1sSQGDFb32WIiA69ZJxOPSHFOD4/AdbZgxsjOJFWLp5uxsvllvBil2DHs4BkG4UTFTU0ClvR1tgyFtMPv0s3dfefVNS+m3tzbUSjMYuhk2vfern3zjW7/z/q9/dnyw99Gv3n3ljS/97h/84a/f/SlmojXIJ34oMJKIv/r5D9/80lcs5djbesK3xTcJe7rz2rP7O+NTq6u3fCxjc2NdFYnNipSzvZ0n+3vrjm22KpB84VpoZIjzssBkoIYA/oOpmk+quVMyVKBhUExxeoXzNC/jMo4C8MReixDPIj2S3Pjp5IAFiL6Q6ZiT8s6HMSKI1FMTODFt8ofWVj8oEQWigRAJBOFL5Ey9SmuqOlShQGBBohj7547ZyGwAQQrXWR4zlG8oML8jm1KAvYM4OayVrRoKLh95NTCcosBUqsp0LAPiEp0lzKuuJIgYKX5qaGl5IUGkaxUhlezYBp5aieoBE+dGBJRxLWuUCYB1IUCnigytMpsMTmmZotSGrEez6GL0ZPxs3FSpz+CS2Fkd4mObIOWHVgAF6qg4pKG8SRQNh9XRi7ON9bWV5Rdu3rrDzt3a3iBCDKYABFf3Pnn/q1//9i9+/peOnfz0o58vXVv5yte+/fjRZ2ZySFNY0QCSgyv+17/86RtvfZ2qun//ffOcICR9I7qOjx58+qEpsmvXr2vD1tb66ekBmiIiBtq30ssO6fB6dgFBaVaphbpuM7wHhuVDKFFCJTIpr1iZxgKd7JM+sPqnw4aJ1wn2uLv0LFhOF03H5rCNMx0D6nsjx0e05eTYMdMotQT3+uMx7cJFab9fFQ9yFIkSgt5QMnaePtxkZQynqEKguCpaqPkjT2E1lC6PEnETJokyC3/oN1lD3zQP6SghMmOeUnq0brW4HFRanB6FicPcpeDy27jKteRNnlNTxWtIRFddM+0QMAJ6oaonltJH4ZH1aa6DjcXTZjKqBhnVl6vSyhImqxvOn2ETpaa+LVvGjSxQqwY1U6dRIS41j4TVTs4dl2Xh4rgmmZShcktrwPzp5tazpaUVHtfVGzfy8TfTC3BJABzt2Wj/1a//1rs//8HA4NH21prlga++8eXZ2YXPPn2XEgsCYK40+69/+cM33v7qjRdesgUDYLoEGCJghoYdx8UHYfr81s1bVrBtbT41d8JtqZJMIpMiPoPoPBqyLmP8YIUQqBmiiG92fLoW4ijNqyw90NkIKftgM/mgD0Q+xWZwSZASqhu2sIIi7byPi3okylJpxVspLamCx1BBT9N/M/RiG6mhrBr0w6kpLcKUrDnTQ2EnGfCQvP0gUdiLURhGjmwmnW3rMHo0Qjm32Ls38xPIlE5+i1VmZIvifJQgbY8s0IDwnhoDnZBhY6BljQUBUWzhrca9DZgU3gvelWxEncZnSomEJ07Mm87Pzl6/vrKyci0TnZk7D7LCnpchzRWC6phiYUrqPl42x8SNBH/EllEGKczSnRw6G6MTuRNZwWRAzYDCuu0M+9u3br8ys7yyt/vUZAtnhuYhDomZ0wM3pt585+u//PmPyHIrM375sz97+e47b7z99Xsfv58DlZXDjjE0Gj57790fv/3l7wzceeXRvQ+M0lAZxKgDj4i1s7vBl+uMhjt3XjY7aVQLH5prpOIs8sxEo0dkQciYdY4RV2lyi4FJWELuEki0SmYvcEBCZMLFKJPkMkgob8n4ECPLjbMOAJ1ThhwxIqL6enZVqwS5olPpM+txrTpopl3JCnSpYRtch7ECorou6ZjHdl8MgUmidMFU8kPFGcsxpVJ5vBbyamFrG5mU9H7CqV7bS00alBBSLIRclqk6scVEuUvtfRj6AFS+5/EtL3ymrVny4NDESYcmWqlneK/3t4wFWDSjoMw+bPqoBOzAXK0qGdY7Y3Tp0kh1EmfkhE8Xktrxxse/P8Up6tMr6Z4px3bGrdu3X/rkI/7M07Fpg1yEyDK6kQkzhvdHJ1595fWvfPjuzx1AYebqNx+/u7hw3UKJJ0/u24FYTlfjDJi/ePcXP/zGt76HaZ49/Q2iwbguxybjRjCy5QHmsp8/XeC2PBrjbuukh5a7x9gC5PFy4yddJOZTOAm0WiroqxEZppypwug+yYPAepV7NGmYUWCMuZTTUJJkMga1iih9lFortGe30sieguRCacX5l7+ABEtxNJNitr611AH3Cm95kcwNLj9ysNWIZvmyWtW4KEc5WOylpPhXstBHyVVGHe5as40ZDwaSOpOTuMF+VYm2pkIgVKNy5Bc0Pa/OXYU+VA2YFsnuqKbLoBydGRVjc7gHnfqEYiuNiNVllAFRoV00hlqTX4EsVnH5q+5ABrK7zk+tfHRrmTBeM47MVBp1hhw4oHvYuT1zx6kgDGCOVfI8s19BZlbwOvDh9Te/+tIrb352712sb/nyzvbTX/186+7rX55bXLr3yQe+dIeNU9/p4S9++hdvffU71i4fdDdiSQ1ccFASlRmdZCG47wgd7W6t+xiZc8KoMjNg8RYUA0XipwVBbhrZm3hplM7nYTQQQs0+s5NzOC7lhe5EMiw1QwXh429lGAUt+Q8JoXiMBzJLsjQtBn+wngLrtUsmDpM+wRSuwk0/RdMCPgQAkU50KbEazfpXOd0nZzFLbkpqETruZLZvUWVwTeYCOrsw2YMZjqYnKZm+TkeorRByx5WVUNBgicZfl0wvll8KwCXgJVKUetJOoTImZ90HpvwFH7ngnGAWTOkoZeElqppX3UNUZHwOm5BezurQRYwqSMn1aiTHGJ8dDzn4jM8n0xPjF6aQEFgtADkfO7fQ3qwDL4AP9eTkSjs+nf41ZOd74ETs3+CtN75yeHhnY+0BSk1OTht9f/LBL5ZXb77yxlceP3y4u/nYDjazHsypX/70L7781W8+emSBS5e5qLEGImCPkYPkBLDBVObLx9ygWNzbdQYkzgEOCpa4CUphAE0hhLagwqSn2k2lcBIxmvGl1LUtKyzZuAL1OOFdoReOwwtVuKeGW/FBaq2MkEwtMBVakLolz1IdWU94FJuSo5E7aJBsJbEqceBrVGw3QVVTRSkMIcNbSsYBYaUM81y1FjelOExmwlRCsi3mV/pAvE3JWPwhTUpmbSoufKsP9N4oF3gIjKFTtDyRR6lRmxsYUJloWcjztCUcF4gS4j6JFRFOEV3lRjb3ghKCAHwOQdEdjMu8gsGKybQa+NXOchRrk9r5MLvSyv7BI7N1Tt8r38zsdM5xnJtfskY9nYOTi0VVa+XQTxl8jR9/8MvX3voSidbZXjdEwFsE/Praw82NtRdu3XXgyLPHv+HuN762HvDD93/2zW9976P3f2r7flzcQvgJabLy09SLljCktUmX0M40GlS9Lhf8p2nFAb6I4cY8ahwmsBCEZBuLnhCWCcH1MBPzWqmlsa3FeVBAvdexo1WrQJIxFNA0bgYYOXVeejFDSICraGStTTlsa6lSAkza5n0Y6idLzy5p8AGohdDq86ElUHgIHLHpN9Mbg3bQ+ex1lks4NSKOpgTwWcrM06UgKCFbcwmw+Qu9VBD/u181wUJ4C9fFWcBzgblaC8M6eaX1qVRhyadz8CanZbZgcLnY01NDkIJamlQbpi6kt6sHwt19SlBSujRPwKWLNqrF+Z/cQhOnznTJuigTiEejlg8NTJgHOWOPHR3r7EqwTJJ5x0+R9SKu2RI37C2lqEwfKPnog1++8fY3P/7g553dteN8gn5wcmzKqsN7n/xybvHanZff2eVsX3swMcV/0P3o/V+89NqXPn7/p8xyHFywpwmoSTGDuPUe9cL3yMVoCHeuxiw+gg+8K3ApxSmZVebYMdtRZGO0OX/QNICZUugz5Aq9a2c09oUjbMTIdGUyagXOyH3xFtUf5RSDHgN6H6yFC9HXq+w/FR0rR+UZJ/pXEgBum9hqjBXkN6oUV4SR0UZsyFxBlXWfGG3UcG8TslzKJA9XtPPtY2+cnTn3Bs1VnaUa0TyMsAxaWakZBkZqADksFiOsCqyO2KgU6KVq1JcLXQJB1GoF2TkaTJjadWh6y9cniwwhhiCJxOBqxRaI1ad7FemmeZs0EQzpBxAqo0ZlJJIyhrmY7JfLzBHvfIyKQascW4GRckPW60+S1WOUZYoJ5zu7IVMZWTadHV2cWzaT/eqnP/b9AENR9GKr2ee9s/N0a2P9+s2X7rz4qlVinUFjQOcCTr7y2tsPfvMe1rFgoaFaU0KsMo8CVJQ++ueTBQhQ2yCYYpHBmmNGCleBIyKGv+Qiqy1sxMzOYK98Yzi9MCIRO2ZDfw7rAiwJEAy0plUt6qk/BC75gIkRFSyNOMhglxnkqYy9gacEFgQYsFlZWkwt+vM8bY5sC7soKnXUfY+lZPCYFxWuvkq6qBUcnpp4V+Jm41Q0hI84sdascZWNEwQMwhEiTEZc4yx1tMw5y8U1vQuMpP5IxJhkaaByU0mgCieQORQGPrUCpJZbZYVaHX0Hbxl299BRQAG9MVDdYCdvwVppwBr9kNEEoadrsKhUlbG1nhY1WULzzF4TtcqCeXQZcITnfbDXuTF7rKv0r6zpIaodSjuQJRIkMw3mYIina2uvvv1Vk9ParLENW015Pnvwwc745I07L19fub7+7MHGs0fzswurt+6uP7sHTaE4QEum6p/g1AIaiPsDKjKnp2fGsjRvDqGZLhx1biYrnXszY0xesciduAP0jVgBnEV2IofMysCLrGMILWXXcBVZJdKLUizaGZtBHam9TA9yGRRBRfQL8CJQsH4xnNySUYg4gKQ3IZwpncYz4nOnDUFX3cCFIJMYVVbz8J+Uz4NX0qgMqUqo2U3ACwQJjJbwViY/c3ZP+uLwOd1iDVvgsw6g9Y1kTyMLjoBeelINVa8u4qUgol39tsK53a2csd7DvhljwjrDLqYogL/QCq1qECshOKgQTipAsuSwlDL/eMtrCQXTBujDnAplq0KmSXyE1X2RkefQ7LUuG89mDj1zuk+wJKgCsrT92ZPPyFKi6P699yejeYJVaISlKR+wODt7eO8D0zU3br7MPFpbe/ji3detuDnobJUBWrSIyzABVIoVQoL0uNRSLeWLz1JmkZK18mOhphvEj5KxWhoSwVbM1Gs+Xo8Mq/Y3bLTCVdSwQz4qzSv54xDIIpS29DnUiY13SRW3SJZngk0l4Tz3bIgCt9KhXAy6AJougcMCf933BKYcIlNKLB3JAmg99qgedj7LQZdQog9kose2k1HMRiEwAxDF9qai59ApnoNpiEhxll0BpwAoxEVOlOAIAFUfbKV2nEmem6G3B382600gCRL3sxwQN7OglTLMn57QWCrznIUI5QR3l3RSY+Mq8XDSUOEmRl+vawM4BDOTHMLEcM6ke5amGqxpSLoO+OzIQAUea/0+PYPEw4P37917+0tfWVy+aeWCBXZ6NYjwK3CUcDKctV+Pn9xbWl559c03McDrb75jXJnPngWrmQesRqRXgDmZK5RoycmlxHAjgbq9aYs7YqoOWI521rFyIMe1m2nJCrYy221MN748IGhyIEYkFAbQhLAQypF5sGg4HwlQDUcFIKOBpim4xF4aCBh9LEdIENq2d2AYa+XjKykjzsK75CyI66pn9FgEWcNWoUeYrO57DassIlK6+9zVfVraC+nhsKFOdgqIafizM/pxFOwgzuJ6uiuGof9qCXVireCllJpOViVVoy5FacBILP7LYm2jZWeoOFkShHqnijCsk+SYQElUwIY2XsdwCPsqocW3nwa2a5ip3rqqIu2uH5i3+DPW6GlEAk6CTa+zRCnLox24Ru6WuQrLp+Wjwv0OnfXNKnP4Q4Mffvj+V7/2tfff9+ULp81M225GUjSkmTkQFOvrd88ePphfXB4Yuf76l77x6N7Hne1nZqNBToITdtVdoxyBl+AuaE1jAmqxHcNOQ5RMMWh1GDPuQy2LVcaRYe2ae8SohSqd09OJAWZE9h5YreANZg7joyGL3q9iG5yKDVMoNFHs9vRAnJ4KAlR4Q0p9s07BPoMWruvoWrlcwVn3QSugi9LeBXQZ3TWw3MgAjjQrdmuEXBUQHhflXgBZvQZr+M01IwrdAoNZ/SXCtjjZJcLZrJ/4GiIqQtL0D7AEP7pIjx2KvxtvBLUqzhrJ2C+NV4L2sieoZSKMYAhzKKNCtbxgr0uBVy1pSCnPVxoG8oqWHtVdySR4wUmgZhn72Jn356PZjmdJytApaew71r5AlXws9GgN8mB0woIBLuTDo86jRw/f/tLXPvn417oAUiKbWlpdrGhYTaW+9bK7dfB+9+5rb91+8eWtqWkfObeQxlLBePi0I9ZfxG5hIxf4ZeLQdO7BANHcWYCIOZU5N/fkRzs4KO1CdtP1UJRuYr02c3jYSczehJrtL9yKV+CdKOdBCNHT41WLOtEHZEOUfxgXhykqRkwbQEZHl86tIUJsLHCjTURU2EuZLMQoqHBGKzWApUnKSCW4LaYlGsicDuitxElToTJG4rtpVA+blsuUolRJqMdpjzyWc8WohNyy4ZQBDsVnXR9QnBEAPzlcxE/NH6YnSeQKqQ5M29mdUiusBX7n7p+zqX1I0ddXCJgR389UdDMkG3iglRew+B1wIokFKiBRAT9NFpcunJSJSpogvmydYlUOG3OVaBdlklFaqRJjoQSTjAPW4BpRilfFxtrjpevXbr342pP7H5uE0p/hC86UH/SUuMCmKmH7P330wFGURm7TAws+Jbd/YNfQroWwcSBmsJNep5+WwMpMcZzy2oISDiOFI3cZrGXPsAMEHc4bEYv6vqdyTJsPx1OS6fBGWbN+3FcR/62JASm08T+sE4+h+nBMPeJuAhQCxKXSiAFahwGS5+zXk7baljWnhcpwVaw0rS2awWbhE7aD1oDRiJlHfznrLHFhqdBJmsry/ObKIxJKK0iTU5IMoiwR88QHmVCWH++4kqIXI6HAh/u0htfGqCGCp4RPxh3BKSPOBqH9w62dvY2tHG/l7AiklyPdlLDSvegwAjkMl1A1Rba7qRYlGVR41HeDE22BqfgcwkARBQmpLEXU8gfJqhMFp9w2zgxSaY2405LAKyMeLbeFtd0eDV1w3cbTtVsvv7J643Z3d2tsmueCwMlCXGgrzuDN6LkzsjZ/b2difMoCfX3Hgs/l5RWzmbblZOIyuw5j8oAkwJV3x+ivtQVfiqddsVYOWN3pOPkJxwEsG7QJclrBusYJBnBwrUcpRPO1kjBrSCgUwQQq4KqgNK33v0gsfZyT6ZnqDpbSJyVKKBwrNrI1HtiGboItSJehoExShVwmD5UbJxUC03XyqmRbT9YVwYLfqqHgS6qAW3MO7T6ViwpdslAsdTBDsygTA2WDEcaIAoyHtECMWs4CbhIOQ+PMuE+MduxONw8mZMBSHA7g1lBmnCEol/co86sHQ+qtoNem+li7yad0IBfeYvRGAPGUEw9ZvqFuNEvfiZkVr0++m4XtzfNgLVD43Kul7rxNsSQyNIt5F2QRkzlDoEqIPhnykZ3F5VXuLo4BeTEg0CLwRtIS/ZnQBZV9nM5xYINOTk9sba7v7R1yPPko4fzCknZnv+SBKnk1+dlQB+D4hhqwbjBPepzN6J29g80NX7zbN9xtFgFp58TJ4AK3WtBV/S0cVyGcAfj0RbwO+ZFkcSCEV/KfGAsfccCWsZFivKR7ReLwaGBNsreW2w0zGq9nCXGv4xYrQIQQVmgMkSJ6nJc6QsBinYIn4i0meNi6F1oh9YBN0+5WDjEgv6K9AkiokDfZgAWbWa82QHlBjL6BsvkXUklGgIU5wEQhkiWxMQOit6hZLieo57ImYmA8vh211Mp31cOHoGqhsVQDVDukSr9M68RFbOKxok6sxkoMWtE98ZAiwpHZ0oMVnHvG+jFoEF3NjInJZvI5KchPn4mNX8LOaWAOworCH563oquza30VwFQRC2bYPFJ0WOwtCKgPDlibtbCQrWwEmDO+Ot09s9GTM3NTswvT0/Okly09ZhvhpwphKFywN9nh2MHB9xvbeznHlUMebIO+CJzV5Fp3dBRZrhE2hzFPsVJcpQU2fz2hlQ2NBQPgI4rSYn96AWQrijyEMDnCf0IDGBjAjl5GgEz4edMzP4I0wWslilVc2DSTwa71rkeA3IuRLCEkSUi/SUVhpnrMTcuWSvpFBNAWIincBWpHLkU7RlQXK8LviBnz4i0QpTNHiGUbpgf8YQzIdTR8dJx1L9Hz6JNR2CGTlC84EmOiOkzkdHENqtaQuuoOhzVOQs7inFyq7RlEuak29iLFBBvaqGLoxruG7HVET/ghfURbYiYb6xqowpne0koL1UKs0NxFj/AhkSkfqe+kwUrGS7gyUOldsVpCtHqybTNnvmeEq5M7D9E3oLoHDge0QIjff27BnsFdShMt1R6lkTL42k59OsXGo7LjM9t3Dtj0fYLIeuKAr/W8HclV1EKo4nnw6wnVzLCr5gawIl+olL5cTC9SPoUotCWqxaxehv/Mdxu8WJ8RQdInfHGGCDGXlYZP8lAhTNYYBWHqDXaSOIClszcOKxaTviVwc6XYxIGsF0r4uAc0aFJFpAgOs+pjOKrC+pzoyNj3JjNINtaQ+TP+E/jRZf1l35ZTNBwLaK4Sl1FUtYqSumTAgA4cqgCDctSeWlrwgOnD0ZAcrEka9NRj6xeSeNQdWmPSZ8vDH0fCyECOubTQ+fQYP+nqilOOGopymcdU/pjz3ie52tKKtrDEXEF0uUUTITZX8vjJiK6VL6MqH/c16MBFnlCd9mXgPwcSORpqn5fg2KdA4+iwH5DBb5OVMSn9lmZCI514FuHHp2WxqY4lYGgILFWQH43OQ92WrMzEw9kQ16N+jWM0F2ioFlxJ6x+UZFlMloxmhQzA9QN/QQ4cVdB23ToSq5AUl3DeVWsKL3nMk4diDrkjkJJMZVEZ9Rg8AK4eSxcWyHUJs8KjAtKIKs2NyAIgZHYvuIE+4EValYuviJqNTGG5CHBmQZzbTW0Y5WeVc5YZ8xmZsKCJTp1r7VYfdIoUQhwMdaxxiodAyXbeI3IwlJqf14uBgZC/oOYSDL8suwAM5Kjb/M8oRVsIJKAgJyEFJP7RNhQz9js3BiVMW3OgPk64qJj0+CzucEiETZoTysngLics5CjNLkPQyCluVIcYTp1cHLC9gEPwOZAVhmzJIo8JMA5/lThWwVSsBvt3OnBipIgMGm0zDxcV26yc5Nmerll4pkk+UGkLngA2EKtp4ap2k3bCdfCvqbHw8r2ObLnKGCgNCpLKkgNW0bF4y8TzsZVYEAeNZZSEjpoeP79lCRbjqL5fCSDgUWXKDg2q8tzAUJkgErTI4uUefO7zrySWjI3nkqOCHH050WL68V4pXI3tGho+D5laj12dXoHGFuYibJYR65NKsF3ICbTYj1oi+e1vvjhbtttWg1iYREhKqpVxZZ/qnYwzWCJ7uIeVXDqQfMu/XoASEKXowaz3TQGMjFqiSJ/puYTOiEqFLM/MoN5Sg9BgzAxWK584zWIBBI/tUoyFSg7btJcP3wzbgRYOHHKaiYJPzljxajLTzCbMPlt6SrePIKP064tfVZBvgGVhSi3nGANnvrdmhskqweNdHnzfprO+Ay2z9Kp2LRhpVnPwHlKilErDFzgIDrFFCCWEnAgUmqRd8S7AuFgd4jT7LSIJ4SA8qZx4oZjCKVpDo+5DnbAIJegsPnf4CSAkeHneq9p0aEyQCiKTAkv/JqP/ForZ0anHYuHC4Dy4QLAKoPQbYCu0+y/EBJgKbgQgenIVPIK83WpDYjhWi9BkrA4RiqY5GmYMArn5Bo6j2x2mqZYoHUf8+JCv+Tubm8dPjQzhpIBiRBuq4Z4wVlWWLibgIZcAGVxn+qIisxBK3wtfxvpzYyEe7GR8SCWTSmE2G8WylwdI4umdqO+EMtfwnJnFMgNHqXvtdjqGbD5WcXHA6KnPykXyOqwBe0zsd7aNNWVWIQiBAR5dMKKqvtgIP1aaQ1cQd3HmC0DONp7OuYq+FWSR4ACTPUZ7JSih0+vbSKKBhdgUq08iqqYpXnwLoWMKDpMV9cJw9dw6IEjQObIqUIVkXqbNOpQrDWWmIlLUdzogU0GS1Z+cEYMtm5zqcw1lXKvyGBElh+ptai+OivKJyghLJVOxlvuUUDGuCSnnMrgXgCYiAFYQc3kTvQ4HgT7JQGJ8bhzLIGfa97qaGeSsD+nsO3vDeTw539s3V+N+dcqK894jlVgeVBI7Wh2pK/Y+mRf84imlRzqdHmcfeEY9gSWjZ0SVToiFRyHLEzEEQzqgrbK2KNp2ZfW8OxMKCtQ8pQaJsJVuivnGQgp52Unp2mlM9v7FrK89W7YuIoyDZc5N9o0PDiw8e/Kge9q1KAgqAIgLVQ5wtdhDBlAgkmQGcSNT2FrH0UnY7N0snjnz1bFpksMhQBmiZRt7BoUoQpYYDAQQwtRtQh4FfJyOoBMQStUIRIrSD6NkREkLhEI6T/UwFA4hIaoN6is65pZmOdvEbu7pQFZ9IjzUOAwaQB7yt2vj3ei74o10hrpp4g3E4ZsrEgs08rarm3bfK6oxnYfL8q/egLzehLHcC/YxuDY+81ItwUZ29+bwOQB4xZHV7ezvbG9zVAOF4TI9O3tWB9MNdqxJ6uzbOjq8j4xx0zgX3iFtPmxJpGeEHLuAF8oKYzgy1kHhMBM4q9lFAfI4yGktYm2lRcQJwVYrHZgf5wP7TmExxBBCeNqwKGYoiDSYN7lolkIGuiJXOFbTYgViaec1SMVOOqumTX729DGZxRRTaeN0LKPpdiKRNHoLRMGMYoMQC8TPrfTibGfbc5A6cyALy7jsgzRKKI5Ry0oMTLFz7L/wZZw0RHjUduAGkkRAlDoslAWl5FMjn4poRq2mOtQsWf7JoMtZjn96lhMczNYyF/WYqicSy7+kDuOksZKn0KJ9UFEVVKoaAUocRCeNUBqaJZP3CeG6RoL2nI6r2H5pX7i5+ugesly1st1cvbaUig5OsngS/2Xw7JB+30DY2NjkfIe8fPqBnTs2kO9VEBCMAZs1u3uaB8cGaBADJ6lCddIghf3QNZKkZPnRLd/LmwxZoKRkjGmMCshY7UxXVZrt+GFW8DQZX11Ue+GWyg1uUkuG3xAT4zazpIE+wAw4VGjieOCIYotNlj3TQ9lSZRogOcecgTs+kYOTMYrUIZxd/5lJGyaYsSWExFbUPShU30H1Hd9u15DHrJE1pJMXEOCLPQfkTTFNmgB8XASG7PINQwIWv6X7wGdRj2jGVy5usirIg7fqEtSJdzCxUBGhDzTqS07usUxVQ3ysR0EYLnVJh1GqsRFaQUepJ6DIX/XhVjgyjkxPqzrCNyWbwpe5bYKqxSVTQkoIfye25WoFetW/qYS5tErFC42lWrIW0+5TTcrSdjzBiZUv6kL5nuNjt8emHHk5M6Ub05v5HOJUVLKm7DsXvNvR6cmnWEoZfAk5l4ixyxXo41og0lFb0fogK7bFEGeWkaXSCniA5UPUaRZ2KHXG/UNIOLD/kMCDUvxnvK9epAeocmRneqXrwl/AKzuyfBlZ3xnNib7m4kbJTgrHkVX7E7TZLnvcWkYdiaN4dHLcInatxhOYQyij88y8jSOXDw6zgc365gxQwuhNSlm1mBs0LWJrKK7HUmGnYqyeECmcB+1aGXaJr91MBqswOj5ERJREp2iStcwrm0y1OHaE/mCwau5ApogRqkNBPZYs1MkPEX1GSXk91Qfinl2DBYGZ+AS/HFk9AVYxvVg/oYUUJbFSkIKLyVw9QHNFtPi6rfj2fJXPkq1eXb0qDQr0Cg0z0snio2zjzeAO2uCN4HLN+iwaD8Fynno3Ou7EqQrZKRoW8VVmtleOJB8wGgQzjlUm0olSWmMowOjduE83dp/Hnoke46oaPGLVDAmSZD58M2IvF0h84E8HcAh7StYupHHRcIMHbVGOja9qBL9vGuIVvIhIEvOe8Lo7m8Q2sJxyPZ6PGlsnxM8CU4BAhSZzhmz9iLuVNPKZD0fnoTHAkRxi4nlq+MTwAaAkk6qrawVw90IP+7BQRIJaSFAJU1Mf9jZJqwklz2pYwQ4pliovg3FJryi5tIs0JNaUp2dXpSytMKVETTLlTm0gSQyGFR0qBBo1tavfGhsmMp2jsVueUpKWFeRmZ5IjWRMjb2tFuytrsb3J6xCv10g/Faq45y1MFwqleijQfF4cm1LoDjP40kVY8BJwJc7MZk5iaNRnnti/MmVhvgPsUKhgEIMFES7LxCJTGEbD2V0akZ4z4/RU7cZcOiikqhdlONo1Jmu8Ea8G5ZBQeIhMok6gApsZyBJwWq6cOBAZjoriMiLoRscd1Ly3tRYJRnh2utDspc4SZ7CC5eRVyUh/lNVj5arz2IMZiwairjKDzsnA9ody0DKQLE8nZ0iQhLg/pKo1CycGHNFOQuAP6RKKWJ4026LnQnQoky6bofKli7HaEso2hOsv6TLhYkNRoESfBZegB75Fj5VUQfUXqofuYaO+QMlDi3EN08W88Bfm6JHZbxNaSmuRrrlhtCVdUqpSF62bXN1fxoRkiUiCAFa37tOGdt+/KbgbJwW9VUKyuofFfH53d5c0Ug5ESCE/r8r08Gx9sWDSYUBmtKRn/VtDnt5sWGUcQKc5i2xsCrPE3KUD0gFpUlgoHuf4rOFqObMxkn2VEfZIj3yhFakTwzkaitVhzxXSRaIUQnTscjUNO9xWydKwC0EogUY7Cu7AF6aNdc/OTDIzafjCWY+Rl1nab6VNJn/katRtXsKqk39430ESxHWwHHTElObHYvLwpGIhmElKCipSzOgy8iIoA1/B1h5zDcpzld4rwOlEpkY1UCFtPakICRULVFLWarFaWR+fFtlWgjI9hNNOw3osoh55UldGIoGyVVxABJQIAfCQQFJ68FiQ5VXuUKS4qvFhsiVeOQVyNdttgS9zLzpVhi08V2TVi2CVTQlhjkv2Euc+GSNL9B4MHzlauCMLaA7n9XWdus7mbSljlfr6I5IYB/towgLxHieQABdQxuQgBIgoiLS0z4BG8XppJQFMmBtbQJsbWegX16qx2RbK4NV0/p9zKY8Z7QwuVjZyx6gf8z2OTHFUCc7ozOHrofyE3dXH+225sx1nE+Nb67Ic5NO7dViEillvs/OzlLxmGkhmUBu7KKHIEDXd2T+OdRVvuyYaEFu2mT20NBTGoiG15TJ9UBy4C3sQGEpchjSobHYLxBXlX7oU+cX9MNx6TkSqXCga/MTy0vt05rAXuaVfBT05v8gEm3n6zHFFbgvJJoD6ijSqKJX4xTVpkY6cpJioQuUoLgsXFWtikMtQMQHfjbheLWFc7fRc/BSO0s5EtJb6qQLClLK0yJbXVVGQVQlSYCFFmrplS5bC99DSJD5qdZBE4mCRU4zexQwhvlVEZihKLk1iV+EF91l0ER1U2I49k0BWQS4Ng7H0ZjFwSlSQDGKMzAJLTkzIlxbs6ZOSnWSkpDWKc8o3rlQXj9Tezg6ya9fByR6h5+AblKF0uElrdW+aGPvf0dzc7FnMA7Z0II0SgAEQdj3vnbP1CQ7DQIxFT0Z/OkqWB4839SSGF+aAaKgFMDj1kBY8IUthOK9UTzy6AaHI0IvTgv/A5uxgQ0+TPuondykpaSVL4CtDgdLwaUf+LrfYextS5VJc5KdiKjZkT/4SD6FGJFYlTexzMqeEhHpVN942tujfiAaDFIl3KQZL2hbTUidBwNDiwJOGRxz6SX0VWnrXvKsE0fMYtnQigzrFJ2+0fwhTIynpIQQV+Z6i7AuVZA7M6+8Ic1lgqY/0wtQYzOawhlhw7GJNz0QyVzPsUoqmZizOjImTde71tc58vorc4iWyECGeKEaPI5LyRXR8lhX6DHM91HcBsSoTZXpmFjj7+3bddPkvc3KOGe5sgXcmIHHAiUXIF0HVWp/BIZvxL2Ac0R7g2ZX2CxXD1SeffAwM9nhfs6swgwW5KWlAhO6CdfzpPOQgTGeEw66MHaLF6ecRjLy7MMg/a1KtpDgEox/yGRwVhgu3mVI3+Z0XlT4Qpr+2EGIqK2yRu5a/vWJ3qrsqjNzCYBkBJHGytNArpf+T2sNVLY2bdu8xN6TIc1uqmKxSVqp2KY6sxElenaXlVV2/Eg2AGlc8QProY0qNNR2eaOXIC3cYK4iSGLdGfWIEoQGjb0SRxKeAycgqBbbM0teNsVuns7mxu2OZyimdOjU1rcTgaXCYAkaksBpVxC7h5vcBt4ioDj6z0Ys6Upz+B1IJZOJqCuTO1RvMuYmsep9GN+agE3d2hyy2Oh469PXhRjz7kTQHK2ZljJPGDU9qzZl7dIzKKZenkqtBmXAmX7wtLGl9cC00vBWaddFMP7TuVw2M/skUBD5TTbprMiq/RKRpTUOAdLMkzgRDqoYzEJJPpIdX+e6yl5XGJdalAAgobwTrx/RkV3FPMVYb1mUAgZ+/mPiS3gVoFdUrryUMcyjZ1XNL0+6BcjW+B31PSje6FmtVppR1WQLatCBLZH6Q095H3mC2StsTcuoSJaVIN03TwVoyVJAgqo3FUIzVQIo0jsSCX9Q64VjihBS3mxNip1g8SEHpMKuzcmfER8XiVmMukSUWtJwe+LrYoGEBo57EZJArlt+f4UMgKY6j/aLLnW1ny4iPQ/jYPc/C+oXFMFvOI6mRQNhd+XjLWQ9Kb4wlEhgIqy3A1y431cY4+Oo+1pUE+L8w4/TDnATYBgCyy1K5Qo72KFdm18NRGfsrD6qri6bwhjEpG7qUWUZxvggHrUPHhW3oCGulgN6wpUrHLXHl9I1v/QkZiSrILa6LuMcdkVW5pA7XdtPqa1fAyVUMkAiP/TTt3lVor67eVHTj+nQaCQrKRAcUWQJJuqA/rRW0vF3rBiJgIQtFWB4MIcmV0CpyFSSGI4lT5iV+3aeQGvIlkW6TWtITEZBZYREB5iA8Ml/Mijpk2VhOHvSA035JA/vZ+fl8McI3Cuorw0y1+Dx9tigKcUK1QLYEY3xmIVVEhlqEcz7s80zHB9ErVioeHZSdN3rx4GJ7ewN5rCNtcFLTR0fjztZnwyX3pY3hXgPB7goTRp1m5K3ZBjmFgauwNA4DfZu9Sa1x7hVJC3jwa3vpu6Acl6TEcuGqGqri+2RImtSvSRGlCRjbY2Fg2CwY/mX7EU5iYY2n53OMBdz4ozPsCyf1mJNCjSpMSEasivdiBUe8J6V21tvE1COwUmeYwV9qF9/AqITFIsUoLbLSF5CeoTNBwrz0VHG5tKh6mz4kgSsW0WbaQVcW+BGsdDCGSgtim/dmh6TUeLGyN2BasR4ba7phXEvmLemiroiuHpahysrz6YWllYmp2RjmXPNFUXWEDKCsZoonJowSmDfcYr4fPu58q5lZag7l4MPUjU+o68CUMfvcGIpUGT8eZ/kYD2oSvpmaW7j9Irxd7O5uaxp7SwhfZJw5buY7S72uaG2ggsDf0aGFpj5qtMfSyhobL6r/a3ipLYIvIX65EtUaW4G8h4zLtmpOBbm9hZwyx2MeiGlUUHvRuSK01CLn0cGxrGtKnSW1wjPGqB6bwCSkwlLeRigVw1QpFZmZSC8xa8HcXvSr+HwfShHKgfACIZfSbqkprxLajauGtJgW2R4LWWHQfsovpJEtg0BnDx1HWdBiGItJmwps5S0hU1mel59Xl7XD1GVFQaRHAMUE60GXrA2bIjAoNkHZ6aZoqqvIFUvYsL4pWfTyNUYO/3Of77KSz7fpTZhPT4w7rT92EjsFN/nDH+o5yTfYyCnGc45VZhyZFz4cykHOCwtLOOPBw3vkFpWqaSakjTFNHF6cTelHDXaUCAxCkDXEUZp17vyhkHbZTAk0xAUJeqSUrfWxSwUnix5UZQYnwiXnBS1VfDr6JeqqH8X8KomuUO1mXPIemhQoNlBUuFnF8oRfmoIrHVQM02OgMH44jWQPn1zyUjKk7xADQC8J0YoCWQERtghLJYiJfhSk6Qcv3PevMrbHukkhSuonaMWmuio/5cFnDZWMnJrcSo8cw2TO6Y8XoPpG0rsXFCV7u4/UqeBRAjzgap1oOKDkltqhLHkzEh+mxc4cUg05MTJjnHmlEJoxhItJm/V6xgmKYy4xyOSE38Jwa39wkYEkboxX3B647FUiE3gfrLeCK3znswOOF/GtiBfu3OHF9T1pKaTHr+ykcWsGs5nHcuR8sVu0ug3LlJlNS1GVfKAgTF1pX12laY11dcdeQIvGPQE9HBYNW2QKfQ1wG57VJUvFNFJ7lYwtb4pXUSRoOgn/q8JbVWl3XhcFelxA4fVs8x5jKbfkTXgjngZ/kqrAv+BZdJ29AE8ZUlR7FFohJaeRkqSQL7y9TBUA3Evp2rAgxo1cX0gjvgXxbip9FtiV1A5PFJ9ooJcRsFUe3KVnNyS6opNHSVtR0RBlIDc8im+Vyhz3lRO5Gb1szyzikrsQVMrIPJGBPqMFacFiioboLxAs3RpFE5VUmTkaxh+RNTY+pVRfQfdRAONBxgvXgy+x8FOwW/AYybu5s2UmaWZ2zsdUNMGXf5TJGYlTM6czPhYOitETnkBIZAZmZHY+A6gbJMTsKcy3tgSqQlLhrGRa8YjmSJBmlXXRypSyMUZDSGOjVmZhrEfHTEEEjeXRKnRH9oVNrddO3Qnl14nt1WL68dLjSXVL03+VRBWSs0pAQ1yQci87hzc98rQkoXGSt8ir137kF25SVlr9X5sLCF5biBAnlt7SJFlKsYKhDZCb2AtjeUntR2SUz720aDpfa0hDukjpRHpUMqsrx3YLmagJi0KZXzQrhKZW+oCx11QoHHubkeHRgcQ6H8MXP+HwaExH7B1lkQwjp7u7zcb3sWdK0AfAfEWUi1V2nx23ZeKgs02g+Sbn3JyPyM7rYVYil6ntezU56r01nNQDBuj0cwCk3xTjgyG9P67zDAyrjemlEsvYZnUaL7XmJ7UGY6xSVtXQXuIehgux7osFgvPCZzDJEOXfCUh25+kYUlgoMxhV2DPMA0mbJDG/VwUEoXUTPVcM0R6rgjAZsJKiAraB1MY7Ku6Hy/eBicQCgVci27U99mPajbf9+Ip5LrSuppSmJXMtwRDU4AxdmaZgbBEz3NWt5zWMw4y/xhOXgPVMVIXo8bKL1zSPSjMiEqkEj+ITU6pBMpCIbNeKlGHAB2zhxNDQp5dGg1BbiU6wMxOkUpoe4RDbsc/dOov6MoD1xCe+1WnbckZtzlE6O3V8N2GWsWe+ILU/N79gRMKHCxYANCBVDUhBTHBSopHQivC4pJ23gLlUXPFECv0mNBwrR5KCrdeYaEqEugwKkaYfZHffIltRLSaZyEiEJYRL0LDiwhwBwE1eoGJy9uET1VdhLb7/ymOLCcS4PS0M/OqWpsHmph8ALf0lzPn16q8n7se3m0r1vKnJVkElkAGx2Em/PbHT8PAIMyFQN61LwFi+5qV1EBLkZy4sFqGuzHvpuRSKwzwyjCRd/MEErgFVrBY7nfkCBo3axlFJeng0qSgfnEkDEE0IODVI9yMB3cYfwbyiBTAHj8f41HwWyptFow3BOm5wJ02Xk9SgkJhQMYlF1xpyMLSyWRCyfCbr0Cd9Bn0hgfDhyzdWaIQEScNb2mgAe3xOtXYsTj7O4WOUs3UacKBAfd8frDdUt4wNf9VKxaQVrq1kyTJGS+dKyV65uve2Nd99PwuXqKGsJIClkFE3STPqLEXsAerDQFXE1eLcJ8S/H4ZoT+7dtGuLyaswU7SC0CpOvmJkj5fJ6nWSJLQErv30/SzeihTUU7l76Vtku1bx0EFcWWTHvakxxtXlpslg1xTN2Phhzih1pjtTsCnH9O4an2IOjgOMpSEKlJ58wkx5n26ZjSiI78chxjhXokJ9D7SibLq7lIkqfgIMCZGNXJkKPmZBKJAbPsvOM1FNj+3TRtldlM8/scHZyOzxnIucbSED+9YLawPU4KGsoHJC04mVWhc8++Qe/usTuNVL1+kgdlf6gpxNrUSplbSZ4dHu8jE0WjfyNdBbCbIX4jP+aGhvBUqJuu5bKMaIjJdXkNfVK8gP5rWh/KgVCW1EkBwEdLYNxbersGaF1zUkK7UY3R0hFC76ImOJbSEVZo1EHZUR3u1xjMiWICaaEvVp/4sdrmaUDKAp4q9lrPik9aqfphJG8hWhM3jxsiGrlKBjjtugHnLZuUe4Kx0nRLJsIc4qJcCUxKjrKjmJldo1d6TXNRtA+jmbC4cxmojC6o/4OCOF2j6YDpZHoDCCDjqYSXfFN5mP5JrKRJO67MzJUUQEljo1JJa+gdvIsIItdY9ssSYiH7A4GTs3OM3MYAa11mNq2qDPLWffDn7RDusWol71dWt/LcK2bPHAumT2WM48QkcUTWt7nUy+JjUyIANMoTFs0bDXGCVtL2aCzIZtEe2voR2KpLka0qGxV/IxNL2J9iBj63/QnS4uuuhUfFj6tcdl+OlSjBX9UkxL3B5TXsUUXHG5MmXDipd84BYGFJ+48me29K4tSNlP3O6vXqVpzWtpWiNbAvdCJejlgCOdD68EpSfZOWNWjbO0jFef4sw6cW3XxcKFkpZacQ8DAt5yH0ervWPx2pevfZT48QXmAxwwNWWLVXY3WOaE1XSlQJWzTZCegMGmGfdlAzfAkJGwyXqbM4YevcWljZgAxtPWC/OJmNs9doTM0SGvum/qcmfRvnUkuzKyDwfpqvkxRcpZG1sdb7oqPicROHzTqv6zrGfAshpYLIoNfDG+5j+zSCKruNRbkqHxU2YFKwQYaHSvXS1I6VlcySYoCcyCt65pcgUUTwl5kXksOIi4SrLmUMjejR4zShNOxkpxEaeU8FWV2Kps1bfSxKfcCrmvtJ6yvrTqbgnCV4pyraCcdtN7W4W478FacLbs/WtL2XL1ry3L1UKU0IQQhmiiyDIlTUvPIDCZO1bM1cdXFQJbWbZbBlNjKSmFVmCUFP9CjpFKdhM2PsFqh7uU2cVAZhiEFsGVQ3sqT2RzkyqcqJLRNDMeR2x00GriwY1+rBDgte6OxdXluKLsvKheLzsY8BNLC0fCfnkMQoUSr/GSJHut1yiA4TOSw1gQBQJhBgAgL/EA9aFgytSUwl4Ax96Bp8jUaqxXuSgpMfUcgRmGiBhSjuqqtBBLw0VVx4IKB68DLxlLb5GQGQ4iRAYsSVqtqmuKUIvXXwitaGmUXmncBu6wj+EmCLzq6bsAUOLq8yzY0hdLJXOFVktr1WVcihUjtN+Ww2NqSCHPs7oDv/Su8B4UHKQJbgTya2jI52guZurzbjqN9Iph/VR3zH3MhUgyzJkeHK1Tm/4se9DLsrivDmfzXgujbWKVxbz3OdFYGlW1YhtbQzyGML8IUIyNm6A6n62V1MZOa2+4Bk5O7X846nRODrocGGAkRYMHiOUuI3BG4qzX+j5gHhuZyGCNgltg96z5eESzYFN71ZbRMKFXHFDWERz2xv6yFAViGsJhIzR8NMrG0PY6+AmSQ0uYNu5IRPFTu/M2Lxr/UgJ1IGhjP/LROhFeuDSmQtVUd7GXgv0W/9ev7dXVKzLWY2naytsrLUCmqHqbm4aainh+kfj5Q9V79dE9GFqaBlg/fYOtJRYpwD4xoMkMWD2YakNsyQAI6WDRo/uFN8hkkUxeSHMvcfgRd6aPGgqwrceJBarTClUbnGSHZEYaI50G1CJBdtWxbdxLib/dKL9gytjJSNFx/uaoo22P9qVkKx12O0SdSmtyMhDqn8qXV6OrKPCE3927eqU5qagGDRQnDwnJ2NKrLZroUroEG1nikXYJVXjrEHlsmFRgcFNjxsJSpKPIised4QQ/LVIu8epKdTpcjTlLfLT0mQo1p5rTJClljB9hGcYoFkg5vdJbcam2Qr90NyLbY3IV7DKnWiBqRwx1v4TlcyhbIf3S2uMXrv0qWvw/8fELkVdTanDjDI7wIH3EkT09RBshjY5a38IXEZym5aPjDsKQnchHbLY2ilCQjcmLJIVv83+jk5Z5WjPEW0Dyy4t1nLzHopddRtkhbXg6++4IwqhAghOKKEEsdeSTu6cWvex1djhO5drvdgpJxonZjZAFBKc+pDOuvpoKCEobMxUtg9cWxAspubqQ1rbJIKItxE5I6wTkKRplkgV9i7l6vZrMlRyvtnRegj2+iaKpyFaX7IU8v9kbV5oxHNkLxa2gTHpS2ZKhdtxW1lDrxCUhZVVWqqlC2027KqXdFJS5/SemlKzii7fCTeFVTbuM78EqzdWYdu/aL1aCL4S//rbFyNKy99O3QkQiCYGB2IGA3hoZcc/yYPXGsGJN1zi8SjCAj2NAjtYvvdVECIV4vqQM2nLYhf5SB8F5fXpkfF0LY+x25zrIsTCGCNFExJbvCWAqeawvyLzeGP7W6KFj0zLd7a0ti97j7RmwPJATK2dlq1fPJ3bSJ3C5bRrlNGrN1DHAg6jgbCSIrCoiS96GIK1RLT1sBC3RU89DCJLxZWJdDVUJQIzlHkOVUIoao77TEySrIL/IAiDkU23Jzchmj962ZIqyHij6m37OQCkSRyKcmrt+aHlEXs3sbSulRbbH51nqLrNoUqUBedYW4QvlgKnFeKWHJVmFKiCX1u368e2ta7+17vtv+zf9ZC2lxHBOIaqLQsRQz4MVB+fZPlVIS7Im5LgJ4IdC81iKBsNRZA4ZzeGw6fOZI2KLmWY9YHK18xrhsWWRS06bZnGpSUDiTBV0rjMMsH/IOXDBF9/d3XE83xSXlOV847YpV7KcUprtiCQXgAm81hxkKVo3xurpB01O65RZcFc3ieWG1Agb1in8YFaVYgrpeyiGcNRPQ3IyQLlZawInLSpao7hsrlXIpcATkTJUGu1Xr5SQWnKtaK/M3Ss8DU1RniksLBbZ3gDqgZWiKvPnb7SlzxZ5XW1Qvv0a1bD0hsoIlnrdEvRuL9NfPrYqPFUlveoAc/m+1wywCy2Za7tvaVoJV2PavSuO8RY/UUnEFQ4gsdzENR8nezpXqFimuvQ6MEZkrMjV4kkI7RUFS1xG1cnTd3wxmoXEsyCXMhokDWw8YROD/Tk5wjQLj7lJc2gsk836craUxjk1z2FxJCYHw8XALGEXapb9E1cjE6ocniBHTcUKbrQbu3sLpJ7UbV0CC+e55F6N0p4TKL6VdOxwtgbCcx2wo8DGpEotKgX9oNXMyAQ1eW6tyjWYUUihSOUJReTit+xtZJayBuKrJ3vDUGpSs2UzNTQNNlNDMeLzm6pay8UrAUytxn7V6muMkXwVAlq7qaSVoGVKdO+u+KM99q/9m34abXAvvhXSbty3x36ylrGfsuVyxTRwjjNCnIxUEtwAVWMr5EYMDBg7MpxwmtLkta4LaZkvsFjiPSWowmMTEoqV0sEIapFYg5QUu4UrwoyK4UL2SAV+DQh5ioNN+RkLYG+PTpcAzjl3KD+qzV5Mv5wmEfypURutVHBVC8qIB2paXmMItas34eTcsXM5ZKZOq4oeKjpK34L0qKcUDOJeaTJ5pTnuS2MmUh3uNbylATMwPGEZmSpLWKOCn+Roj9Bj6B+lyqpKVUmkFJmt3pXISSLh8OTQgVrOXjEpAi/XT5Wp8YmpenJtHJk8yRFpmxsgVgG9S3vr2uJ7iS9T9NEhXvOuJnbfHi/TPv9t8a3AfrGNAF6JgREmjg/J85ke1NhQ4fKjov40NsEj7y5fxYvXlJv70mS2PyJWDS+UXTL5DtRU+bNNJkL1SB1AmfWlGdYx4R1mw3E1Gs+TciIcsYyisoQv6qgJgXzP1L55kwETFhkfOqjM5CE5Sm1KaUgQg8zMVPPoR4fEW6sV5eANkgGPpSJ2D8NYHpn+dsZarkxuFQaqo6OB+aeQMyRwrCbaYEzE9iiZoDSIIsQyUV7rWiQqiiVLSwEDOc2vyNoIJBPSK01BKduMgq3Y0huD13QahyG5FZMB2OkRMmt+fkvLKrtxSf+mMVYei4EKMk95Lo5P/f0s7UWR9q9H9mNa8ypt79JetWt7ezVGIthsfOOtx6tvg4srkd56lJ7gaUiRoSUgD4iuqML4pjkIRsbCWQnwpkF6f6zvcm/qb2qp9D2hFeGTT1SMsY+KD9L7lRbMOX2G8KPM2P5MJZ/Zi6ER57g4E8/EBn7J+l3LR0uZpnAu+Cx1zvowFSm/oQOYYug5TZAP8Lgqm1MzgE3zAUxA5h9VSG6QmRnYNe2MS0iaNJlnVBtC0GLNVnjvGi4JGmFOykZVP97WYy7uldkPhfN0Wm/MQB87jVAZkfuZbqqi6mUYqx8gMVxcfN7nkis3VWjkcploeZHiK7vq623F9KD+XEx720/WfxQTilZob9srkSm8iu0nBn4/0qt+kKDXmvppj956Uk7ZKz1JDPGI1wLGksY9scU5pTJjt7h4s0g3c3u6DChQF48hcwgX9uG5nByHgwynUppKUM5jM1TyfWqn6mKA5vAMPlHdWNzhW3GUZQDDBU9VxENL/4KB7sNAsZfCl6gdHRS0oAlgjCEiqJzlW1ZgeoXqZI4tFzQZWZZYyEQBVtGu1hCvVagcAT38JfklYhn9QCeX4tvOodQ5UKhhtayuSHFpcRXM86NflhONRbY6PfDI/lhIoAZjXIX9W3awPR8VNq5sLwLHZRdvxbUY4OZGdpdUmeRi1N8StOz9vO1t/ypZS9mytMTuVX01ez9NS+DaYvrp3fz19NK0lC1Zu9fC4oyMv4AtVyqrEHdQhXS3UU0IUstJBBjJk7rsjBgZSC5t3lsx6pCHsYmTUc7S7L0xeFRymShRT2mKBTJDcVrG5CpMuscoGS26Y2o7AHbc9uFzxjtlglE0EeuQWyQQbRK5VpCrWrABtdPtWDQqpcXv8XhlyvJk2NC1JFLty1NqGtia37AB3Njf/a4b2vWwBC8SAxxP0W3+hRcVULwlSynbFBPYg4wU7CaDhPQ9c+rYS3Yx7O/UIvQB6DFWQ7HY/osGWSNPg1UaMOQ+rO+mx0zu+4m/cN8y9su8+jbFNGDrxr3QL8fbq/ftlRg3/VftvlVx9Xo1o6Z6dG0JZCF3CIWE2j2cCWAb1EsWYogSSRKl64ikiVppGEshXFO1RYPvvox6h2Dl67reYBrTwByhqQ72k19zikHdCHGisdF6cihqK5YK3s02zyJdNRk97b2XlQeNfCqjigY0QhAde6sAx0HkXX2kIHooK6ZLnEJar71Kbo0CTcSYtjUG8dgqSnyYLMCFlf0FdEQW6dc97vJXPSogSRaRaIRsY/cZjx3Hblx8jquDvzb0idbLvGRmOaMaU3b6WgqtcntVKr3FtFrVVUwVhPUTg/gyzfPf9raf5vmLasmVYlvDevVK3y/Zfb/kq+kV1YrtR7bHq9er1UkmUIgitVF7UYeRRYvxJ/lzE2rlOJBYKvkrJIqUi8CQ3RUwuMGHfMUXDMqyT9X6hSxhyLA7H+QOSwVALykszlDDyfIAoVFhL+9Dshr866IoV49hCLVwj2A+JUhBhglg8Ab/q5exX7VLGUcDI778baiY/WrqxjWWCusezYUfngBa6RgvhQZdfjRSHZfCrCmfBkzS5H37CyQpuywErjBt5fQ9OD7xlYKcpd86YXBG9mX1dxhQ760iQNUjbZXZu4DjSvzzBD34Unci+2la+sbgLY1X/bfga+X2X12ty32/2HbfHvuR/cd+gf3sXrW3Xqm93bdrS9Pui2zZSYy3EmoloEGf1ZbpUsVzLT39oRxBKnQlauT1CsHEtFouwWBsaxna9VBRpCvcxhIKKzfbC+MooTovt37+yZd688HwjP6aUCSi4gArzDeMgbOxfmAuh4KMgMoOjJxxX3NHtXhBBSX9YljlQPo2P5hPzMWEKiYOCVJnEU4CAYSuxT09oSVNi5esvW034vUvHW3/8MQnzK1WzTHpw/l2hmStZDe1J803ai9Dq6w99e9V4L4fQHU1gXgJWszVlGK8avFX3zZMXX11peQkVIjwhYztseVqCVqaq+VI0390I0G7trz9x8ZbxlbiYR5Ka1wY2YJ4sjQEoTdPRM2i5kwYaPdX5hdLP7ubwyBMofAN+zfbeI0KLJGyeUynDyiGgb5WomLGC2rYZBCHeQCJ0X+eQyKqVK3NJlU+C++ahMrqh3hKVRVOMrxSGzjDVXFenDtehByLKZ/PpWppVg2kpQWoK1ZyWjKHOH4fPXcWEez06NjrIZfUSZ9gKAE4U30ZQAJDSR77N6m3+hjJVVsXrcQ/7h5S1GC3ECRIKBVZxQSxRspqraCgVpxrWl+PbpTYf1WVJbUbIXdCy9duLwnjrbxCRVeqS73Wz5giLtNLcTVx479+pGRVWEq7mizlXgbx/dJa4hbT7vPKn8RBY1w+QWjsEsf02Dhvn3HOmaGdVAAxTd1nDBhOSyjk0kKhcuq8xL43eanPc+EMO5KZSMAWOewhPsKMAwsQz44vUGfUnMIujp004/O6FuSU8/wQl/gf6UjxGQFk2q6gjhq7xEAMntg3MW4oQZIr7worl5SSOZ74SCYhzfRTqRTSQg+9aWmQ1sNGyS0N19iAfMkDCAxcQSky4iafFvNBv4irGFIGxZKkEjX5kca5KAqJuyEgFHRX7xXtdXt1GR+sSi1Hru1ddGwKl/gyWe+FEnp39XP1UUrhahaPwUIF9+2mZXffYq6W1r/31n0rrUW6bzEto4ccQ5O2BDWMcPO96ehZ5TGSRVHclQ4ZivMhVo7sghssWIGkqfKrWAO9Rg9pVBtjgstcMG/ITMvR/pITb5INE0qKgRpaF+ak4nRtEg0kZhXNOYLI+C5fEDiqBTDmRwgm8qoROEDF6AIwZnKDq9hd/KLkoCakavX532cFdluiMkDzLo25RAj+qPSfo4samrL2Kvuz4jBXBNWfvKja/tSH653klMM2dQPASFYlVfGp1B+/myGOinqMFeiCks/B0SLFX4YkamDi6nbjR+/4Qsr22NrT7vsJWuRlgSnNfT+9GzH9az9ZP3vj9f5jP8EXim1U8VbzHFXA0Q5M4oRRgDbUWkyFjOgFt0EaP7ko2kUKBExqF2wIX8GyZaJuSJv4HQipDBDpKgWXh0c/K+rzi7JirYnwTdT4ZjgoFSh9prEPnYwVmw07RjT5Qnotz0orImMcQBLuN2pFTHGpuoYOWieA0sIJ6s+AAZOFy3oDcxgLDoUwu0qDw5QqaAVA3PQSVH8OHetdUmT8GKEQgCVLTOJ6t0bIdeRYcdURrjo0VE2h4V+IbD2xCgsqWXd6I8PiczZWFZ66WmiP/ciMWnsvegka8ElwhSP7DehnvFqa+36xLWV7C1SP7drPKMa9a8vV4q9GfiG+X3hhMxXV0iDY1btbF0SBYIQJzqhiuXM31DSxWoIVjGUNjDmSVCoqplUbnHIYRQ+WhzXOpCZU2NUIQO0NnZKJw9z8vpBb/qqsEQVBgCB7wgaZukEegaIz+nPTb29UHy7FltigdbbiCSCBpAULLYzwU3zOgo/fKWgP+rwHf7hHbVWhKnMvRhUCK6iSJXXYva6toqQnI/1jO5YuqrfSx7VA8IEBqISUr9U59PuQh061KT1weZuUdWOxR1bkjDrA/KTHWAGtSOgqNIDaTV6R+WHn8u+EylnuFhh7SIjVUPfJ2M+e13KVSG/3XvUrciMSWBK48aj9LVlL0xK3ZK3MfiHtpn+VRpBGcNOPr5t0uOgKw+7UQhVmfGzb3fT0+Oysz/854czsXlwQ3mp6RFmsGI4knAGJTW5V09j4Yz73PMFd4RvwEfgc7XXWpqNWYoLZkzgyenQcrEI1rzYfROsxQWJIRdgccgmQghpeTgQlo21gMwHJ+FKvLEoIR1R/06hQ92SAunT8c2RV8U1RxktgG2okhEaQ4F/o0OJaOZdGmMpKPuRtkiTgKddY4EXVZuin3JxeSciesdTrQEODhoBeTB00KqwQHu4vsScOqnPO+XMbq6roXaRzl3ztWuCGz6+QrRgiwCU64PYSyyV7Y5d23wrtlxbIrgSPEhR8iW01tvf/DfftlVwt49VH9/1Hk1nRRNYg2daVTa1RghiAoJqZmpifmZpz1plFB6RWvv820QAwbDRMczCfsVesCVor0sWCd2fbWS6xeHE+q4piLLwUHJ7lIJmQF381F5ZhHJepjj405Gz/Q0MF7Eh9WpMf88jZ2uFnGSPz8E3qyMAiglMAifIFNy2ehhbP2m9AVsNDI6jGF+1K8LW2X702uolpTBeCFZ4rX5WQdy3kFSKzDFSqi8GBSW6fJbJ5iSuwxgW2XkZRytCQHxpUkEWkXJ5ifSBmq0lsu+ldq0eILJM9WbFPj4ekaEUns/7xOYYAcRIHxB6DJvllmvY2xV1526BsMQ2MlkWMG1na49XIqzH97P0yWyHYKA7IQJIdVEAAqEM8KMCp6cmZmSkf1pmMtZRua/XB6OhUvjKU0xid3G9U3fX1SdYqtLLClTTkWwPpsPn0EZbK6Adr6XhUSdm9QyM2b9VQkfzKd5+4zYLjyI2xk3PH+fn8DMeVjh0RSbGRApyaWWglNP+BVmCpZvsEeofS8L+WXtKUPPfQErSHqVRZrNVkD8wYO7gWKzXjO0TMQoZyrNerynCJ2Con1IcpKIs6zxCBT81Kf/vo4tnQHwJ2Jiale744DzAtiMX67mlem9lSVqDzP3iPOA1QyFmqL/HFm5KLVLmfpE3z6rkeFJd0iW9vn3NVe5USK/TTNFklTgLXxnD9q5jWcavUoLLd9NO3XB6vxvTTXN6EmeiKyKuCTSmYgIiyGyxrWJwMGw1W07hZ+8G9PeTUxqNjH4aYOKarbPs7nJi0RLDOW8OALXlczk1jta5JO7GS8hmMak9UFQTyMOU1lqDrzOhlfGqp9KFDsOIwMDHI2akc4kpjIUSjsBQkCAD2WJqZvEzzw22JDJKhLEUX3sQWL+dtJSjFW6OBAMA/xYaq0IoNyipjv5aWCwygMkTgeLU6f//wOKcD8jHg/SypSIstSyRhW/pAecWSAXhrgmsYK7C4hBdzgxI9iHNfXHLpN2vZEluhtLv6nhtYolPG5fUq7VuW/tWr1HuZ2KPQoOyn8ajGfppK3q+8V5Fc0shyNXtL6aoE8dqGTIovY5yKie/RwMUyTnyE0hm1QVB9ImtgiDvKFqpER8/5iKCP2GSIDcWZdOUUBHUWhYYV7D5FOZmxT7pH65QEyAihhg4j/Dp2cBxdnPkCy0SgL0qYAaDYMmFUnyBgkLsDaqM+wCUUw04nK9BV2ZFJVGdkGq5q/9SX3R0IJiMo+ijCx14FsqwSjggVGm9JEzivBPUKgSVc5RPBfGynlF++0BMpWnK/1i3KpGIIV1QrQFH90IilIqXFPhDau3bff1S5+GLUUK7F99PIDOqaKsrLfgI3LTwv5zKm/crYf3X15mq8+5ZYA/qliezHt4we3bSWQIuUHluMq0fZxbeYJEipJTwyuiN7Ml2S3mzBp7zxICuu6aCYoZrHdMr5V3wWJ06loiLY35qN2HQlVagWiAjrwne5LaizjAEbMAqFO+yY4sO7ODD3gOG+quUwbXiYhqSx6BYnVCwtBXGXRjvKA0Ymh1TWqCSRZD1bNXWn7PBNtboumd1RW2REpFWPtXpppGioazdSqk3r9J0DFhXFf5wDvfj36enwUXg5+KxQzQ0pEvpFXb4FWNreM969TrMruO/fVN4kVLqrF0kW+db+p8lVTnK0Evt5200rob3tl9xP6aYfqeR+/BcK6afpp28xrq2XiIfWll1kPyin3V/NiFcsfOFtz/r3yIaSMpHV1ajQPSTBde7Cc0UftjjvVWRI5BRvgg8j2werRx+FcL1TG2MIhX2LcrHFIjpUXiZ5xJLFnzFg0NBZH0YJdA45EaYJKNlSzD4P27lUd27cEYQoBrqKq/LEPxqWSwMB0JrZiHjZfK8SEZF2GWQU5UlRl0Vmhh5ATCkshbH4FMwa1doKoGGq0P95GfpScYhClCC0m1Zgi3HNwPiy0l46KRp8rQmwA1XlB5GUEEh5BgZJ3fM/FIjBQoLsV2vyKPz1VyJbvf0bjy1lP71crajctL9Lf2zjp36x/SytzKsZ232Lhx3gG43FthqfYBOpM45NVG018BAkiaaXQUNBDBgwwqshYWSCSbjyRbC2rT7YPz49yqcHBFM6ceLELy9hStZPggz/S6nRdtQMdcpa6xoP+MSJE5bzbR84K7ThSXxraxDWi5iqCl2wfmG40Pj5lvb8DpAjWeEqlkP6foSjGOK3h6SGgUqTGG0sDadnGPoZnmTykQ/PXI1zTFnruK2p3VQePd8jYojeY60e5/QqKBhb+a49Veiu5XTT7vNTOaT3Kk/13KLbtb1q5eZ1rw2JkKWF3tsqTMwXXimnV9SVVy2Na/9tCmkJmliunni1tCT4a0GCVkJLWe8hPRzDvSl4S5awRBHUBIJtvNJIDMqQk+wJUStuIBsyL0ZYVHYMXhR75Cu6enSETPzxPOPcEmb6eBtyhBCRiF3pO8mEyChmC6tl37Fsnb3dXXdOh/Ompj9I6xxdxJyysTmfMIwS6yG0MU0Aq9DivYYhEeDTlpaG3NSC4qpmVmGs51RpiS9lPCMRr2flvknlTqa2+FgoQXExtkjNShkstRASXJamml7k85tAogrxbnoOUndCr4B64T7rawJ7hHRL3a5SNhArU7tPcSn4MjQu66fvZ28Z+4+S90EpnvHUg6/FB3lVZis8/bFC0lVoJbiK7l+9UVr/lRuh8mGZzEEFazk1JhJCM3GQWHv7kIct06ui2FdGywSGL5xa20C4sJlreMwHxrOfTFePHcSlfpJezo2Ihzg+6xBam83jPXPAmq3PTvlzyqDNYd3dXWdm7+7uyC0fsyZcXmqNlvUNCH/sDhxRKzt5GtnfaRwaBIIex2XBFammpRUnRSSl4DFsFiWY0GuLWGO5CFVGYpnf5vUc10XxHTmxDbdHSGXxV7iqeWjgk9wrk07pKAqIMqrdZLBZIQX3gvSNJrlmpxFgAeBtg6OfrJRbKy1lXObPbyPb5yKr2Jam194yhfu5WmJXRBXpRui/vXrTOCwx2lO2XW6BWUBeTamEPiTutcVjK9a9m6v3raU4QOf0PZKd3b2x8UlTqcgAYEPbi8GsXSFp4CGyCmY8K6epRRSNryqGYFwAx47N8+l5nxSwA8tB2FygtkmbWfb9XKAabvpqdc0JGlI6OisnizoabW8/H+zyJfBDLKRBhg9gtlKB8jOzTBO1JtBmqTtmCJdZAEHJ1nbwhHGcomvcGcM6LKWlLmostCWpO7GwAI3yR7nGJARcOVojRE/jUIgGzNGncQVncjRFwkUC+OAzfrpQV7WiUn7BEfAu5ZMbCaqqArsYCyUaMAFOaA/tWhlSTL+IIL2C+ttNy9Lu1a6Gy6p7cV/4UYLSWnietOq9TJlmBBSJwl2tIQGiWhhgLlNeeVtREgOsZZGsnzfoqmBQv7fbRU5/uGrhKL6qWE+ETIRXmbp1gnJqLSjT5BqyZWq1mo8LUgCNN+Z0WjM8jq8dyfk1RyNHgxQlj2LmPvBCPIwxro4O9vZiU+3t0T0EFUdKZhtVEQmZk7TCVfz6ZhirdVnZlQnsnAehuxiW1qAAeL7hkKZqWokDSEorg6RINUQMCYJBgomIitxTAiEdfmpIiAFloqYWdQE1Prq4aRldWapfPTjMoDQFpUsHpNwLeeGnMNMIUc95JbQYV3t8+fQi7ipXexugW7Le8+VPn6vcSNNKuXxZYkxBxRIiLwvpvf9C4vYYJAQNJejrN08RFs+l05WMV2FMG1TR2LQPg5uWvg9qixHZ4klbE/Qb65uYA/13dnfNKJNSrj50CHnmXSKa0BxO04hUwRrHVbhPhHJiJsCAZGWuZflETBqSrWzm4b2Lwe5ZXF/lDopAoyQPORiM9szQFH8mqzyhZpYt2Ph6sp8N/cZFaZda8Hk+yDVyzmApwe2D06DJ3mMJql0RP7kNlG4K+yE9ooYPsRK+xFK4xVgTg9PUmCu7EWNLYTDHD8Y0LKOqbU5UHCJUy9PaKj5NDod5p5W5iX5uJOgRQpagrP004z0RvZgWHxaJ2K/Qogqbee6lqIorY4qvThU5cUm8fqreTQqsvt7KaRnxNEVfKaAlHSKlN/T0WhGghZYmDb4EoEDrwdOgaslc+6/cJPMV8dYeAWP4Qyn44tLUhnXvk74psrAwj8C4hxsCaXi5dHi6BdjKiUgrg9+d7K1GPjDghIyFUWnE+BufmhjvTE0ZaTkK0IqA/T1fzOBP81nz4YHxnNYekCJZM/N3anR50tk/sWWQyac/RZJVt6qGJDHFm3Ou2VS1rMyHn9RY+IzS8CJM2EM/2RSWovhSPk8YvrKdJgOCjCHYULiI0otJxfdaPCddionB3WOpQngul/hOLe0RDiHBm1DjeffvJ0gyIaOjlqchXVQzUpOlrc24bKRXLWXyAUEFvcQ93gJU5E/j59T5ObpqpgILZQ368KOIlFXS95KvlJoE7aJKNA0sik5bAkTeXgIDjKuPheWWym2CBFDcrg39ImWpj0Xu72wP8TzMzs7xMVFss7POgzxQ1SllxRy27tMaPcM2ZMw2/HRXGJM9zfefWU+mjAJMezMEI8dy9N/YBBm1b7bRSQ87o3t7+TTPwNmhtdDHduAgbwRH28p80t0/tCbTsDHb1M0ohYnJwpgz8Yaapw7/pMNAYWanaqVGtSUoDdsH6yFHWd2By8xmGCh+s6yIyLAA2ybgs3x6KQKrLVUIVnsM2iNH4bYYN3hXvupVx6mS9qW+8EhDo1dCsNHjvB51rjBWDIxKgl0MUsBfNkBlTP+4WpC3yvLKT15ZpRpPFyNUueLz1yjecvUeEp+KGwyhEN6K2gvol2lahYEhaTF3FaQcuSDATz9lu8mLCv2bq4/StGQiJUi7KjSDQ4wwPt7btB6nwOEBfRQak1fMr1ryVjjt4Uf6VpSrtitQDCHD6cAUZ7GhFEj1Bi5AbbDi05fnyCEtLIERsaF2P4QUlmLNdw7znd0JM0nRqfGT27dqrUQUDh9a7DW8EyYqRlKvx2AsnbPgqocsak5chrwXCneqwxHWKrkYSZVAlAXmus8kUpDcZEkqeE7oamZILI1mtlAQXDZfioQkaT8tcbs3K1r9r4jdS5XaEqKZky1jzoqoyBIAedvojaXLQ5z+0hpb9EvSaOFLFksBz6vP2xZScobNQkQS7NZDIKlGKKLpGtWFK6sd7ZosPUif33glNGIni75L3F8G8S2IkF1KzM3n1AIvp3jChGCC/thLY6MwnQmdEiOXxTT0pBML6m6tLJCVmZG4ZkGGt/FDnPGmG/iFqNzZ/O0UlFcUkSWFHSf9+Zzc0TEl6lSJFBIhQS35YmF4u0yqQgMFPewzcaYEep0880tgUkuYK3DEoDpNLQcGv7HK45QNM9kRX6tZAkQ2BxXGqj3Be+MnGI+ISFERIxBUPwFJi8qSScbIxkDYgjKg0X27qSKDn8xaJLhtleUmHJE44AQ9/ouSWqzXCfLnGtlLNuchLucWWwm8vCw1z3Xvcpm/F5NXlSulhaOUljpJP8jL2wBQd3kZeJKyX46bVmeLSVFX2tkeU0aFlPb5IFfriFE9YZ240BNqmtnSbSu0rHSwDkJcqwJDKMxVCJFCp9gpWR/qriSL+jK+IpeoQsKIB+tw39CQKgKdepg6yGw+ziYq4mq3uy8fmRZ+6WHIjnczzoEq+EDi0roEqL1qbTSpBGlVmrcYsKS+gSmvgYminUwkU3bqlCRWV83NFPCXK16UGXw09Zb+W3XjLqEYQNXATZpG+PCc5Ol1jdnqVdGlWLM9JjXjHXb8pKweS+a+n6HBjXVSkX7cz1qZobi50pRd3NV7LVe/tFZNe6EiWfr3/ZtGKteGxMST19nFFNNF0SKCkqo0L6uQdlVRD0ilX5Z/tQktWcqsjNrbzyi9lK6ZkcmgL2cx2Bg9PjHpcBhHRE7ngLQJXKXHyoX8wChmClcxWHpcVZTDTDFh/C+Fx/Xp2L79zq7PjHf398z/EFTMRaU5cxRXsZ67nQO+j4irHAbbYMxPH0IgZbWSTxLnuCynKZOv9GQwE6JggjKRI1HLQUg82W/IL2UdGfM8/rHCXoBPzw3+21V6eIs1AqvtL/hLSGG9yJA9YPmtmAaaNA1IhblvhSTVJf7dpyMKLV17149pFTeypYhiF7yl4sBXAQ6S97LruBckcG3FVkQPU61k+URe5YaWxtugSkZ1pdwa5+Tdc3ZseRPVGpy3z++9bVWIbCn7VzdqbJW2LC0lKZXDay18z5bBLKexSGss/oes13LFctBcDKQX0TORTLnECi7GqpLDZ5m+YdeYwjUhyJd10N3t7uzu+DaOyRuRINBugGMJs7x7B8e7ZlI4SqkWPQlYNWOtePaaplRHEsuTnnFBtHagiQwLJ9NoPmSq+UFAjIi2K797eLLTPXSGLvYOL8FlCFTlRar1pEZqq07V8JBr6quyXNpv5UvKehtLLG/yLmUWHfOm0N6u7ZW3zxnLQz9D44zGQO2agqqwsG6FL5TbslSS59TtF9jPIlfLKKYPRHvrEe7gLKtX6m1LqgeFzT6fXmJv0aDdXC2/D4m3LU3KuVJC1avUCA++hqX52aWFuYW5GV9rxkmhn3FdBTcSY5oST2WrhagRXC41xBKZWqwP4AStr8P5ok3ORSar9js7rgfO8yBJasWVclC8u3+ws+f70vv7GZs5ivRsxDm7kXi4pYZ8A+fHg/mMQL6KG+8YKIIcoQga8xxM+Kb1c2xF85pRoFvxaoeP4ygfMox+DLM2/BWLFRobihqSG+p6SEs9LbruyOkGDuwVwiXDo316NaL0cdtuWmSEaP/ZjfJcG8Fa2e1RajeNcxWcSZmSOr36lXIpxupNLl65ytWP6d+0V+3aT9MemSAgqK4ia+Ekwjyt7XOieBC28IXsHsW3yJYgpVQQqZCYwzl5cXh6YnJmdnp+bnZubsbf7NzMjAWl3FA1f9wWwrdGoRnPtCkQBZb5GeOEXqP3SmhFBWKsIxy0u004HRx2zeKYGcyagaw+OaqBfVYToPfu4eF253B3z6ZCru/j2MLMbWtnxnJOFulsbbP18tmrdnYy4Zw3G4FqGT2ZBR70iVOBPLfutFafEij4y/wxT5hSfaopRyidniFtcUP6XvAX6uX3qtTySpmFmWBX4bHOBw1pi5fDCz3BGauKrA0ZeunzrkgsewC6DK3AHmP1X8Bdw6ZkqadCu2kZA2HdedMvV0QrrqVvr/q5PLa8V2/67WmR/aICah0s1rK0q8gUoZzLlvTfAvivlaCIBPFCS+BGjNz0nYOAsNFCsRTeIrSmppres4g9s78kWQuyxAYPZ5n24FM138xMzkfMMxqMu4hRFTud8vNJ1d29nc7Oto/qOOOWv53kKpaKdIn1Y2Ju/4j6297rdA+6dBUOIaiQkmVvhMgl5mvwnGHsqNETuy2stshWWxsNBaTOcFPNti4mI0861saAF4pSYXz32Z4VK7KNRhqpJA62q2NCjb/GNYWQ4CqlP0cssjbWgbGKD+qSLC+SLH/h7x5NMoSUpHFOn6yfW90gc/ILcc2lrF7IOLTUU3PbpGhJLl9epmzAuarDu3atwlJmYCnqtnsJrvLiZWG9X2la9l6TelB9jpUlVaZCLlM+L6MPQz+KIKQ/mFALs9PXlhfn5ompqWIqGygYUvV95vTWMFZTOldL1mAihMuT8aRxcZb6TomWluua7GKp+4vB7kOEe6TSPmZDdvvIyh4zi3KCm6IBfQ3cnX3P8U/CHWLBzoAFNYaWQKG7wEN4ap7FiFwKagoe0DI6OENONTLk8lH76NiLgxNejJwYeWymsfEN6PFH0B7tCVQhmI9G8K6xViILjeVQqN7bi7pEXB6LiRpPKaGyFsfpYCm/CqhULi2fm7a6IY8e1CepRrp3JQoadzY+E9W4SRLxLXHL2L+moOKYq1wlplWZYgNKKurHtMjUe8l2jWl7iQNBJuAgtImtFt9q6efSfVuBqf5KUJGnVjYBsDA/u7qyuLg4PzdvS6GdhRa8w356gjOIQCl983u7BWkDiYHvTBXWDhbJ+rycKYLkk6RaSmbKC2GuLFAnoozHfI4rw0B2VZbNUIzcCvtYanvXV+YZYdgBq8ZHkOOwNSzlXHDV21yGhFarTlHXPmcXgYiT2Hk5Ycbc7v+3rrths+LGsQCcQEOgm49Mdp75//9udjYf0MAEAiHZ95xTt7hhdg3t65JlWZZk2eVyuViWJ5Bm6G/fq8Ugm5UyL6n1NZq0/CJb8oiSqFFbJpJlxUdczAE86WP98DCLIV/HcE4LWpFrCjGHI3wpFI+lI5SHSDUtXDsl5oGJWvU5UsU31vIMQYFrutIpVbNYrWKkQvkClF4o4oE8IuDjCpSCxWq4znLBoCcKyBDOIhKnuzoTQzgxXQr09eLF3T/+8V9///v3DOv5i+dekcjCfzwRirlfsDjeW0HbXXIT3nu/jrOy8v5F3rlgQbbwGuZubv5teRxli8jl+49MsTzTvr9/9eoXn6Gw2YnDMss2WzdLZ1isisHxMlnPykJKtsOz5egzPCbkNi6viWakdR/BxbojxObnjzcfDIo5DgJB1MylLLV6vGg4ppVOfszBctIswtnkubABcPJMfDGC5FaVKm2J6CsI/vqz4nJzxUKCnQByxs1qqcCjo0Eg8LdzxyF+QvVVnKoKZGYOlTQ6ec3x6HMqgMJqOhMrAkjT0uNsJlv0bLI7i4AM5yyOjfr8w3o2NXARLlsX/NEZkdEHFE6yErPRsNoqbh8/efH8+cvvn7344eX3P/zt2e1TCjBRyRxZf+Ujvn2Q444tMXgvJ5+2CZ8LWWuIPDJCNiubRb20o4r6E5iZvGf73us3Pjp//+YXO654MKXisd77Gu/Ht79e3s/jhbKhhJmkx6gXh0nGhrMu6D/xemxsGm4pItOv97/lIG9PLDOpz47CY7u8ka9sRUftyei0vezV79QsFYITEfOQWE5/YzGHilsWKuM+MEIjIVaVZTNxdtVOs0olq6FYuarVJZHJu9+QPqnFSHv9lzIpS8FTnlgRFYRGGUr2JQ0OAXwI0ruEALKCYkCQZYELIREpi/IHEpGsLyTnQF7iLC6xdFGCs8szoa4nTz1gvnX3973p1d0z5oPvTIHiGhgfl8aobi1hMR21z6R645cpDdNBjfZM8k32zdbzHkSDp7s28rEam/juX92/9fmJrCLlAxbYh2mLw79/daCUez6kNDmPAyfJuph0v2gkXjLdPH29cjXG2fxnFpVzTeIyYz1kkhE26+gG5562wCNEaFV8dBG1rXcWdih7lpe8IsRYkn0Yh4v4lSgkXATrTF3Sg0BZHcMTk8yJPxyX1rGySrJrOBK93/FbS2uxU0+Zq15RGTlqgw0ujA64NN0MPuDSshaGLHZpApGi6Tz9ndH1uN/4RsKOrJlyZH/WIrH0YnQKCEFB7S7bsD89BmFV3//t+cuX7gJtakn/Z7CdRubYIGim8PkWK3h8FZvozMmoVXOoZ7LphVf7znIXc0E/+9ixFRs0ec+Odik9Umf9w0OhP78xmbaUgECerQSLvTCO8ElkmNQYwssJNYGGbUUzXGVXA+PL7JtEzJ9+RzKfZY2Ywq6yNQVairlVg4mb8ENQ4H4VCN1mHYl6r6g3/4MJx6xHfb0cVpg88GHEBv00td/Gw7kCXAhmox+ncLWLgUDLdmgSQ9pVc1lcwqlS4sC8WBXI6YROhs5SZwKOsOIogIuj4gy8Y0tP7Eic26PcBI0LDnv40EZN/FVC1pkrsYrI5Nnd05cv7Yi5u3uWdQVzqQx9PZaDMjiR6abq0ba0rHaQSGAZMYo5rcy1bIv5zlCYgdHs21YIdvngG07wk88O/u4+g1StdUatvYULEYnouczzM2yhUkyT67unRVKYs8ES3PzBAJNiVP2NQFrqkJhmJqsmUvSjFmntinCLjZckUl3MDbquddiiH0KoXoYjJlvxQTC3ADHFXabGS9YSg0sLUwq/a/SMN16xlO0jhvAR1caGhj0E11BWWMap2gMnhDICCgqeZZceQ8MEUXbFQYpw+Y3k0o0iyd5ADC12GDtL+GvB4xIQiVFRV5jgEh4+eHprJ18W1g12eUrT02lNmW6+zSH9vKFW+lfycVSxZyH2YBKWVMafBhamFvMd/xlnGYf/ySkyHus5ee1jvptiuI8R4N9Y65lgV7NSh3bSSXxJQuaNPF5VhnP/GVZsqHqbXit1kZDu53/6u6vYQexj1A6xBK1KMR2ciEJq413tZrWHlUzOcutWsyz9erjwhQ+8V+9pRupqQwcpe8GZyTYHzoF/wclXQBdW2S4JVuN1rDFHbxnMSymQCChbPjPNbEAXPE39f1QOQVPLYm88ryxAkUN4R+Fj1n/NK+JU23xRwn9WF8baqhXcJVsxj/GMzcScReVjJDlEtH1dtfpO7l0+6Y6xKmbUBXWU2FEsqtvxOsnqVjkG4ki+2Fm2nsSxOunRt1KtTTqMJRsys4pA4eyKfdoO9f6jXQxZyorEwl4cw3pH9bgDMaKiyLSsk3yYp8x5LbKaCsM2OcM6Om2maJEe7acIymu1xLX05ilHtqIODy0YnUYIw1cm+5sT0KGtWFUMPU3llcGDf/wygkPjwz8zlsjuBtUImh120jG0JAniG6OhVnpxmKEeiZahTH8UdAl/aMNEcMVDqGFwBK/DgO0/KS2LTSfVtHIg0iUFnqohz8Uu68RZLeqVgD8KLuEzmJ7wSFaP6AXPAv60PVPs2IkJfNcOJJlUNk5lwqR6NcbcEvJw0FvMeUrT5fi4sDyhe+/1QN92/px1BGc0ZAjEYYjDz5FWhkW9MVIdVxRFhLQVCLoUyH/EaGJO4S0hmdpCuH5dpzsXIfq/UFurUYewguKFZYVCiESMw5FIVmtfIiY8SJwsFYed8FEsOamuYWXh0E+aUBZHKVWoCDz/vslHmjpBTmVTaQxYoUyow811iJh3rdnpO+wYVsAK17ajNnRieW3A0MfWCMpKmSviqcYli0nRhOvcQcTV7ii1ZVcUDmgaloYIkMUl9a0HfXlcZ57DkGgcB1onmRfpTKzdfdm+eRMF4sLBeUIe5PkGkfcX4mhj75ll5cg/n47LhhgvC1qb8kDw3Tufdra+YAvWWAwausp7MJ1VCZ49HEVpVBgxxUOl1cC9daBKl1O8rOQSb36V2EuokgQe+YtIsD3oVDlg1jDmYHJBOEHNSFEbYrjqiD0mFSssOAQVEuahUqLmdDySGY3wkVlW6h6TYXdMylOgZi0/oQZ27G4ouXCZjPmJkk8/bRiCTJCilJbmXcrQSPpl2IyF6Y9hpQqGr/uuVNCd1Ei0Gd1W3yHwZZ1V4FXxkZc2gZbGFARhcAk4w1zbwBeKFTR1qD2PPuwjsA38vZcHs8eSI/HCS25ePfrNZqe0INxHfjnrzI2ecplL5RaSI/PMxK4FL3d6k9m7zLbDvPbU+de+K+HBIIvVz+Lt4Nk0kzedPQ3GwOQeTnCLeNpACGlgGuAqUUasTm3auuZEAikjTtvjS4axJjcnEjePPPSZ7pkSMcr8MJgQ8RvyC7kQUkOrlnsxl5oY/Oiw6CHS1KGKsXMhdeCEVtEUqQjxaQaaHilEAAeJ/JTDMAK4meBwxhvkAz/CSv8Ifssk0pK4sGNIGtmgNKRRsS7CujAIjkS5G1lFhKUXxz/rcnmXILVFMFfB5YiL5UZBcdR58AfCdmjaSvW/39/muOquZ6KT12GMjI5lyEEGNfPQBDu2IxsgM9nKOoHtLnntxus9sStL7K/vrbFbu8pCfA5Sc5pRWsS1sVmg7GzIEjtyGWQjkmnSBe46stE6ccW7t2xQIoaE+plKpCooQvtVzEs+PhPnzzWrSdkD2Cy0yQRYosQOiaVMmhjcU4bxASrOVyUEpJKbqJo8LsJnDAOFEFiqDBCj64S0Tbxnhcqdfgg0VIsDfm12EcjFOEZCKTjwg46zUOS24sMjrwuyRLAWcAq/WYBBSno0Gl9KjaUxoCjOkQnXhN5n9wqWrCjESfCsNM7zuNXlkfgn26Pe3795Z5voB4tanXdZcvzzwWM04goN4OZLf3x6bMHIKxEP/nQe259/2GGQeVJmUpwQD8QL2Z0SG828yoeTOCZjLAbywCfsmUHERxl2WZXx9rTWMm5i2gnTVg/Tm6gq3SttaCtmT5FJpFlzkVstTseh01nz8KPSOBy+MmqjsRGCLEjnweNuXwmophYtpRNALk7LbQKT/TeBdzZ0mF8uBfDTSHa9KRZ4O0GHrihUBwVyzEWHmClprNROouOQO34vZqHEoc6kghLC2Yq2joZsuSdAiF/CQRlm8VOkAUaqOLpIzeYg2drhgaUM7BCGTj5hLBnJipHWoIhUiqqqrYP+XLqp9utXb6xd8y4OhrztEunTLr4TluV394rfPn5oPfPRx/fWuNI0BzsieOMTEoZRxuTQoRzLno1Zn7Ke6e7Sni0VPvJsukNqHGMP4cRoh7mwWVbTAFx1fEKb8jhSOVH70ZCLQNKgpSPnXER0aXT+8pOS0is+YbhSIZois4wKyOlF7X5VOxp6XeTc+oqQNkSmoUd5sWQsRqpwMuFqZ+5vCsGYYUFf6xRIblsVTeda66oGKzLKB9pwNMmaYczOmQF8TwZ1/wR0BQ2CBoDqap0AwnpHKAloIytekcUKaO2yDoPGSgbNmaWchKOKJog3Q2Cgc1rmamXg+AljyY3m5sBUndoXSK13GN9wMMzr/v6NdYdnnu08z1qpjVlFfvDcy9C/P+ZkfvvGu1/bSRe6Jvadx783+tlHZ2mBA/So+ulTi8rf2g5vs0O2QeVRYV5Y1/KoP3aVJ8ZpiAZ+ziPwHNbc2xoKvdhFFKzlikx0a7h6wTu4HXZjLKvXiRn4y09NostlrS+yiRskKpUnVxK5oOYIHXxQIkBDVVd5JkPIS/tRs4tDbrHDKiL3Z1U31WMzSGSaSXJ5VzgDbrRwGakyY2FYrSnRNCRB5ULIeXWkw7QslnEYRwizuEiE+ZENGZaFlBg1UkBBo/TgkIlzTKuMF2KXyA058TEflfxLUNw1a2lbkoWN0pcMt9JnvFyXC0UbbkQrF/PZhP7vd8qxJ892Xtox+sQhjg/ubp/6AhhsU/Tscsqezwxn7h89iDEKZkdmv2qZVdGbp98+6Qz9oxO2Pvhw4YdHHi+/p/v0fSdwP/SMKOunM4ooLgwQWJ1NRRSV4wnUpX88BNOrcwp67IalYElSQM3w3YBJY64gz/1G5J16KirVxBHKBMZpq4oQ0BdrEcSsJV2tP10MKTUWM3YTzNQQ9zVLAoyuw22ZDsFgwlE7oCLLCWOuvbOtSYOWbjDo4IIXbCHuNUSiaSmsfU7SRaamSShV0iMCgDNEUoZ1Z0qbmyC9yjgQO8NXWhqEhJBIBau6AFV3AqfS7peKasJELLIMjvj4iN2gdnJeM04DU3sDmheEP7Ls9PEjJ/T0u8e2Zt35OLRRgyXgNNtBzdI9YDYFz4pLpvqdv2ujFqQRmMhDHsp2XEzcEi3++chHDE1UuKffLec/fPAb08KP5ho5cJ5i5UGcplaSrRaFDmQxpjgzGsG226q4vWRFR7IS71+4CL0JLek1klVlu0rVDzVg8j8yY9cpQCi1cjKS1JaLGkrj0Ei1Efw6ABGkaC3iDijKrnHQkcvYMhPEEmaSs6GwF7JbuuILGcEdU0pkdaDcZz1eKbTyewkpWUaWAJZYXKt1EZNBAVAMyPrxUwKJx4DEaRmEk+qjgQhEIZlilJlQu0saJKysBFWfFPRd+AoXc3oMJpcZZdcK7TVWAflnTd6qfJ2WAtgTm3pZcMgqZ5bjY1yiVYd1VxkjrT589KElX/w12JGRZ5C3iNvYHkutzNKhI7PoUsJF+1pmN/yaE2sikAePI5QYTc2KISXpIiz7kHnTcVEKNyNEhQs/oSsd70I209FMY3jNjUk1YaQJ7RpYhO8Ps8oLX5HlETLaeJpK60iHRCcmyjAwkNqcglm5DcOjwWMcc6xk1SAunPT5GS0C+n+4t0w/moR+BHIMwXI8hS29bFlYsbST+Ua5vsD9pkWt86g6oOD3qCj1pDuRsMZUYl380hb04IWriSFuIJ2oIS0v2WMuOPaOvIvR0CEcddkAYwJuV4OQ/ZrU6dOFbaKxrPN0fstg6CAHxpSlh4nEpV2l9g1bV/3dg+csgTrm6vOnD+4QHxhI37x1MOksC6N5/oOHWkmH0xwe4pFldw/GtvKyRAwHT/2rmZUTGZ2rRTLVvsQ6GJJLS8ylrJmAEhxIVVntpfJOZBUZU1N3nhHk/1Gk5aMm7OYePGK3QNa3sr9oKgYR2WcqFWurejq3ibNRqc7RMvEBp2JCe0JHHDxZBNPyWEJUxWXgSwTn4KziSxH1rllJ+Ev1V8UyMwulmHxqH3L5jbcjOOwJ4DWasKtebI/wxazKg9Ydta1JJJHbfHkThlhYFYuXdakiRAqnQwcYOWzbDhqHNjz67cPjWlUsqz4rTw+DXcogCVyXkxm8Z+F9G9O4Rx/waOuV+RdDbaMZTDoJM/Lxnkc3XlnMhzD4KtalpeJ6N0NY3Faa3aBsPMBkgdfMqKgiRlBhVK7lG/cb7+l7Rcbk+NT2JUxvQ+3wxyGWQTDWw91mchbyNUcVxB81HNW1yZovKBIGChmOS3d5LXKqPp89CeowVgYtwJWkpai0hE6cVTacoS0GOYEnQ7JSMQPpEo7WrQknfLmrQm54SJ9LERNovzAD2KQMnfI2+jBStf8ZH6OJtNEI3kZd8wBTngCYIi3IMDJVd7vYzcLZTceyUpF3+lJap9O/+BSi59UyRFUxSteojJbeJvz10fuH5mXbwnCTT1FQdJQkqNGQ5/G30Bdhbx8/chRNXjNjarnxgZ26YkSSalBWqUPwmWTF2zeEqbShmRlMBtWoSDg2d1QdOMhhOW3u5JOKZMVFxKFk8oBcLakmW4ZrNTGAYEcKAH322ZrjXKrQVNIQcBocJa0ulGNYZzaMA6lMq1X/U2/ZjTIy7WlQagWHLy1zcVhpAIF7lZ5tZYUQeHTgjMKQBz+7S4BxZ71Tb/2rAkiCwGd+Odwna9e1vjYSD3+tumoqM6t3lfJE7x01lMUpm9PVhRvjWd6GAOWKrF9laQq5TKV5nUzHJDCW8TED4+dPfauHTs1FGmep1fJDNE01OeTIeMuFddNO34S1n8v/DIVZIyirNaDaldrSqcYhblW3tB4pHf6rhUNi/Ul/6K3leIMC3JwkFG8cEY1C8jIZiBauKyJVl8VRqjRXI/16H19Ov2QSgnpyiUSTxZlqSpDfg3ixvlUgXkKp1epy6bDQtM6KityFNQaO3BMi0XpH5BDNgCeay1BsOPF3edSYLpB2Ag7hjGOC/dda0nsvlX+huVKKrNRofgVkU30mY53cRoesMlim79fT8kEIBU2ecaBttG74EvCj+YSgbeSdREYTTilubFopQkSkVI5/+M7bi3lDNidndQdP9huyKzeV6ehal/EoLc3CZq2nAkRhzQ/bOXoy/j6zk4ru1EJYvEhJFn5wspYuPnNdChMFGhKDKCVIw5QAl8ASDJdamHmiaqijAmZYejM0rgfGdcGW7RFxLSsv2SFfPPHat2Ygm9G4d0ghlzKYgB/q0hUNrBWHGubKIdbDPUYByEIaFnLpZ3xPeMycqPiJh4xQkYOaYTSUmY8GpzY1A0AoPhEcBVN9Q2ppcHVSxsOUsSyFf/s9N3F8lhMXHn7SH+14+OAgZE17+OSpSiWwbYNxh8Hcl6UhEWuGwxzm4M2xvAfLArMGn+2pXQs0+8KiVzPyceAnt0+y0/C5QTCzqwyEXkrNyIs9+GJkw1UNC8PjOZCGoJnfx3n3i66uW1DmMM36EfLnUhtRg/B/ECm1aKbWCUHlyp2YJ1mI0vJkQXqQF0xCPyWDne+MV8nRcjAv/EAhH0NhIGwzP/2XYg1tasE1H6lqJawEt4T0SRSIhH23kcAJCJTdXVVkKZZ+CSTFsHzRCB7LsirGdIbahicqhSRmPS3Rqo+mpjnJdllmVql4piMx4eq70sMcqakQfZx7adSilTP1PLAxk9ZDrVFZmXpszTTOCXH3g58efOo7OVs3ztIG34Ys2tsgw1FlzUktqs1iROdtas37+tzU0ztWZSNrvt5jzh7jPMJ4WwwUg43ohHgwFCr7aA6IIpPAViXMQiGDCH4i1eoGHYlTDgOKFyDD0iWxClJiJ4HQK43wMGiIZlgcV7XjZqXPwciutUxEghJq5TnrWJ3Q83XJqg8h8JD3T93l8ayW9fA4Lss58qrJvgD/FW/rZK7Q+uJqJPG2+7jXqCwyQ27ioV3nGcNnKCoUJi/xGZBVxZfLoMddp75LlgSEXZ5Zya61LWvwC51sXHAIbY6Fub3ngJ44dsYr9Ja1vuuqKXpZH7bQsGnTZ27m8R+P8dt1U4vy2e/gPZxMwPJ+Kbyu3GfKFjeWGXuCVVhPKPMKUEe8afRwKhVdmAyHkW5Cf+OiNFttIHJhnsgaEpwaEIVFq7G8r8Pwv5IGJD1cv5qFlAyWUjZUktDPa0OpFtWDcHMLr4OI7WaINrjFevDnzgtEkRu3RcPOgBofHkLTjlKcXbZktwVrq36Z+mPtUz9qrg6VH0xtvCvd4mILlussIDFctefxQ01YLDeL11eC4wrW4UpjLTxcETQ0hwxnNZ73jMuN0K+s8JpyOL8MiOXtG496fnn1Ckue3Lx4eed9HhNPzCpVl6qBXs/PIQ5OKWIr2mpWznJcWyF9++7t61/e8WVeSaSPN2/e+NJfXjh1GKlPH949u/Ns0lOkfL8ud4LjbfEX/scKQUhMoETEmaf7pLHCUJY4xBIPkBCM+IEvOENYfKFdrJBPJcJ6paJFC1wYY3LBq4VjkUXWgBBSW+kEmFWr2FWsqxnzS8fuhmFPlys/QuhLLCA1cmNlyKtj8VnZBT+8yoI5+tIXvg8REDOgUGpHg12OB7GAyIpLDNISJ45urW3hcwUhQzuJSJwFJRZGcMTdU96/+9U3WL3Czp2YafvSKpnaV4pQGDYaOvPYNOzTRyfAg5ghzfR5KO/c//jTT2/uf83y1803Hge9fv3OaSA3D02rfnjx/O93dy+cOuI0tz3m22RgTI7PNWcdm4wvrUxbl4Xn61YkYz44z5wOSQ7nGg0E2glPQy6Qa3hoFU0MZwGmBqLGuawKEEFu0ROtrsEHxAvgwk1upy8qGbYMCSTAB5kNDTLSINCGsFyYu1zZFRwp8fBPyswVBJ/Nws2GfEKN3Y/UqK06aLs8q4heNTxayL9VJ3cI8IUBk3eRwpogS2JxMx+ym/u3bz3dMGQ9e/b8yRPcsasMQNYyIXsl2avxbiBzS2e0zOCYY9K7X9nh3h/++39+dCCNlTCMOTqEiT5/+cOLlz+IbaLw5v7x8Pgi1db7RetpgrXxcN/G1G7G5JjHbRvX3DiyhEkVHKYAs1Id4mEERfwyZxgaTEiyzsvxo/gS4CvIEAo5LHhA8SodnRX5Kj6WG4YqXvZYkyYmaQnxTHisLF7DxsQKjo60xDXmKIOsyC5rR/G38MHlZgLYsOKresjAJyclkiiwqyIQzoKyFXEJeIYRdzlqcx5wBJNwBzfev3579/TW4pPHNVu6Yv/JdVxsthq/M2fytQBHPppe5XgQb787SuHjb2/fvfn51X0+m+nF1++e3j37/uXLv3mV8cnt88yrLvakatQWEzbTSPMJIvOCNBBs85nhnAxPmICBdBIjfQa52oLy2nXCJ4QRWZYYGvyxAXOQxafQ5J5BCVVqARxhRcRj6URzKewS2o29RPhJw9cyNZWv6K2Mllrb3MvVrRmoLOsk7fKrInPv15gzo6Gt4IisLB+h/lSR+/xsi5iYYQKWL0KdiUcTrfBYsxnN1XXSnxTgrexBoVVKQxuCUgRgsPvx558JLPsBb7979szKEyNzf+PEGIflvXf+0MP3vs9sI/wDb0l4n+LVq1c//vzq59dvnHr1p1tAnzB/9vzZc1ty8oKsHYV5TFRDEOG2bxFiJivfjKoao7RwMc7HD8aEsf2F+VhUVmdAIENYkSVOSEpeRLM2npRHfMBrnHB26YGDz4kM2O5HUNVLJYngSUqCak7ISeeGhwfNmkQ2gbbXoJFmw0kbXGTF5WKMCAkup+nxBHXB5RmgwTHUZsJbn+TymmNApRaPfouAMCNP3PO1maogTRIgp+rcPfjFV7zpbFfWTPakKbE0yhIpcOlkgye7YXBMOiHv7Vs7aj7bbnp39+Tl9znuzxKBvvrq/s1Pv7x+8/NPVhI8tWZYXiT0XsXr129+/OWXn35++/DxnVO3nBF4e2u9Koe5WbzqykJktVarLVIi5RwXEKGDaEf5SvpsApYglrtwXtVmmhApdF4FciKMrJy1VOK6+IgsC3yXcMJJgyxwsSB3OHKGKV5akUGGMLEPsoIn5oA+fO3DoUIO0NVWLUoPy/kF3EY7h1rbOVSOMS4k94P8Rlraf2PwYvKje1aJj4n1FO4SqoMzdsUCzFGScGkiHaPOeJcAkDiyx2qWv7OEnSe4Wz3KezVCClYoa//aDOJyocSq4ItND9KYtj6/e/er7ymhevfTa+do2QaInXe/3v/rX//68Z//tODF2C2GZoblL6cy/Pnk9ofvb61UORrQ5kFrClmjTwNVcWUiYyMrCBf9nSxJnBI4c4efZ4W0G0kckyoIa2N5jinsUgLkWrDLEl/rfmRXVlpYWjwKZwJL0soO58S8RjurgAmffsSKWCz21UVnl/gKX/rQfzYbs5wHVH6Lu/Br2cnyj0Q6G3oVn98m61Quo+QgY2gcFCu8qh78ZEsaZBxnjGjvdIMPAlmue5TKUxG1XobFkoMgECh1zrw0D0TmWcvqHSeDr64TDlN6/GDAgoHJkw/5MV/N9fGu1685Mo8PP+pVrCb1OQf+2c1zCwl5DijOcfDCiKx1axRmBhxXq1qWsEol4K/IIKi7TNof+2xbFAQHGJ2WqPO70A/uSlUzwzyRFRdWSyuPEuEALl5iFIY2gtDiaY8QnuUK18WXCbIqjrtCmgjHnw57PwsET5M6A7B0cxkT8zzLXVnMrA2HhlGxoD7pk0WJAceHLBysVbIEl3FDDc0FiUABV2Q4IC7PGE0I8CXo8qxi9F3ClL4u4nKkAK/hq6iw8EMOZCPGuEvrfFn1tG/9u6d/+/tjddIMs8o/wQFDToR/5Ilybh6/oj/2YGFmuUMYb+KFVv2XpoEP8yQ4yFl8Bc8ar+Fr2lcUIHyFM7QBm3kYnPT4WayKhQm2SgjCmjY2Fo/IWe+XV+y5ByQmfQmFoVa+FbCRKD4tigSno8gru3DyH3bGxlRLeYdQehmjvmYU/bGFyJklDVj+EEcEfaYWyPAlhqNI0WJkpznS/bgajiyXCp6YILKEE7LEGTcrBq1xOeHojxxmlOeRhnsTTW29iUNyqUjaKJFkwrZWzXourThcstyTYWm5uBqrY0laYmkIa+x5CSJECuW/v5NS4GvOqK2xYmFwRM6Kgn0RILj0+hKpX5DD24JeOgQxCIJj8kIh9S4MYTFIZUVcEPL/fwEFI4e1t9vf2AAAAABJRU5ErkJggg==", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "----------------------------------------------------------------------------------------------------\n", - "All top k images:\n", - "Ranking of Relevance:1 with a distance of: 0.45\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ranking of Relevance:2 with a distance of: 0.47\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ranking of Relevance:3 with a distance of: 0.47\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAMgAAADICAIAAAAiOjnJAAEAAElEQVR4AUz9Z5dnyZEn6IXWWmdEpNZVmVkCQKEATPf09oju6d0+yzd7zrzg1yLPzgcg9wzPkIfkdO9wp7sHaDEECqoEKrNSi8jIDK213ufnnpU7F4l/3bjX3dzczNzM3Nzcb+N//E//a0939+Hevuvo6GhkZGRnZ6enp8dvd3d3U1PT5ubm1uZGV3fXWbmam5s7OzsbGxtPTk729vaUbGhoODw89NvQ0DQ8POyhV/39/QA2t7W2tbdvbGy0t7efnJw2NJx1dHR4e3BwcHx8DIgWAXS5r/C12NbWdnp66qG3yivZ0tJyeLSvVn//YHNTs5a8Ul4Z9+oq5rerq8uT1tZWQKDkVxm/tVh97h5immhtaT1ryFttAaIkCNo6Pj3R91dPn/9//t///p/+/u9HR0f7evuaGhuAaWxrAaSluU3J2uUmfwDV2lqwaHzfuj6C1N7e0dTYDD70vEIZGFaE0VCL7V0d7TBvaOrvC7mAwoezhobOjo6G09M2v/BrbKwdGRwcPDs93dneBqcRrZuaW9rbai0FNAcgsuAIcpV6jeDsHRwcHR+1t7UdHRwiTXtH5/b2di0/NDy8ubGhPPy3NjZbWlq3t7cAgQY4AHre3NJy1tjQ0d450D+gYl9fH27WhpQZHBzY3Mqf+Ku8dt0jjj62bG9sdLW1+xsttOeRX6C3yqXbrSp1dXV2damJRgh6eHTU2dEJCk7rmMbAOjk+aWvrwPzTs9Pjk5P1zY3Dg4O2zk73iiFHGxq2dxBTlDo9O1N9bXUVQ9va2gcGBxHrDNnCg1DcW6RX2I32PdGU7h0fHTc0N+wf7Fch0LSKyuikYlBDC68qg/Xifafqw9pt97oJT78uD+vleTp4cLiytPzo0XdffvklkSRKzWSwrb2RjDRnpKCPQeLeTWOz3hhjp3CA/+HhMYDarZQ8Pj4i5CenIaxifjXkF1ZuVGk8bdjd3G5qbgV2d3f3KKTFzhY3ypAJhSN5ZeToHZrkT2wqTwCBBjhGQpVU+PvTPZq7R3xcODo57unu0QkQ9nZ3zk7x6lhDWtF5w2J/P+Mc2gbA3t4uYqruHkkJOoBHhwdr62u6dngIbJBHDX8iPrT9Wcl4cBD6A9vW3tailWPtHJ/Aw+u3b99SVOvr60hxenqGcGoS7Y31de3p5+HRcWdX9/rGRmPDmZraJsh63nDWAOnWCGJbEwVzeKjHB4cHpedNWxvbGNnY0Nje1rqxvtFlvFJRaZvGaiKdABweHTY1GnkQi7LRnIts1VHe2tqmt90IlGHUrIznaKFM5bFfzICJ3nruqh0GykPUqZ1XXk/9KuxKrRAg19HR4fr6xvLyysLc/C9+/ncLC3OjY2MogC6Risaop4azkKVCBva0VAQEcCJawAJ/iiweKnDWcIIFniCjstDQmlee+BMcfzYen2zvtJwcHZMXvTttyCugFIabsRv5bmlBZwKkLjr4E0BdA8GvJ567glJpy1v3qgC4u71DHhE5AE9OIEpoQvoDcra32bwZQTs+poo0q4mWliphe0rSCPqCCIRLmbY2PN2nc+gI6FPWGsWL0nsCsN/c04I7Gm1hXFCkdOQQ/YgFJagHxh/dv7O3o9DS4jy0ELSVjm1uOjyhVFtOyqiCqI6BrlUap7G1qfEoZmJ/b4/NQLmtw4Pdnd0oo5aj9d1lhOjp7SFk3R0deN/e3kaidVgrRivp0Q3PCYcb6ILsLeCeuNx760/tAukJm6vznqMjIJVVlSveKlP04Gk1fEanitu7W3l+cprhtruzvr52AGNidXB4fHRydnr2enb24aPvIINIyjNrDLFeRkYJKAv6veKJ8jAgmzw59Zbt88qFPfCn14uWj/RAKQP7rAGqWtegrulLoV5Lc2PTmYF2ery7Ry11GWY7O1uAd3Z3nRydNp9G2VQzpJsqgoA46tYb955rQrGBgQGj0Q0bBxMy51U6WgTRaPSqPsR0XdO90qGYrN3dPYVBw27QqgXXRDtxP86grZARFuSowKMDN3oEuLfG0f5BxB0jMg6GhgaBptxa089myrZ3oH9xYZ68UOMdLZ0NJw3Ya5g3tzZDhBZFA/rGQ/9weHNri/rVtgqNDUenHp0c7x0dAhvTdnraHWPanYHV0gwVF+uOryjOQPo1CPRn7zgY6xKK+9VVWLrxCnwP0dQTf/b29uo85kU4trZQlHjh2/7ePilBm+PDQ5qF02AU7+3v0TdEZ29ve3OT8l0jCIDDB5ERl7y0tnZowjBrbG1cW13Z42j2dvHnUEuLsIrs6PMJYxetoDo0GoqO9OtVSFdcDY8VcMUr3D9Az9QspuH4pBMgr2ofsQRw3c/rYk+PDo+6uiIi4U28tzbiTg6JtP7qPpDgY7m6COJPv5hYqwSl70cjnAGHv7Hnlzyp7nJfC7vXgpZJm3tiya0CTY9JGASUBE2nwNEQenpItir+XrWctPgTfADrw+bjEGFtbY3N2t/Z2eaxnpyRhX3yHors7HR0dRrNPd29p2cNHfra3LS3zlqfoHVHW+fewV57V3rV29dPfruL2zs0OqouTdDe1d7V2R44+/vGDeyIgzHV2d2NgqymKujS19uLpuFbONdgVNc+4Jm6XkHdjT/REV0qQH1zMdb+LDTdOm042d2hGQ8pcy0iptoL87Pvh6ZuA+Iif8bPyfFZV3f3xLmpnp5e7IOYTlXqLC8vK0N34T5dGREmrcWHMMb293dD94aMDdC8wg/IK0KHknwoYYaHMPRLas9KDwynMKkx46TyW08VqPd6yqvhrvBqeFuVOPzswcEh1sOQR2dd0DV0UFgr/tQ6aMAq79crNxUxkJHXheuKKVwJq1gI3thoWFYgyiMwAfAnaKqU/rIh8Iye86dLZ71FGUSAIenXQc9rd7x9zyBPSscPW+Cwu7tvIHLvDdmt7ab9w0PtkV8zwR0qDj1OOtbWV9COgRscGDw8PjD8OI4gHjDUxzTTaVNr8+7+LoFvbG44OT1WsiB9WgUc3i2ch0ZmeI+tq91AiN7+vpWVFeYn2JOlE8OXv5IhpXpYW1xdlCUlKKuY37Rr4J6drS4vb21uktTaFsFSAK9PTjOACndBIDQ9Xd09hj/K9fX1Ew5i1NffX/ld1RICVzbQfOoaDKw+aI1xhM5gTuvUVoAtGig4Y5KH8ISSWi4P3Xvu3g2Ows5Dmrv5OGzzHOl1sHLFE2Ww0MPGlubOttaD3X3yQjYz5IxGbmsRUyX1GvJK1uZqRQhUUFX+wPcEudQiKMjlXskIWnEqKs6gecVQiAMoT2LMrhqMSTLUGAsL646OLuKuOvhBO3bfDNE0NvoPfEDA1G7VArVTzD0t0qLTEFCzt5hk8oaIvT298fuaW49Oj71qaW3p7TUfzsjgadBkrv6GftDXNtbggS5k1i/HHx1PYyszBdV/SGsbBkYsNQMJNEQd1tNFU4agRSvEtjZHdTGwahE7veVw7ezsggAavCGgUb96hWyoQERwMETfP2DMQOPgozRQkOGvmLn19vVylyL0ZVCiEWihVLELfkP9Mi3q6e3VNArEBS2DL25lpkDpeOlFXCG9qfeIkz5CLKIX2QPWQwDh7L7+wtw8BtouFbFBK351oj70pwuouAfmR8fHrAQyFyXB2W3u7e0hushu8EN4d28XDcmdklvb2x5qIh540c3uQUNA1IYAsJ5ApjQSc6ZRD124pgzrzF5DW2c5EJ1dnZBEBiRmP4v19+cZ3FSksfhoaJNhXHw4FZWHlTIJE5zueULcdppbB3Z3t9GRkEGu4RQhW8ztzUK7u7uWV5fa2tHoBJ90Brqw0QBc/SnGA5w/8VsB0HlahEh5D0vnm1RhxeGhon7qvkl8X0+vYtBAFE8Aie92cNTS2VodTM9397bbOygaHneIwkzrAEIAW+Qq40ErHvp1r4r7KjQUiPic5lT0q4AbZaoYVXJXWqBvfQ6NvG4xAQm4/JhOFhmt8AFHbpCJmgvAyjCVvHIpFgDfT74A9DDFjnlyVBR1xUHehSH8Xcq7KiUVa21qPg4MdGs9ZkbbBOQaSFkzjLCksQVltMtxI+103/bOFpL29fV4SG6EFk5MP6h2slJkWltoUqrEM0NtnfULAb/vCaLu/u4eDc16wIoAKeaG1VJMRa5ebgqqOsjjPzguurOp2XxTYV0wHDQkHqQAyHRJU4IcTXEIIuCtLVQnJ5e0mIaYh7Y0Jc4R0xabGllR06X/KCiwlvuGhq6OTm5Cc1cXLcFLae3OTIGoQVo3tMTZUtJDtRCBIIGA90RdsHJrgwNOvHa96Ohs96qh8QwdgyJzHU0Wz0BvcQvA9zJKoNHOk/fyoYxalXzaAkoVl24rE8jlqsxwCwIkkcZbvTPqCTTGAIKUDIAy7isE8hmvSgyiXF75y2/AZqKUOEvooN3iaYHpbWk3lgVuUK0YAuAGnpB8P1axQAG/wVas4fAQRxK0MOpOMoD5f/6JfJhunJ2YrITBp00N0axsvVmC8QPt0mvtagUo+PsFOZ0q9kvTUNUprdfeoTIeKa91b2t5BTCxzMKFARIBqdU1Cpo/NaGwimpRqDrict8CEdLa2Unu4tapRuuGODt7Y2OjGxubvb19nMqTY/g18nkKPYNr+GEiU3w6ZkgQQZTvYO9gf3dfJzmTcIqxK84mpF2q+NUqidnd2zG4jo8auvp6dR9AwS3EQiOTOBi7YFw7YDiQJerAUA4dy4UcEFbGrwe1IXDA1wuXfgLilZJ+KwmQQ8lKcQ9r+UpiuIVzxY6wwu7DhhIJBK3CARAw1b3yq0x95QYoDzPpo18pzjJrCx7lwhWokYcKR13l3XupEvFCE4h56Ik/9UtHSkMp40lHZ7emkVebXqnb2mIEkqImExeTf6H4jq7EijmL8MFgkHVNXQ5DpQ9QmCKI709vwWdJlEEWhPUE5FoLAt5qxRNY4T5MlfS24lxNbUW4dic8LuoK8AigyY4Rqw7FAC2sMwIFcVbXVuBnjsQXbjZsSpwXIHFVGs8r+uxMINyoFdzi4jVkhgJFeohmivwKhDaciaaOjoysr62BDAPldaanr1dhIx2aiR0k1NuJISGWaZewRbNBFgvb1tLW293L79ZV/YQAJCtL3Ltgoj8643JfaaT1+tZD5d1rF438qqsvSlZo7+9rdc7V6Pi5o+PfazqU1dPWOGcpX4P1ulSuSkSQ6w3I6R0+lzlgaVQT6kVleiu6mLBEuXBRYRRgOdmWyk4lCa6KbuqvLhv2WidcpyfbGtYcQdFigylPU6hRe+GhWsCC4KGbqjmo89qvgkAaVVfX+BUkTD/86apiDcL7YhAA5L14AUvw+K9CCdAGXGGKqtK5oq0hzcHEn5zid3KtSc0MDvYzAFtbO2C2t3Ho0sN0rwwpUJgoHnGnaERn1+rKsnWuYuMaRUF1iIkCRMO1jdaOxD/7hwYFq0wAoWJOoKLakQUmEFmR8oTXlZiZ4WeNhCYjlYaQpR6l1tfW99uz7Ihe1LKeQxu2QHmigF+XJ379WTlHvBTTYn1Sh6++VFICUpntxqWYwvqornBXf98AEd/e3aleHZPU3FT8IUHM6DBaPtNG9UrLUVT+qPfQKKMukb+C0jt8EkogoGZLZVqjPB16fCwoHV/A5QmUVIGqXzDhk96VMSOaLEDnL5r51BKnQXJ00HwaJ0/j2qxuA/yRSN+xDEBwiKxxW+8ry+GpmOeac1Olx588H6KGDgrUV96qWIlGFjkLhgHB2Nw82t7OzMNFgcAazooBoq4qkAcl8ptQfdGBus0Lw3lulXmjEasz6uuh0mnyyEzubO9QuKhhZ3e7q7OPKtncWgcMh7WqFu0HOoyBEqNHO14aoyxUhtymFQLKmGlqY3CT3/S86I8oG+WJTtGdvD7tJsRalo/QBQ56i4hI5oZAVJmAvFrw9Kce1rcQqAOrEktdZRTQX2BT8/sLKJcCKh7ui8GYQHRv72zSu2Bqvek0qzG1OBTQgRl0ozfuPVcRMypZaUhPKFjNgalieRsfBZuOj0Re9I/AGcLmARFRxVTxCwLcgPJQxWCFoFFXmVL5d3TwzsUUw6yFvT082Nd8lQBtqUgFUB7uQVDApSN+QUZGlhHF3GsLlTTtVy06AnHcuGgmBdRCbQ/BiZt3Ilxn3ThuCdimjZmcZwIdCKFVUYGAm6OhcsZrCNTEljGLGQcEaGV5UTSFWFho4byDrrLBenySCb/VxZ6ePjP9EIVFzHS8UVSJH9bfN0Tr0Fv8zK6mLnatd2gATjtr2xrSPYtK3T29+Kdj8GNsOzu7LWJ4K5piQUmjlu7BhrQVhw7G1wy8qENVYAIBN3qiFb/uoQFyfY4KlccarTJXn+iXAkG4yJ/yioGsWOmyqEF8KhglsFnWkXS5s6EzVBDWao7hzrSi8LoxgxO1irNyyis4aRH8imDEvlVkSokqiO9Ggqb2ykKZXsQgJApotJy0Hr9zhFWBDMRqf+GvxePGLCcccWutZzQ1H5xarTrh25PRIjr+OlFA4doXHXSDMuBoqOCUmDipqkSryljfK6EAIYte+QXEjY7vimOXIBaUaFzxTqINAmh7e4GDkq7KDmMMLTRR2408uSvIERGhLUsf+1SOyrGS6Mh/AmwvETzYK2lwWPg+tHh0Sp6MoQMz1UAgWawDWUamTFAPCDeNRYcAQxA7OrsILI1lGGoUfHJjBFhV29tPkFdnTDZEfMFP3DLxo0b+OiRRSgd0A+r+1Csi5caloucKwNBNlV03gPmtl1eq1z4rqUoF6AbmGOkyZjra2rVp2Ucw2mj0Vi0IgKlYWjLoCb0/Yw8jX3qE3HHYEwGM3x7J81dLoqDIHdtYcxbKujIPFUqF5lQeocotPwtXvucQ8P8HX/Q3Ht73CENGrf2DvZYO1lNKC+3eTHVTgtvb+30D/SRbWJsRQBmgdbNE4KLp4eMhuSGy4VcZV5CpzREpJPWnAvFYShnKH6kLHIi4CQRygmt0UA3ZvwfFgAMCAvgtT589npqcxEL1DN393YPuxEWyikdhrq6t0XDiFkcmfqenw8Nj2kY48RJerXk15m1vbsAedKFJEOmR0+PTzf1A6Ovp28uyBn+2lYmVDcSh2NzZ7u3po2DVUqZ4r6bW8SqYHk8IbnNHp2gK3coHJBN1lChfSaOM3iKHXw/dqOuCg19P6kMEqq8890RdVxU+lHJhpAL1PlLY0NpcHCgrjBRJV7c0rCY6qgAGQ0g6F7K+bwU0T/gGkeomYi0uENwIF/bDU8xJAbJVnKXE8Kq4C0v1m30fZTqmQJn2ZsBU9Goxvy6ttbc0izsY1RBWgCgbuqeHDdLDoOXSoshkmWXzuBM41C8lMw7gW2axBMxfqV7UNk6hj46AWSnADqKzivBR0nP9hIBwEd5sS48peRNENljF5IV0dXgrT6SYHd0BJIT9X/79/2P6wuQHN25fv369q7MXs7Y3twyFre0thbjpmEW2WL2KgaBXHe9smfmtmAo8WDAO087evlZPIEPOubexMqcNx4nYin5ynkikgBih3FxbF4PRQ4QUlBvo7yW4cgF0Gx3Qi4enh5pGEw+ND4WRjzH3j6KQJ0Sr6SGsyhiKd6K3lXb1t1K2PndfHQjYKlYf4rEbjHGTpi307u7AcGV5gWOT5lhrsssVShCpyQwYm+k68GtboX7RedFSce2j/1ymaxxEZapwewJV2tgTnNDWSUPCe5VDmiAoHOdULBM6xSpknPMWR6P0vo85aRFxdJV0GV6xggktx6RubMoGsPzaIHZKlA1XCrJ2lgNTJs1R9uDTSX5rRyoRUFijocPZGSNSCaVRT1ChqqLKBcVcqqNb6PP92AYAMjAlcy2bW/uPHj6feTHz+y+/vHbt6vTU1OjIOH+ZhhIUgHokv6WF3pOcxKEj6aja0CqmJWrSmfVaPZQG09XNq3ePAKipjiFrGGkVUWh7Y86Y3t7aomtK2++GDrKYUZpgyn/QlLCCzuhqXMLGps7TzpNuozbeg56wEHrPJyeRvAz91De/yKSHLuiprlFMwjlV8EYBuhBwD/2pilduvNVQpWDEJXKwv7K6vDA/J8YB28rCOogVBif30WO8gMhQbYt+cs9waqKyyp+cirRI33y/fBRCnZ4aJOkgDyMtJ+aMeoTew6ppqi5R1+vaYryCtijmCgH+Zmjq1lVyXbBeFEVdZuVabW2AS8LLlEfaoLYaGss4TP/9Wean8UbeIwwrz5k/SLEYLgT3MPRhUsl0Bl56hMj1rVfuAYGYUVGx1Vk3SN4iewwLzRO3d2eXV5apnOtXr924caO7q6ejrYM8qW/EAE8mwE2XWpr3DrZ4ubiVZFC6NlF7OkZ/wmzFCBwZBy1CndxFkbDW6GKx0NaYXbKGvSAMDY8YcHGqimaiGIh1cyOyJkFR0CMSUDwMmADu3j99BrOyXE9qGEKHFcA8oELNMpKCc5nU+FUeNI1C143ylTRKlh7sLS0ufffo4cryWifHjnljw0ucMz3iKaavZekJGvHmvxejeJ6n+w0HHCA3Ich/g4N1d7oEBKEfOGhLAd01pw4CdOV/k5yjmBa5p25C+XK5TwNFn3lAXOo4aeytxkOs8cg6H+lBG02YBnG2QrcyR4MdH80SpEvrpBtk4qskIpGc0JnTLOhfbIJ+qagk4mAToKpCVS2MM4Zh4s86pHG56JpQ2w3kUd5FGJqZlaajLBIovb6+vba2/uTZkyuXLt+797GIjgpA6CVwiZEV/akNeCNC8cQp6mN3sEcCuEpH2dpKnnu828ZmJtd/9VqA2Ajt6E74vyoz0Mw9u0q6rX5CAF31B4rqcu02tzcsaEMgkGBSNE307eE+cYQzGnuoP1DSOtq5VxJ6LvBdtcParATVSmhcLm85ddLs2NStjfWXz5+9fP7cPKOpPSJlONG14Kjoz3jvUQDUYcASEC3WttwokF4WDRHSYnJhj8a8TVZSsWVAoaRXlT1Y1tDSiDCuuLLiOPIrd5jFaNYKBObqKl/7SLAMJH+KnYKDXMwLRQEB3rQnFk4MeJLEgnPHiCgdrxfoC2DZvmCyvKctdQV94QxJ9NdVsQR3VfmZLWs36qN0CsEAIYWAwKF2RC0PUdjz6mwEH95tFizj3olOCjlmnklX7h2drK5tPX32/NLFSx988OHFCxdRDAlMGzo6O47K0jopsRBk5GqAKqaWmHYPxfHIBn54rpbykp9Q4WhvP3Hoolr5LpSZptFCRF775Eb5kKkoFcZG+ophklQpE09mtITddTJChgAtzeYk8besZO/t6TM51j0XOP6sXKndrhMF3PXKr1ZQKr0uegUOMiHNexfnFxbm56WFWDDAeRLESPHijHOk9Dh5jlCKCUiQ1MOwpAxldGPdyqBLR2orIFeWpHoZk3hA11YEvHIFW0miJqRJqY0JI/YeKoZVMPQLTkVVRV1T0p866F7rYGYi0ySELC+oOUkOJKAIIvhGHTZjh3ghf4tAqAUfTRAbcRyzDsUQz5NQpIgvXROylOxTN8iug6F8GaXKkCHPDR2Bcq+MKmiADFSVuSDE0iiKgKxbkUmYmK607e4fLK9vzr55fenihbt3Ppqanm5vMOkTfE3igCAKOlIUgShAd5QEHW+xjKpGjkrEtZVl3RQBk7ZFK2DR9uY6cu61RrvQemKiKJVodUlMAASxZAh3dPWwK+hrLlmZp1g6UzyVtk4hVtFaU9UEKbSrold6XpmKTJ57SLY8BMGvS6N+9R8oMoSjiM53XJib29hY98rOE1SmCBNKoYQbrdJQffzgYxnewGpF9dOs87rNvZ6m0Zgi74vr9L26gkAKl4vSqxj6y8N6QU8VwxIQV8XNEzd+jRbFKk08UV0ZDzHPnxWOX31J1INoZ+hyVUJbBfxCLOQtOyAQxFuaPvMUczKuS/Iyy0yTfkEI1ZGXnNEvxfQTO32CQ8UNAqCBDG3AYUj4/EksvPKnkpXUdnRk2knsoa2EgWj4Ihd6G+FHB61zJ6crK2svXr7keN26/eHExDmlSrZ0xjJwtZPu8RbVDZFQhAk3UCwe9fQeHSYB3DyxtSvBJyvPhP+9gkWFgz1rKVITRV+TcWa4FR1sIcXYb6y5y1rRbWTyq1H+Pr6SP4ZTT1AW1TzXYX8qpqqSAVBGpAKVhXoe8kVjnRJ4KlZmGEUFVcoVkGKKW3ZOYzUMAKa8/EutSH/c9jDYy/I+Awk5s8kiO160FgyRSNN+K4NTF5Lxg/PWVYcBsrihLDUKhtZF/vBXQxrwSnUPwQTqHcNKYp1XMClovIsgpJvETiacjknizT6r7aL/aP34J0C5MKW7s8tbbpyC+8IqJe5t/QU00kMjk3Law11yK0rrXgGrpFaqJBFqJI7RjAWPrlIGhn6ROp2VzbOz27R/EAEnKRHMKPkzFAdF/sHB4VE8ZTPZL7+amXl989bNG9dvdXZ0RxKjPAA9NAI4Cka/fDnmULeFBEA3JkgSpCSjUcWZ/XYYbXuI4p5BJC4SehgEgRwiIsrQdtpGPaCFYgZHOF9cbzwAsJLYL7B+PawXtBXTpfcMqF2tgqUkVKuoFZwjcNpaXV1ZXV3jl0gOa+rqXlqYD+ZUXavFWoM80UUePAWHJkfksGgmuKXndjDlhlRZuEg5tA5Ty+VPRfz6K+/8WWaOqngSupfFZsh7k1l60RY8IonuhOzwNI6LvjN07HgsSNFAakHPvZtCnFgJwKtwoKFGPanhZUYKNqgKSc/JgZJGPKboYBkkxRktgcNEcaKM9R1A4xKe71al4Abh2hCszByZWt0zKePDHZ9R5HRC0NA1FHiHapKf0IbWsIujwxCRfRrqREmy08k0MACYMWFSPZyfX1x++uTZ3bv3bt66rQ1bi5TeP04PT8VNaSmaIKorpHVvvYZEH6wf1PXB9BH0I/alyZR+62DrpNW67wE2ErIqGdGdJ1kQVDDM85/Cnvo2PC2WHq+oC0jSyClWrvrWn65CqYxCfUaa2nN/ugAkVG/fzLsfGRkdGR6BOa+OU1xWixkmKLxbZasWTnU2BuWkp5AZECCiCc1qtLZbx3RKFufJQ2i414qOoz/+qUgJqaVuUDlhqrpimrKZQkDruKhE9MHQWFhSpUotHyIXrGrXwPenC2VcHmKqMqqoyCaY3DDQLInq+EFjKhBBiU99yjetmMTty0CwZEX6sl9o/zCjug6gNEB7lN2RlnJtwCJYWsEXooHK+Ldf9vBgNzVAHSoQmWUNSSiPIkmAsRGQSLQdmTSmfjaRFFHj7LFcL1/NLC2vPH3+7OOPP5kcn+Cp6Ak4po5Aq2JhBGjVgdJhdXXAeNKbw730+azrTMq5MAcfkLwjDCKSvyzUWc5s5pDZvJsNqASiEtHYwFjSDubu3r7+GJcSHzgu9v8oA5rC5ExXkkBRQjKQIa+hUdEQ5saQQVxOlYvCuHDhgt3bqtPWNiYBTupgqBhoFBWCC74T4lzAxeEq4h7lFBH3KMQpv4p4Alotq+9uvFU0r3jikkTsKCmKTZdxFDIufKoQVKkwta5V/jZkvHKBkIflCm7fz0nde6VRlx55DqBfz0FTPdhEpA5JjI7ECQlb44yqAj3BcLYvTRRrWAZKgGTHA7RTlhUrpBBaiweUSUNk6/sFNA1hlh5lN0ic+iw0hR9iTH1tvXu7FonCZS3EykZS0wF9QkM2Ee/xW0hAbtnjJ8/ezs3f5njdut3f21e7l8kzxjfsQKjGscic9rRql50ZIzQ1DCeWTisE0agqUnXMh2fg9IoWgjjE/EkmxHsUQCL+j9QuHoC29I2FpmWtP0pfDyhCZ3qV0EC2tegq/0W79pmhrLVuvhSAXsmD89ze+cnJSZINVcAINL8Q8mFCnZGYW5SRbb6MsO95T0+iiQuGfjEGepXxKrrxp1duArYInD+BJfTIXZ8o6Ym6+uLer1puPKkN+VP11hbhomgpEDypNxWasVobqnAqQL/ge+WhYoHPVS8TWDdokmJIXJ6414SSRqvsZxUVcAXtUoZ+xfogqiizUKJfSEQNkc6Kua5DWEOQwRWk2S99SUswUMjOP1wkYZYFdOHduMysAdUi6aVf6G58NG1mj6hap998e39+ce7D2x+OjY6x3IDpPcUIP5ItNoiUGhCf0RCMYUrg6A8HQgwNDRkKnDgxNx1oKXa6xtAxTH8IgSFItcAbOQ57k15h5yD3SGcL3Yll82HZHYQsHmqX5FmaVMXUNOw6Pd1IzkX2QmlaGWugTJ7lfdYWNfUdKL8IZMk85Iis0+oH1kNPWsJvlDW0YvZIUnTnOzZUgnoI7H/L5gpNRRKsjFZc4GqxskF5l7d+dUoZQNRK29if6R0LfhazcaTZLMwqo3AZuYDllRYz6kuI333wLGTRHTeggRkqFccxYAsLwEFChFVFGSUpTm89V0CV4NpoUSjhnlCzaFPPmDkzs8zSrGuXoaIK+MCopK6HsEccoyDV/FkxAD3mtmhLVoi3cZTU0MSyFYCB/1YNVKDoRnrydm7RHE3E6/q1G2TFONUEUIwdoXRDT1gHxEsqQbxIi+bGNbmURqg9Z8PcM8rGJnJ7SMx1hiGrfTBzpKjpvJjYw3hs+BEKlDxdlPIEEZglqB6XyDVUCRatBkkPSZUq4mcSc3VTp+wagowb9EVlo5Dyh7Py4JaBBFz+ZX8Foqe5dE73Ne2qvPGnKvWqtPIKTMB1v5A+XFfYPT9cRxBIJc0qoKJXCrivvBAwazhORzwnQzrs3lswKxyDFZFAF+dDN2W8VUbrCrj03S9onrx/WKBFvhWuyFeUKoWRWknIVDQCP1OJ6MVobF0+azCqVT4pIlHBIl5BPFJkMJQxGDkplrVESrQEM685aI0NnQJdHkCOMFa6e6sM2tbuKSspx2hvO4x/8PjZ8+3t3Vu3bg0NDFrb5bGra+nEtjoxY6nwEuMIFltV5nwR5QSCNS0uzEJvH7KvpMeYPvaGttvZNXXQTyWBwgwXC+7Pvs72CEKxOFkwsSzYEd+CJjvYzWyxtT0rXwSlWj2a2AAl9KQKfH+mMMX3faaye00YnS5tekvtg1MMQiSLSxCGSNxgu4uVgZgqlRPuXalVLB36uIcesPV5Hb3utRgwUfZHYtyBXGLZ4LihSpUp1RMOh4ImdMTDdL7cRCayQy4xiFCgiTzJHddiJgRpriRFVTgKe6giBBROH8tV0fOrXYMNQdzUV3CGQEZ71f0ijvt7GX6cIgPJgBFaoc4L6dJcMqDIFgwjlDwchY2ErAcTIG0D7TfdLhdfyjIq7XfWngEBJ5i5KqJulAeu4Bbc1Z49mdva2b1x49r01HR2j+3tN7RkTTo54HLTbP4p+c06UBuCB/x0zgI2faM/NBU3BxIy4hG2XZJRYZWS8v4OabWGs+29WNLKVOKCKLDLNo8moZdMEPb2Dy1xQQ9AvzJulXERLL+a1m3aC+3c1I5ENNmjolEqZbVrVsHxQsTjBq7SWfMBNRM6AFvx9/v+8rxSpv56DudKSU90GSaekFYW35O4xNmfEqr6s15Uu2L1ibrQUwAoT7Df23rvFVIhfzH0RCo9Bbs2SioYIhmw+ufUD7KLTR4qAA1c18EqZ6iBCKCpCIK2tOIJHCoo7YbyZSlTDEMZE0ypPriSbavhfiTHc1VcQIGgVmTUpk7cpZOB8CijqbymBUmdyhE7/k3x4gFSHyyXG/uTQSgyS5RjO4ip3feLy8u3rt80/jLk7NxCA1MTbhL3q9BOdc1RHn7BMSvJmCiQGSM3OgkyxKBEetwoWSlLJhRWwKUk6vtTCpDJfCGEHVBnq6urJXDQ4VX1qCrhwEFWmkxF8P2WLudAClcJmWUeGnIXa057QZUOIKehzuk7l6h2HwKVILUXHiqiul/AXeC4vFXSWxd6gOhv/rKyRA8EzPCrVpGYuFmVPfVGLW/BSYdVL9qLhjaLzubCEsVgDb3QcbgzpxII0D1G/NhqT2jFcy9LMiERDOGGMqgBeCVCkCtDTmHNabqi5K1LMB9wo6Lch+zxvMukWEl8AdANyGqBALIGPEq+aYEb3r8THFPTpjNLNzAT3kx2qBCzEYZu6nPnSw8rLHVRT1Wd4ec/f/6S+/LhBx9MT06xvVY7mRIVAecdi5GCqSwI73uow/6EnCbcwM8rN/XeW+W9lSHW19tqQbJQMG6KN2XuEs9XGfQiry7TPb/+BKcSiwjqcNUKnngFbbXcYCRXTJrQwb4jEuzV3s1g0kEdim8MJUKDMvDKGK0w4ePekwqqvnr/0J+Qh1KIVtRS6U0snT9VTK1i4RFfMeWh4W3tst9aEdpUS62lR7WkxPkM2TI+KWwZdgDGajRnKirQKgZPCRgegCB9GfwZhBUfbWkUzIqze1dV5x4ayfV5xYH3kuhncc9NaMqegIAFKuOmjByN67h7N1rRwWxNUcqc1h99fd16TQ9hAFRqZXzhU5/xZJK9koNZyAcQ6VchHIjwoArIcRAqhF5eWvnqy68dhTU5ec7BCVopHT/tNIk7SLKvP7WiUThD0Z8uN6DVTlYs3xNCSZcpSIZgouGOh3B8Q2y82BmWuCpT0QUD/AkgIGppSG/dVwkA00Ookg9Vcl8254inlCbkRUkWStiGaESsrLcUAaoKDCiXiujjqo1qyw34ft/3SBPuPQxfyr0Wcc6vS0kNw5P6gJ2hUuuCCZom3CjmHlauSiXPAYxvhqNliz2C6LLupd3SL/1Vy59qBUVjvikG0Z8MZs3iqm0VRkcdViFL4aKx6q8WFcBmGpv7kel9sadRukUpVCIX1JKQWBvFvrz1/4oTWEp47ULPkjlPhViDjcvM3VWtSGQW9v0PlTVcsfeKGBZ3DR5y/rPmQF3u7t53uMP1K9fM7Y16oYSTne2Oss3cvEwl5NYr+IGDOmr5M50r6tRbyLjX0Lv75MdloMqZoIASyy66B5nK86iBWt4vHtBPpTsZ/Ubkez4BWGF6EgaDGPoX+SkhZg9FMniH/qsV/0kfC4/f14UqrEAGBP4a8qd7/FNeMeU9rze1JP556/LcWyoQkp7RLuCAUKsAohYgaOKh8u4VQChw3Bs5WA6ae3E1hinox+lhwlPrfRNFDwWHuPmOhjs+cIN3tUAFXolQWwewogqxd5jHDskxMHxNtGyKjYvibXGL3s1X1CVPCPKuXzwNat/TKnoAsXPue3qSvFx8EfIEY7MkVTL+IASx5lPNx/2sQxk5XKprTxkAhc5jhhu7X8+8Xltdu3LlyuS5c5Amu5pXoMarKglKuxmReljHUMUPTFUyaIpsKQAb/IJeDW6hb32lmBvl/aoLuH7Gop3ZY9ntrRa98idoFVX39fKE3wa48vZ0WHSmAaTf4zqclFHeb6U+4O4V1gt8dfnTL+Ao46FiyqBhxec9SsrUWpDxsPJVE6ViZgOMduHWu4YqfIXTRnH70KriUJ+ooiEXZoNcbqkUjzO6VNeE8kgSH/H4tK0T8cu6XqsT7YJtVS1GOGxBqF3QHXXrvV+JLxSIIYakIqylFclbMtZizdk2ZaA90D9UW4SwwRxyuauUqhRhBfAeJf2JfwIKyIWQaTsOZqgMb78I5Rd+fl16i7fK4BuAyKRxzlkRndP79x/sbO9cvHiB60OKK4EqHJABUYzKxePEhYEtrGIjdBg0l2J+TUmQiRS5r12CP8HXNyt9tduaEEjwRN1C2RhKkGEIshtVyJB7cATYgKobNSV45USn4knxSw7MpMoykSCGQaQWOAC6YF6R14QL/oC48VsvrdRGoaSh94XdgOOJK7WYcXqyeFpe4Q1mGwnvy1eYSrrABDx0LqOollGeTtKK5wgCgjKVVoq5CBP9VFIIiTt6xscHBgJxXcpxw/GcHFm4F7OuxYJd/EjlSG99qInIWekmpWjPmz+hbnyR7TokPFC9Wob4WJiK0OqrjGgFRY4O0thQaJtMm1ReFfx1mjWPTGfQyqB174JH/eWeg4DMtXtRaYmfiwcK4p09efp0aWWZ3rKQkgljUTDAurRIwGlZK03qUhyoFomk4aODQi+oe8idgmGFX91wD0Hg4WmpwsQDFHKPNPBR2KVYFJLTTor8mTbCm2M/PjZmEriwuKjw/Pz86tqmiWOOJWxk0fQlDCuETk9BKyyOYariVfteSaeARv2WPkUBuFc+NCkaRWE3HoIJH7UMksidR1E/acdDlyp+QfALgl9FtOgmtCqOV0qXDDYF3IQIZfAoWUVQYa24kA7wSFhjxnBFTxP8Le6Kzca0CSETz+KJ1bfvO2LBHW4eYoem0TAVCx38ivZA3WYFjWqoYuLeq5yMo1rKFsb49SecgDbsyZbxZOcf4XQIIxkwHsDKiiYtFZMaWoOlfMXZPVwAKQ2wCEn/kDbldKPFhQXnNMKgHvaF32TBb+JSaBcvIdxoE3+nmc0fiwOuP8p444Z/4Pc9KeHp0pA4fu25ogVG8FESxReSFjqPuC4K2NRPfQoNrfXYqWAQNbqUJ3OUlh41NNGqVnt0rcGCymljst1p6fS2jByIVtxqN+GgIRDcBMnvvXgPK2UKKSI4ytdfxdzLPDMxJCju4QZ4ZQ9UCYd7T7zVtTRcrLBWPAdQGb/eukLDgoNX4CupmF+E0pBiLk/8euuhezeujN5iMfHcKDB9NxUAsD5MMe4V/ja9k5v3FQFXvQhr8joFbCvwiiR8ou4Aeo9EFcnKyNLJkM5QAd1aIldSFsxuhwDjbkxPGWF6SAyUq30rfcGOiLrWwT8zj0ymMk42iFKOjY6mu2XkGbwGtTiSfLNKx3C76ANWD+oQA9lvveFEVlcaVCZDAcj7BU2B3FiZKTae20RQlpaW5uih1dUILa4fZQ3LcToIpEf7+ztC8+7RV5c9oSgQkqD39PepAHtv42dkbDfzPqBWmYNHxWdIHzWdhwiNWMX+qgVtvy7P629Ftbie+qhAdJu3qV528CIgNHTNE/feEq/ALQKqum7We7/B9nst4JUmKhHUqjecp/fEef8KWBU914qH2I2M5rhOxjCQi10rLnKRWq2bguNvRUZzdcpVZbrCBA3jMKUSEBqApwl1XLUxT7VXf0tvQy5PDKZSGoMdyyE41Ga+JM/Z4i6/sCjYJG859gtXmAJVPM7B1Sgdfe9Ztk07hP7KpSt8KVsXwadbcDT8i5tia1e2AFV8pL6rdHCaRWj4VKcBMgIAtUDV9lVtQF5XFQPT/dzcnD9fvHjhQFGEEy9g9ctxo9SncenYjM6z7eCITLXj4KCNtugzdQGv+5nA9Dy1Qr42fnCGxOG+ukIT1i11P6OuEA1HIUDVG/Iwdf/+AsdbtUA7O8kwQFkheOKlUWWpG8gor3WkrhVL0+EIfEAAU0VwsLCWcV/77k/FFFDdLxKB4KFLmVorjX5vzSsQhYHyHH/FVKGmbpUPYCsObhQA0PMK372KQNVOKWDQwvB9FTRXJYmI/vMeClRUcNX6MPBKn8FlL7z1Jw5297R3dbd1dXeAwnVxGJilmeRMJMJaxDFaX1g0Qxkv+fJOYfzgww/4NAQt2iv58hZbUaSs1KNInjNCzXJj1aI2wNLvrNdoV7d4RSX5FzM8gRIk3fgtJGtaWVmaeT3z+NHTtbVV2JIU5h+HgRXXY96dqEbVW+jAM4So1Tn7iOBPPQGtAg8mxeKQMKAUaG1vdXyh7nMDuPykj8dm9o56+7vbRg701CKjcCJH8CrTgCw7EiavOIj6BUKVGD1C5jpBgYmKcHgvTJhXcagMqwi719PKr4qeRj1xAQuIKi5/KonZnqBSJVGFEyIWHGphfccn96CIh5d0mixTWsfKWI+MBlot46ZipQnQKhz35ZABzuiJvXpK1ivsUcIfqsGgou63vkZlN+8lVEnUjLFqySoBv54i1QHemMVgSdYsiwKKFWxsin5nBbDzyiV7Mi4Y/lVElIGTX0XfN6qH8KN5WDSMyaQjq0Zt5v0Kq2p4QIaN4xqCoy30hSGT9+rVzLNnT2ffvBGVts/GoanHVjTKfFZN3Txui+bXx1pFo+YQfrEEtuIXcPEnWlfZkgQBn5XlFZ3yPGJ0kOMwDTPP5evZR6XnOnB8PJTZRY58yQwa46Oiw6+kQul75f6Jye5O1IbnmnDjCmJlYOtFRQaGnrj3q6fKwNClAAw9hL8euQ9FyrCpbysckCtPFXADjhsFwNF9o9qcrtbKuC3TmuBRhKbKjXr+zGpWQa/KJSDv0TMGDDZ1jTfPXXAzvqkIE0x1s96hDRX8AS3l9Fln3FfiagncWqboLdH6UKAlcAilPzK5NQ0fHOzzJR4rOUuLK3450Rmd0MtBI438qmuXLjFFQMEDRd5fWtdofV5RZ2aQwe52D4kGpWYZjzZDJINdm7SFJubevtneSjjD8g3DN/Pq9faOpJecSZmdhtxYO7XyqYtwQqNoAb7u6JTLQ2Kh47wxaslvQJW1IBQw9mFO5TozPF1taeGoqa7zbGGhZAQIxZx4iDLW1ysbbHiEHqnY29kWPTJezaYB0JfI2btRSpoTFgYBe+CAGu69DZeKQCAy9hT7Fj/SW2UUdg9zmNQnwHpegbsByis3tZgWPalvQ2TRFjOwk4R1AiTSG0NhALLLgPvbpYq3rtocaOp6Dj2gkBFwryDgoRsllfEWbRxmq4xBlw7rSQVXFYBySFzBeaWAP0EpbMhxNiW1/52P+T3EtEvHjI+P9PX1Lswvc3SUB1oBi4PT56cHBgaTcFHwrpLulT6ArMWKQ4XmoT8dodrRmgiNUyEgVl/t7h/a/2Dq4MtRi4sLVURIr9bxSaqDCTBoFWfkUIv7qcduNIQiHrpRgPy5cQHirT5qVNOJWqUv74YTgfMWTOXVNiXQBfe6QLg1CqCeZudCMRmd/YP8NQ8drxUlxyDQXkUs/LdsJAmfwFQeGhqt7WqxUDh9h7C3GKc7JKxC9lxF9y5oK6M6OCpCQ0Pu3XiumIfKK1YJro9eKS/Bqqz22nsbHaZKhWMUBYKaRfrViuienDg/uzZHntygEiC1dXWBrffaqn3Riuekrk400gZUkE857yo2Snuit/50owIqa8197ZIbr0BX0lv3LuNscuocK2lLjwa8YjImxsdxhe71J/iw16L7Cqfe+9Nzv4B4DqzLvWin37V8zOdwYWlZur3jtVCeaVdAejuUsJn7RQFXlCoyKAWgWJwb/RoaGhawAb9elVLKAwIBWsdvOGoD3P5BXzl/kGR4yPyprgmKmXZwXyETL6CIl+aIJJzhiR38VpJzYWraX4uLi2RgbGwM3MX5OV2MnH0vE6V4REQrqoNWcQDQg4DNg5hOv/UtNmm90g0m9abWrXAU0CNlSvXIlhsF8FEBNGIK7bCrva7yB34Fq7VKHFWMAeiheQlWBYfy5/8RzVHFE79eVUzcaMgFuFHyLkHU3FPaT2FNBpyirnSr2Gb1oaWOrrLX5MYtuC7P61sl/aUWtPr6u6emJhcWFt3bcNHb1WOWKDpU4AVLHaiEUMBDT1wFfroGiF/UAX95ZZUPN/v2LUpbS9jcTIyOdFq3hQsayrjyJyEwwqRtEXJ/vge+tRmVIxi1ubFllGI5kfJEE5UB9U+/ahmRBo9Yvv/x2wCxyul3c3NdHx3A608ocVZYRrLIdLqQNpmE5I6zXObw3EOl2URiatTacKysUJsW1fVbtqrEY8NvT/S9dlnX3GjxPX3coE8VJk1XKr2nTyWd54rVkp6o7nIDKb86S7Q0BMjRQfZGWwMtx8WlpBYrWB4SAQNfHm18pOLGiJMTNmUKyHc/AVeeQMNlyHkBiBstQMN91grB8kcZ60xGWdAu5rxWRgW+MK2gclHh1aZE8UCaeBkESrqFeoEDOf9t6B/oM53W8IXzUxLq9VcfjWivoCF0hXvMt3ZBBgE2Lo8qCRDFbACb5xaXmD/OYnKdi0us6TpQIBBNkwzSzNpoi3DUaUFi5/IEywk+cE72ks0UG5u2pMIholMOICGLWoEhaFUW3UPTXjlel7aYVgizuZirjJ6GN0XcufY6IjxB1LDBPID+1GJXTzeeOg6JJqt8ILKvZl7WtDBwCJN9HBbgVK/6FQt4dWYPSI0OMOeseBtkvrdWblx6QZGQ/8rI+rBIPLLFJupXreXGnwp4XoqhtXGLQseeSta1v6+SvYJFc7MxIbk4x2X+oTrlZmUAVspAq/4GvzIs/cJHFzxxAzKAyqCPJ9FmDAURhAreGzBe4LoU0EgheUrRmGqkP9iXXU9q0oae+8U2t+XfOy0KeMYFymXRqtUCzrmJCXuCavMsAqAWE6IU8o2PPH/fQ8h5qycY5vnqxiadxyXXR+cOeFInZaTBDYYxMSKufG92kGFkLjFJV+3UqKdt+bIJBnpiiJncgQ5toqAvLCffTXnHW1bUlaliCiv9danoEDbyRyxgpeOVmnSkyxNo+GV4iAXMx8ZG+OiSb/d9MXBf9mamXdHyjacFTnAjrK3HnLY2qk5zyO4hrIh71pRWV8m0VryCYxEvOCeUBRr6eGJiEMMgd684NPBEH8Txq0zleoqVUQp+fVgL1JLemvS9f46PLqjqimL1wgqlRB1UcYEGhwqtFlClQnDjbQp/H79VoGV5ZUPHTBj29w4FPhGBQNDqWwdxpxAFUDEaA4AT6U9tV71F7MAtvngaALeOftC1ZP8YRJFv8twUHms42DjlO9u3Y6r0XzETrwwAWwfiBgXI2rodQNtSnF35QmR71+WxCcwgr3rlJsdoFUcBEIfFURISkg0MJ1YSHbSGzMuXL21xtjggBGB/mDT8iYmJDMqinMqZvFkC8gQ7Z2dnBQXm5xdImfkR80bCal8AdMOXAtMFMVXoGzJBkvwSLAX4vL4nygUzI9Qv6tRAshKmp1YoSlA9dEdnCKCqunpuyEl0I9tmL/Y2+gabg/XhY1A5Wwqd41fkdI9cjjYyKpBcTzM8TuIVoB+sogiK+/GOyIXtXhVOvZNarzypBZARwvBxU8q+k8gqx8qA5nlShlic72Xac215nurf+9O1lchP8QJh8r5YY+u77S7KGLcZXElQL02KlxVhZQIiB7qU6CV1lXR9U7CoEJ2qLQGqMLrDGHRJi2sbWyhxbuLc+IgvaYa1Pd2OyMmOvypYlRzK0z28qNWV9Uz/sjuoc2xiwkO5SCDBe2lpEXwmHBdVv3vvLvYY8FeuXVtbWVlfW2WMfLkO3U08AWeGcGhxacmNr9sh0ODQsAKhVfy/+GSkRJeJ7/j4OByoxpcvX6Hd3NxbKo2lw2C8sf4DE89V8UQVOlLHaRT4gEYmuE8rC0s+nUDUIuIO9M4XoEyMnAjniL1OZFFRR8BRxq+HxKgQUL+igCFJ73qiJLA6KJPRmqbWs2FEKpWAMyI3t/APDsSkHUjWbJk8h9KW6FHyJDShuNGbwYqXJRjrRouV97UjsXGRyai36lfBEKmrk0RxWTAkSMliKOIIrKYVBhAdPKwwVfFnFUS/rnC+xqrE8+m4mNCiGxMbtgiCe4BJ+08o52SnfB/RM3zxmFsa8Y3PlNKe18s9KDoAYzxY39hhFV6/mVtdWYPShYvnP737YVdX3EndUEx5nHMvo2ZpeXlhUTByZWz83MWLA4R3d3vL+S+aA83cCicsXSuM66hJSiwmCmoYUmyH0CWGCJ/YwaX/8NGK7UZXr1xmQ797+HB9eem0rx8DtKtNkq6MNR9srpRymuGHH36wtrbx5MljZzqghs8aehu25VvU/coTQYTj1L8XcXUjE83NsNrZFj3HgMONzXVLPWylYiC4cEUvEKeKIxVoVOgaVFU0inHMPSqhIE7zooy+hFyTQIzRNcrv+zq5GejtbOyT09TT1zcI7KtXr6CUU4pyzvFO01YJgJapWeU0UrhQ2xXGmyyXM9gtBOgUrGACw7xiZcW3PdqPXdOv4IQWxcz5U3OK+dPDQsm4X+rWVx66FPAkiydkt8iT4oHCFlh+IfTIREOAQohimZiQ3WKD5ZS02tie0QkutW8mTgxlBRgAFi7sqF5bXbcJxbzbetj+Hj+jaXZmYaCnf3pyzK5xezzAg7puzM6+Ne/jp/f09jvdz5OZmZeLizz3uM9wIk/4WnrE3B3hE5SgrkqOI2xqJAdEvq+714d3DTAFHD4IuN4rKdfo0oWLEq2IiBUkdWzMf/3qFaOpm7SFMYAWVBT/hgRTxj/84Q+xyp/4Gp6UkYAHYPotopBvX8GBqBmkdJddPtSQodiw59tpfSjnXF7xNjREdFVw3SiqwoRMuoY41ogQziioYe60lIHq9IA2W9iME46U5QyfE4wbm1ON94VqsAP4kZFBouXJ0PAgk0UZ6AJ9gID6SNVJ+tza2BAFJC5khu6LOog2KLozbNch2jEj3J0LYpAkA8oVvkeM/IkObnTBQ2X8eqgvbryq5f16paL+RrCquSVSpJOqcqG4EJHvBNCW6OhyRpVP/hlNMF5ZWV1fc1q1U9p2tFSKi3tl9sA44hMZtetGP+3fozNYL1mtSXc5Pf3uyePl5cXxsdHevh6T/Y2NLaAI0NrGujHKDlIkBE4TPH4oioJqHUq0gqmZgIotZYYvSeLeiLyPj0+Ic/OBbfow6UNZYvH27axt9cTLF9uRA72A0mdfKmQm/AkgseDqz719S7kNj4xgvHHP9Tl37pxk1xr/VMVDZ+8imUtnGVYAlTHeZOOgqTJ8f0wz33QiK9vte44MDfaE2fUwhRKzIDFQ8gTRAamKoGiZNkcMGTY8IJM19KJ74uG0ODk6m4dNdGLyCHd3T6LkSTqNTuMw0I6Gu50Klj3oEVSChCjM3Js3tlgOlK8EGB4Wc1lSOkPt+nXZ3r6sFmizppBACVVhxQgRTX8WkxIn2L2+EyBv68BATE+8wikq2XNXFdZashZ2jNEgofYIueWq0+FHjVmN4YHyHJl5ZxOZvklVcNSHhZLpycmxkRHnIKyuZQpGQNE0J+6fNQw099Nz7KzJ/8jwGJgbm/FjnK6gbe3RwLKVN3f3lrNrPp8H2toSsTwz8lheiye4CHsXkaKc4heXT8cAosNI5ps8ynOqWForzeyBIWC9iE/UPzCALsXHyvzAwHVYiFFVmwZBjGJ9PZ9+zICO9c9sg7+y8OAB8lGKH3300Q9+8AMCpAo1CX9i7ZUPsJMJVbwCh+YjYd4qI38r6yFjI91dHVubW639A8RJrRIT8m2zLnLNfwaEQGsOPt4iRbKeT45sY+867XJUOd5xlhXwFhtJUltHK++aWPUNDmqdj0/dHp+OqoudJIt4WQaotjUtFiGQKsBJGBkdfPPmDdGcnLxsGJAtOKMkySMWkCnUQNtEMoscN9oqDDed0ndsRSXL9pQl987DqpxqL/wWvkf1uYdPFTUdVFdhlyctnd0dzsCyjkFq9VfqEa4QLHREBAgpBCeXcO1OQ0OPI8sbmkQQhkYG+ZYbGzt61dyWo5JoXHNzlB3oH8wEn3S223w8qG+oaGzJ8osGOToqh5RuEmYeMDxoCDoDWlW7IJyHqKxpeMOBblCGOTA0qEHTcobYK1iZmGVaWfwVLkmCmF3dpoQcT90mqWCSJCMcD9CLW0ag3SPW5voGhccg3r1z5/KVK4MDA3bqUYTnJqdQzUK7M54oMO75mzezJLX6/hrFD0zq7e5el4l6drYhBLW/z6knAXrBu9IQ+ASjraWXNSTLNDfKyMNnEKjYQ0dn7nKYDmA20N9tHYx+B7lSW1BVtALjpODYzBFz0mpJtLm9M5LB66B7mPgEXZO9+U5W9BcvcI0Dh55j445nGjJ/4rC9mX2Dho6Lshd8uGsIebE75Gq1iswm8lqPfC0X/mS/u2d4+vyUZyRyfm6O+jdOum2DcP7Pkc+h52hgvYMZmCisXQDRAandpBcUAWXI8IEOP6wqTcbWeoKjntBk/vQa++gzNGUTT9ZWzR7Zr3Pn4gULSzGmlBata7LMM+hoj6yMjY+Nj08psLW1KZl7anIik52yFay/34mMi7gFS61AlCThDWWAK+9ln5DRExUNcKBEQPWfDwQlhxCpUjFURg/FXeDJ/3UvdZQEA4VAfGE3RMpo00fk0O7ly5f++Z/88ytXr35458PZN2+/+urLne1NgTsBiOQudHdeu3p1J1mlOz0dnS9fvWQroY2ppEpzKA4UBgCLpvG3ip2FJ2WGnQKf8uf4DCwNb6XKDUpncbQE9pi5QnnaM16OAi79IjSW2kV7DB161yiyUzz8LylJ4JdhrPUMrRqaVgsBATd6XaBhKKky/drb45mcnpsa7enuy4zMty0UC845xQpQkydiZsZGNcAEp2kab6k0yZ2bG76KSBKOm/oGFDAaYNI3OMREKKsDNHpEs3hwbjyhO0AGsO04e3wjQHiiVa8jRiwL0xIzxPGi3o0VWMUJ5bZk+0aj6YCAe45dcIHY30sTd+vqxYuXCBZ3gpulw0JEbPyNGzf1Bx4YU6T2XQobUSNhhUaZnuIQrhMvhY2wOr0iYQhaCrKeIag2Kfzi3Y/GyWtMFH59hdrfAz/UXZM4qutxa1ZX19WCucf8CRL/ox/+8O7du6L5vrSos5cuXdL006dPabaYXeFmCvTwiN8mYeHSpQuUytdff+P54NAg6XZIDmshAGvceahpYqfLEC4umtMlnMx6wNihGfXA6Yllk+pjN2l2AvchC65XWeFfEjVA4FDpf3DkZBOZ5p38Ks4RK0FbIzHWgFX0/rugEVJoAg7qStRIB300fnjIw2IDHK/S2THurOoe8OWbkQaFoQ0Y3hEplJHarbAtKQ7VtlYngLe1uaYMefBpFYnoR86VFaRo4Mhb+iOsOzwjjIMP8gIIiOd0SkaOWY7upVxJvqPGvGJEfCUWWqigt/RRW7uJcY0jSErpZpeBU7IATbYWJ/TswDESFlXOzk+fJ6pmIsVwnaysLAOhVTXELQkNQlAJfBpsMOGHBGa44Ee89IQ2wk5VXHCrF00DVcKilrkbICYORRNAw4SFv3zsYxk6BlUL1gSX3uK4izISUxf4Hl6+dOnmrWsXLpw3FTCHMOFI2PH02P3161eHhwYhub65AV1q3ABdWVpmBWJcBvscS7G4uLK0sITikmGYSPQFFvLgA45okNQjUXcfb2cMKNeMAUfirO4TRx5339CIPHdEo4l7+/r1sdGpHuWbKCqSKuV5YE50sS1bIrjhHh5YhOFCimbx1soGJCXVxVo2CcG1QU+YJHo4PDoyNnGOJOGxgQX7LNiX2D3HAUDSK1AN+sbakpUHgHIKfwJAZz6u5T0tu7VpX/gB/aGDEMPrElbj15vb8laX4WN5hlaCgzLEGgL6hWiulqSuY1L5cpDmteE59UCESbo2auYwegFNjSIBbwAUpIS2Rt0jX2tji/QBqoKWlksu4ry3tT06MWGaVn09EqOke2QCzQ0rxmSgYzUlGKMD/iR8TJViYtxe0VjumTMkozbcVOqbCeqAP63kKHblyjVzbISEuxAmUIsLICeOr19EgSP3k598NjV5DjQUzLTLF622N5F518QkXzbhuu51tFsHODHpM88rYY6i5U+OGYUbN64ODA6o9fDhQ6tJ4GCADqIMikEG2pDUNEVJLxI4PWUAeIzUWJfzEEvKNdKihp7SCEYxWUqk3kJ5uaLWfA/GufObmzMzs7jqaLvLVy7rl+Gug+CjgNZhZihubvI9jqyRaprbhEEFbmammxvr5bAMDkgW2Xq+Px+PmhS5tbK+vrZiFgRzgiUGBCXiQtQJCqlCz8hKjqb23Zo9Ex3NeRLXrrPLdtEPPrg7eW7ywYNvy8H0OXjb8hasSJSPCYpqYHl6ZRqEuHxOpg/dea+EofAg3iKIDhAmdl7LXEUaA9SRSYKTFLU4sgIb6ya3+cA1NhAjVCNiWkIIck0WDVPP9YThQBpAyBZx9MpzQgCm7gnT89C9te5BaMCsPMZIbtPq8pLT5NePkwK6LDZYpn7T0xeit4rawxsZpCyy+SbrNj48fH560iCBVxkPZ1juPgeoNpzKEzQVY7kkWDs7CSFX5TgXpQjn8+fPq4ILJEMfL0xPydwXE4ISajq7G7a1a26gajSb7ukLUfMEhxA2/msWOnv8+pMoQ0ABmJMGh2PakY6+FCc1X6TrdNeMheI8Pvz6qz88+Pb+n/35vxodH7OcVZ1FBETSeH+iizvZM0Ls4jc3NrHOnV29mk6ucVNbT19nvBmRI+HGToedZn5AOn3BdvSsua9vlI0GzcHm0Ux46To44kV3dEab7u6IHW1Kg5Opb1tCZ9eAFX1M1CUGGMZ2uo+NTxBZvKSVACnQjigPoyXzCCMII8GqAsGCwIBDBkpZPcj3yiGomGCSskqyJm1myW1WAJt9io3WVcCoYq1EHJDPSs7k9Pnl5RXP+UPgB+0SdveEIa8TKFTGNn+6FPAKcHNJbhbRIWSPHz82m7t582ZxqhImUAXaAlEo5U/Kcm19Rbx2dWnZeU7e8nhVRHlCNmCj7iCHL1+046UZcKpwv8gwe2HqJ0N1ZmHhydOX1od0H54KaJ3NxX5/GlaEYGlp2Yre6Ojwp59+8pvf/LaiSlsrA7hfQ4UeoCcoY+oWd1FZX/zCgQpUDPuQNHtRyoKJKvxXEXVHxFr7IGVGu3FO+whZETRa48mTFyYTH330Yf/gsIA7t7Otw6S4sbelvX9oxHrqVTP6s0TaAlTYgv41VpqbveUb0A3sDo1VVuygE3xEo8cn4s8VhgsnZSWR64bnwkzKYCZ20lIYplhcH8trLCbpZfWy8p1e0M0E1X0GST4+chDHQqJff19vUapWrzrihmeWLmYd1gKHpsXYNVFe/qaEI3chvYnDkQwnU2E8yGCP4T8jUiW+6ruNhyhO1UKob2BQ5zk3LAVnGWkhp6RfZaqbRTNpqzr18KFy8RWumOfXW7FKF2nzykK0k9woUBhyQWlKIUEp8isLizSJPgf907MP79x5PfPK9mtmC5L0in4ZJGgBseHB3qPTg5WddYp5dWn18dMXr+c4T3U9eEiLsNU6UcbU6alJ7eo1IO2W+cZH796986tffcEQAw5tbxWOFtzJWqd+ETCcNmD0i3ttlPoCBf4ZcrCDAOl3qnkhZs5isAoouqVH6EMNUULPX8x8+/Dp/QePzEJo+bv3Pj5/6YaRBmCZ1Gf+yKwIa+Ja9FDW/vM/zhgHmKREr1BdZIeUlPw5+tiNsf1uwOTol5gn7OZFUxCFvVGrkTNOUWe+h0WUYKUFcQDiRsRC+TJsMlBKShYILn5CkZkjUVBWLh+TIVVKc1c4zmjkdYHM+3XQRJPpL3E2IOJBRubEHZwuGx3W1dE5dX6K0YUuA8elhQe6WFcR7N7e2JT0CWbWT1pbuLiWueBS0PDJyU2Y8YQ0h2cZvuVYBwigNT75E/Pw4Nq1a0+ePFGY50f9bm+sM2SoEJYcHWl0dXk5lVtbDGgmaXB0WDx++tLF9eVlT+HGjqxvZvmPmfZp5a2NVRu5LDUOjo33DwxevizC3an7MIEGgfALjbrfFYmYbJiQlaSF+RRjb8/VK5e+dXRAOYESJvpiRsYL5B5z+jg30NYpvwY57rR3tlsVZBUBsVwe8hqqjsI4yeA0+k8Er3azNiBIkNGdFbYcDsOxu/fxp6Pjk9QZ9xyGmKpT2G+1WtegWukJTpVUQIgYf5eRZaRUrGJHn5EMhFUe3d6JY6Ms3ONIfIlZeuhthQNHCk9zmvBEDALylIiGCIwnbrxVRQGF4eahG38mzIUfmQPlizQSOSybZPZoINJJHZ3WHKInLaiKkYDrggepQl/yTkqcmX7hYs729AoDSDsqYwNCS1HKUDob4BBoHjZwjG0ttCgNkWm54YmSq6KoQam6JpCM1+WX/SI6d0SbZmft0f/4o3s+pbRW1/JOfaTEGlnz+sY6FrLPjG1XNzUczWwxbnFujiV1PrfRxnNHWU7C2M0b829n93Y2BwaGO3sHhInaO+ckBw0ODqEL4fOr+67CqmynJqzj42PxAXIUp+jlmUmGU0TevJmr2HpCyypvpHJDqAQ0jIZrb+f3wJAS7ejKiirqE6zM4EzGu8+snxu1URbFkaAR+/pMp7rsFXBi3cFhvt5z/cZNLip6ir5U+gNbeUmyq6AgrwvmOE1oIOAGT1FeFcqAA8rT8hoCtSS2orOL8HlSBUIHYeuhcqARb7qktuUvnTJOvKVeMgSypPEu30GjWgREMc51oqDBoOSIEk2vg1pTk4W8nl5KPnuGIGQNRcm8LSvnILLnhoJQLMYDp6sERZPMnItA4MerV68vX77KWanhKG7v0Z4tPpoLEoIi/slS4Zhw+xgUUoUiNB/v2E2RtmWNsh35s6Xlm69+v7RwTtgJMlwZs1ph5eIAZEkbdXREUFG/5t7OmdMABWEqmvwpTO6tKsrsHxrjC1/a2xdV8OnbZi48o9bHEysWTVsa1TrJ1lBvd69v7vn0y8TEuwwtBGQuxeh/8Yu/N89A3KpfGVB1iaC6ICACrARfxkdHjU4rJzBEqHDUfMUp/oksC5422jusoRia02NYSffwj1m4euUq79iXZvqsZJdUY0R+zwJcQEYVkb3Sv6LtiWJw8LwW9pw8+RIEc8wx9r8qEwp4bkqY8R9UyqcT+BMN2d5Onjw25RAor6B0x0O9iA7O7D4BSN0EpEpYrSL3NPZXYh31KjYTZ8n/EkTIPipDxJTY2OLwhhblLCvujWhEW0vH2Ihgrkh/m3UlHr0mQXdSAg8OcVWHCh9FKAs7jw6zM5ZKwGnrUxiAUfAngga6bwQLipCqqi2gyJhaDJmamhoZHQWTrJuuOrtWZGBhfmF25rXTRUxiLMUQIFIoUA4B5MMEKK0tL/k8sCkPrxIQvSUZ/I03b2czYlv7Td4bWzr7BnrNAW3D55DI36KwCSsRoWm422R9clLMk+8/LId19vWrt28X8pl0HGttseWrf6D/o4/vLf8tCcgiT2WhG3QnkXqhXb3m0fPcCbcCnTZj2unb1k4PeEUCaBk7y6O2ywc+5McjtfWQpub2ixcuI53l7Z6+fjK3vbXOTSZePmylpxHEojA0BzIyor8nqmvXVcULGm78CWkSkAKyQ9khNquInd+jpkAzLeT4nzXHoyJ8Qa8kXhAdoYQIGyEzBr4/pZfBfN+i7imvaWBdRC8eOnVC1R8fJT6O7DDXFtIQZKsxegJpO4EOT/hhjRMT41I3b964ha8W0bxi4JQRQInR4ifs7lIPgEBIr9gmWpZi44fhPV0iqwYn9CdLXeVgWSk824cnlmiMdX6GT2hKx5PFwGyhBwyJy9vXs1blJCNYqcT47x48uHr1qpWX0bHRh999Z2YJd2YT2nKqqB/pgSoW+9hAZaKsYWBIEGmui44LgIh1I7BvbUwUrQAsxKpMIK5LcqhfOMCNnDx99kzKivgqaLpG9M1+aK63b+YEPsyh0BS7DS0xS4n1ECj+e2wTgcNafoULZdyTYFQqVfglvg4T7hIsFtyW26tXWaR81Re7Onu6aC9z2MSCTN7P4qjBFhC8VMuNJygPIDnTC8W0ArhiGtJolT/s99wfkaxi2vSuOs7sD8kDECgwvY0CtyiZNdDsUVASZB0DwQWyUm5UoS9IkUZrKy14Y00NSzgxC3Pz+l80a6b0uW9stH3ZoMGebDAvnzbFs1u3b12/dQulgOb3vHk9++rVSycy4N/83BuiQ4DIVtWQGoMW+SPzegVdQzY2dGe3q72dX6W8T6GYdpvBIeOrhbmVpaWJsdGRwYFHjx7RYRntsS/jzLGOUWbwoerUvXrjuudkUdOE0gyOltVWbUhd3Y4vX7Y1i/dFzfQN9PUNoJ3RKSF7e2uXuaGoVKQy9Qjy+IRAZqxYopucBQ/xxjFM8/NzunPj2nWHLplXCyvcvHGDEuXzkyGDwsc7ffqK8oMDOPCEPDSQlJRQPFmXKVsXoeA5gihZgpHOe8kug+4u2Q3djrJq7bBbPxv2tU6URcKUJFsi8ogGINqqDp4/9QiGeIxxxMITN8p47qGK9dcroAD0p7cCBdhU0SMjBzv7XH5/miV6i1sCj4yYWkwG7eCVTmlIp4xACqjaRJhUumnU25a/+B/+EinXV9com+fPnn799decHpfXxMjMjl/y+vXrocEhU+7JifGrly9evnKVVX/y8Lu0IeOqo8NiyNWrl7FN808fPf7tb379/PkzqVeIRYoLYxqFqrj5xEKvoh3LpfOQAEcGiGQsI5S2JaYoNb8/d+feXbjev3//gw8+sGNCrzCeQwMg9DCMaaa3TMEsjSGEWDAVYugjvcWuoaGYZsANJoIFJgUg7ZIWRKnM01iExkaSob9oBBkkUwXO8EQWIuue1Yaki3518QoAVFhJWgl7xifGpe28eTtn8iedyiiXm0PmYEsuAdd00QF66tvMNnOLF+lBSMEZp/v4+xROpmz58oqk/vLR611rgnuYbV5X8dE6MdLusVXarOKITZAJeqS1DnJAsUBbkPdQuyYW5MM9/DWHGoivU0BhregnU1NwSwwCtuALWiC1caIhEFyRRZRJ+lSWufQd0zXhlTIaNYpUVMyfLjRp+Xf/1/+ZBjJe8cmNWf0333yNG/hei2oPIFMw520MD41s7vj8qq3AvOOkgJaYTacBGMWJalY2jk9v37412N93/8GDubfzVC4dDOOr165SoSjOZHB7KQM91E/U12cIiGJTSNig3UpBazUZaGHB0dbJFtoddBwgii4hlg644ffp3quZGUuzZGFlObsLL1++fPHiRRl/FJv8Cp6Bg3HoSP0HhHInRK1tHVAWcmK7WTVOfhUCrdch7s96U2QriOlmusy/OTj69tv7n332I5aXX0LYkI4nyhsj2RSqriFF5aLeDQ+PoFhlcE93pynI9vaGitmDJVoJrM9o8f6zKVI+YG8m5s4/97qcJ9DamdArMtDEbvSa6herlAPhQvtq7tFBi4opoBhQmjaiCKVX+oKVuh8exYc7IlJVCPzplYqKqeihG78hfJkBKIAslmlcmvAnalRhNTyxPgDL6qcbJNJuCyoY6DYriz2KYRI7ORL6413x1BKiANSfmpGcvLS8yAB54kIpE2n6HzaKWQaZeflqZ3PDxFgmhryKzbV1QW3LTsJOL54/+4Cj+/HH//iLv5fgwLzSByhFJ+kqM2SISxlYW14pCjZGhGEwIYUM510+gpICY5ggbZP9VYv8WWEQwaJ1lvftOU7aqx56a9YzYdJ24SJ5IyJZZGWCe7ohGd0p+0iIP7Md3+TtWt1aQnHEAlMrkDHM0MifkKmKR/5OzQ+REDAyMiYZzpLOwFA/mEJrBqTEV3pXXM8FB4RVHVWNGYTCFwoPhptbO/zFka6erAltr/HredXaknCF+FxYGRhUoQNqeC+MQAzY9x9nxFRkh2fVLpjiCYVGjiHpFtH8UqiKES9yoEU98meVCWyCjDKUBcyrYHlb62KHUQRtHFQeWzWujFb5zmSZdCpMEmrFAtMJA6af72am+u4tNFr+4i/+DQyCbwl/UYCXLl2BCnyNG547VOLoQbrFyOkqHbZdJ76p/xmyvF+bFPRWY4bO7s7m8sqi71+YjsmO1UkriTsyQvdtSRiwcAFX/pw+wBLL9USswfYnkzX6rI4S+MEBb1ABbwjK4NCQm0cPH6H3yMgw5YHlcOPPYpD5xfC58b0X0f9Ixni5GR4ZdvyNr7BIAaHJkUlsA4ZiL2YA1KdWFNZlnpmoyljPGD1KJpSsUQP8UwZ9QDN+3BtU1sVsv/JwdRXaIxJHGQ86Hjn0SJmQtXz32+TaPSQVVh1wokC9oYmPJAqyCDOvrC6aQ5gkdeU7INZSu0hVyUfK9G9/e8/ebkk1mI0ypIQEYCeyVMajOUtKo5MtmGvFE5dGFYh2KPJRH2IxTNAfELrI53MJEDtTpUpJFTyXXYekMNcdA9tczDoSDFlwJdEEGjoIuFZcgBfxyee3PIenq+Uv/4f/nucrTKU9kKtKJCWMN84ZT6yG9kxzgCErZfvbsH2B4td4LB+CUwgDOkNdVShYYaT1lTVusucJNHAmykISq1ZEtgl3kQZmCKERCpGEsVO4qwq0FPAWJ9xQbBzz8sXNaAMUhL3ETrzXHMMadbW0dOtePor+93/3X7zVVXTRT37ozMzM9PS0WhL6UESMgyijGRIzsuRyM5+qC+XZF2SCAGTgiU/YCYjy3qIpZDyxwAI3D/FOZKu/r/+oixE5ka6JWIigbkEycSxdUEVhzQEOf3WhDceSuMYfkhbaL93GqhftKxcckhjBxLHUeK4WCLBy4znglKsy4IBfe0qmsU9xkJWhvfx6pVFlYO4VstQbFb1FeQBNk8WX1K1d5j8BFbAlsoo+ihHDKkysgYpAgQwITNyrSwLhWwVRK5WDLdOT50ilLR3qmA7FHh0n/saXJ7wkrNh7bhYlLzp63Evz81TKcT8GKUAiHWSL0Djj89Wr58+fPJERbyBxcq0hGucirKtrqwNDo7jIkRoZGeKfCXhqxczfJAyJrQMKVuuG5vVfn2Gshy43RGdlNWKkCrLqAxdKx3SP8sNvxuvZw8cff/LJR598fP8P36riiVoAooI//T767juyBeEKRwNiSxaMZXb3Ex0x7v2sjbChyos52cVliie6pkVtwcoN703CVrRaCRbIuz+YOocgksss9ciHNAZ0QQyMs0srA+VPl7pwQGSawq91gmzV0p1e2fpDerm+Tl7DNiaSeTDwtix7dHXSrBxwHfHEBUg1DkRB9zVhOxD40PPEW7gRa81hsNb9kkvdQhD3ZA5t/elXXX9SK8SrElzrZFF5De1mL7/PKOcItlSR2FNUFCFTDAtqc8mIyKQyXVMMbQHPtbQ0b4GszE3y4XJomQ8lr4aWTEgjh5XJ+WITSZjJ8rnJc8SFRcByQuct/10sh7aMU7wZp5WskCHDFFFB0GdKXi0ks9nGc8rGQ0i49AFdJMOQ4TqFQR1GEFGgUscBD9QrcXw3VTXinxs40Ch6CIhwixF2+4MP+gb6Hz54aBbJJRJ2YkBZikuXL2mRNEBPc0jA6VCd+slult39kK84VeZISrIKGK+kJTz9ijIp8SESBn9oYwnq7enR0iI9hKwyS8F3aZdLoyFroG/evDU/pTJJP4PrLeDK00WJIJppNeGl+QqdKYE7UZIUyH4fizAxIL1d8RbQGbaQwFRxH8bdE4zX96h8mTc5vT2X6h66IV5uCB8yIin8PURYBYgp8gLrif56azXCBD+LSLmy+JPQaE3yydw/64MuAF3iREyZtUVPomJKPrA4s5iiuQusgJCdN+KViSefndskw54uX0vy1zHMEM5cS4oXo2jlxmTlzZvXlqHRFJsgRNlMTU85Rw9coU+qEYHAHRyINrZ519CZm5vnk0p8ffL40eTUeWAxlVaFN3FRADWJqP7oMw2EBAIcqFzJgYUYaSKpS8iEHIrpkrHFLwbBQ5MyI/Kbr76+dvPGj376uaDbiydPzTFnXr3i+A8ND/mc2KhTKsuUG0uAIjvcE3FRypG4aIIzW+fUVlPgpo9a4RLwiqDkT2WqmtQ0HFh5UXgEy3a3LA/vGbT8P45/Se+RYz1hZHlOtowcEEieVsgcWjEUuGKs7x/stbVadZDmxePY6+0ZUo4YwRYaOIoOlRRyUM+OTgaGMrHwVkc8N7T8NnT5iiyOwCtXLYDU2EyMUIk0F9USsa4yp4yS/nRjVLU1tnF7gPUQ7yBjsdVb3ISDIu71wEZkjVD2xjHtTsjoKUwUV6BFoG04pacCatbUTXBIkrg6WTQTplpknG9urCULLCfuHNgQXdaCE9wyPrh8nAPdJhMrXy+jOFTOJPJER+VrsxSYrVrZJH7AD8heyv1DiyRJJ6I89d8UW0QUD9B9cXEJ84xR/efYglZJALg0LMpJby9cvChEK97mrVmVzqOme6/UggnZQuhnT54YTEJiop/8fa8Iga9jjI2N+xOx6AxZE/Lc51+/IS7WZDqsT5fFO2rGgDbkmDbdsXs1880c+mC4LWOPFiFcfKwTmcrjE2Ni8WYONiozhLJ+nF8CH3vR6HIk1jUyVKnknldAb+E0+tAaxQ6ymC3iQmUNLZEUIdAs5sh/b2/LvIfEyH+xH72EOrNPpuFweXUV5l7Vy7fueR1GKjYhi0tbfg0etdCETChJPtxUuallKswIVZmcEQby4U/6Bd3407BFPU8yGUm4P7s83OAOivELWSGEwiaEbbNIlN3LrYQRfBHYXd6RbXoCoZrOLJr55wTZ5cOEMP6Z78ZMQJ2oQoIrgG1UC5LVMUHVB+1S3k0IVCZQXGgBArKm+Y7TTlhyXFhJMbmNNX5uJvycM0hGK3SY/myhpuYMDm6vWqQKDiTJL9tnAqFvYqT6TKVpqMqKe30nvvTW3Ju3yMcCvnj2HEHxgB1fXvIp8bnR0REuhbfpUXFFBVD6BifNDBTLQvjxES0oueXN7OzzZ8+4kFaluZsUs//xQakUOkmYzLwyPIM9D4MBsl3g+ECYtWM4x0aSp9C6rU0YArZUIM7QcJ4zqvSWV3CgbAh6DTfASn+JtewHg1OqlXAsIIhsj5qOKFz/VBdr/WnVK9NJxQ4OTd2jJr7/rJcyxAvAIhLRY8aM1j1HWFUQ8D2pDQNBnXrMU4DvZwKhdQxyEVBugo4bWomQFC/Kc31AFpnNWEMiteVSg+IhJJlX47fiBjqlTs5kUFWDqufqKK0cQJmaNub8p4h9OVnQrxGMZBwFasAiIVBIbZaHfFY/LDZbprSOZgQMJUYgB82q+QkjhVI65iF56u6Kl7azl3R46x8YJukUXTDTr8bIzZPHj89PT9tnHKViL57tqeVEWjRCBVVgqJXKALFc64kIoTo9QTbkVHb3OBQkZ4cszi+ID6IUfS4Wb8d9nEtS3nDG7Oq1s0849exOSCnrK5/mbJJtxYdj0BGEyyVorVGYxBtqbiV8yIRvxqGkf4wkoNStMhQhFUV+YJikgtNWoVI9RVWYa47oYJ4h6l7sninKMouwrTLMAMe2HHGgp4rhq0bh4B7OshWsORnnQHkOJgqoSPLQhyRV8dIRT4w6HVQANiAooGm09daf2MH2Ka8ALvf19sOfIEnI9rZWAZkM+WXKTN3wWqPKa93IxP3clx756Pc6CdVnvdrf5VBlP0UiV2UABURkxfoU2qqf5xUWd4RVlJVlEGqYCCYn9Zjw8uG6DGiDD6vio1hAPT40b2Kh4Kp7kEBxOsD6iEVmmeZaERBik9CLBpVDZ28xk7+zGgcCejD5zW9+w9PSXgbxvi1ZlzSKLsGg2DJkxVEXgJJOxNwfPX400NJn/RgQaHMLSYnglvmN6W9ZzJCt0mZlh+u6tXm027gLSV/QeDPz2nbP8xcu2ohMjMw55JzRT5qgqvWafOu1vO4DG+Oy3u8Tt9kRRfvaXIpMRjytQF1hFRO0vr6JN4CjDvzdQ15p91iuDDYTGkS2q8GiCoT1HheVrF3GAJoRFaFxnNxgx19TV530ShHfrBhCCWWAJYXgIzUISkIDYWFOfEFTkhghqfJGo1/FPCdrEMB+oryxsWaRlq0HEHx1wVGRUoceWbSYAWdGw3FdVAsegZNAQTn8DPDW3dNdqESWkufuv34zI1MNLKU9tPSOBOac/qySrqZahTfRuvoved7ILDPFfe6q42USVTs6tGm0ZCQnBEzTmFEiJcIJjCOR5UhdcmM8VqNOOnt7R9vbLxisb2bnlpZWgl7xVdHafaTQ0uHurp0O3GTmspIGjbzVVfNHMVWxIRMWpDRMuUn6QhOvLq9knl8cEabEFyjsBUW81SWpm0dtDZINbaVY0mfJUjbp8eER3So1rsMc/iImEBYugZJixjQFJJpl2tfewYfsl+J7YGJtL6H9EOV8cr3Td78hbAkw+hPjKzHdAIUmuIVzBjZMMNhIqGLHCXGPvs4NkxePdCyvUa2iL8gWbzPupjL6rmuAYJ9i6OkmI6os9XgSJra0mLcioBQVNCExdXCSRTdOM1QYN2GorsJw8+tVuuAMdsk/rULieQV/nYJtSxidXvCg8JpbJNWYI9FqpA+UOaqUQMJxtrmC89SsK3Jq6TEuFo/7xAflPIErErsBC/m0ARsNGDJ14QJ3/KljeCBgqj8aJhZKulREAhXh6so4KCe36Ll7hZUEmZRMjNPD1HUiWJ4YZ34xQHk6o0YfPHHvFWxVr0IG7KsXLwRXjX1kcobxxtpKx/Ub4k2PHkj0SzYi+DBxCZBaG3ZQQILQFrUO9nr6eswOKNqtpEA1Dg2OcI0xl12DvybwNhPofav9dt0QMDHGLhpxY8vHBPwZNFAJAkASGgX8aZXC1LpxIIasPkeEKk965EIsohWpPN0n8XSHRChjT2oQwyeWS2PVuuJTOl6HqCfoU70CDzOQygUN9NeQX930TGqaFjXkleHnl8lWvjIFhugGFEa4L7RJ7BRMtNKjho7MFi2LqQKsWlIXDVf98qeBJwahlud230rTkxub9c6qP00fxYIlJhFP/9NMdFQxdraCuAWiYgBdHALEmIaiVyLqGMAKcNVmZ1/bQA+tru4ezRJfFysuNW/29Qz8TM3iV7WyQZsFyzZOOgw1PTV1jh7SycL+zmfPnptx0s+WnCV0VWLhx/PnzxXo7e8TulhaWISDJuh/oTXa3IjQOCfSArDp5vFBEnNtZRR1tDxa6UuH9wkENDc5wA3tONLLiwv0M6NWlmkoB9OgBJ/Q2hoRNohXGRBxvGQ15ROpzhvqyRw5MokyWJKt7uhLuZv6MRlQ5TLTXoYTlzR0LeF1tEUfOq+vd4B2X1hc2GvNKEVAB8G1bLRKZkRWqM7PzVOV2KaPRp3qeorgXgFiAEPehar+1JAnCpAkmFf5cK88ktJtSnprKPql+MOLEoAFVhkX0+lJbYLKL3LSqVgVOONZySJAsir4Z5bt05YqGOF5uGnLK9VysCdPPFY2toZLm0yjOhxpGn0x3vJpQxohgeOyhFkxAEurkCOUVbT9eqLG1NRFI0MAgqzYSaGAS0kO7I2bN1+9fGWOLQTA7RWixLiVtXXRCeEca2fmKWYc5qYyTq2dQHpleZVoyhVhcEVD9KeOLb+mCLy6o8EBJCBV+o8i+sbvEcjQjSSclRV4FJeCTOy2t14X7ySjrczswidmffb1a5sNyA1xN9HwUGFOI5g6xU00pSXZEl4519gDuOeZ5KGYRRhfyWqnj8Menj6pxAACjraZvhbn3atYz5J1jU/YgNPSwpgY0mBOQFhPd7Lz0WRQfodkNNNhmBNVcq9fsCrReWO1mduuaxoyrgX/2DVqBUzKA2JVsNyQNsxFfK88dA++1qFUNSv4mAUy9vlVDGGVqb6XV2hLiLWO40ZXFUegqJyiIOPYVZHQcW8jAXASP4wMHp9ZvwDUWpCAnokiG1QKVL9LLvaBPYRGvDkOKNoDuoq/JqsU64OHyUOVQCn4VpYOoEtEIGEpyUFiB21Hg0MjGW0NTTaaSoUATQaLMQRp/ZGlowrZghtiwUEr0NUEEURWkaXhkVEAGfXIlqlvd5cQKJJRUYYHIIjF2KI+x9nEU/W1pQU6xwzgrYD41kbHWtvQ8MDgEG2Rncrit5wQsQa62j8dqaS0lUS+18TomKkrt31v52B1bbmn12zUsMmGwRK9I09S4Rxq301dmbqLHEKV5SUM0F5a7qGnRAdJrT5ipy6rDlVyCTe94IYbDrBFzHgaxyer28uHxlBPjydKICb1vLm6rm7jIRoLanD89/l6FF4VlMiKkP1hjj5UDHzmRXOY5Yky5IC4aI5Ao1LtY5hl2nScqDhSUwGCI6RTLYWJGhyUr7XwFyh6qwoAHDT0XrFpnTqPUGMGoVYHKbHQwjsQ9JPsDKpxdUU8CX+FSN99YJym4UKoAmNNwsaN/gDlTygCAiaqsQ41+xMSUKHtiKoBrqRipoS8h8cPH9Fneo7S+gCaPrhXzIQfKWGl/8yZBUeCTinhroaE1B09Mjpsx2bb67ezKKhdMxoQtKUJT9Do3LnxAts8KAf2TU2fT6JpV8fG5j7a2a2FNd/d//Z3v/0dZ5ZofvP110gBfxRHX92E/Pnz52I9WhqtSwlKme3i9vz8W3U348WjT9PgoEMZmuP4F52hzMbmGl+TJUUfsvtqfhHJeTlGDCT1y69WUBuqatFuikX7lhiy4zB0RrjSwENMesvSqljJxKSoXjJIy2w4481IUz1xjXZJWg442EHD8JuDc0KmF4k4R8KlUReyaNqfelpZBr75Fi7hi5HJcFuNFZ50YyKvPGcRdxBEAbzmy/NDlKnOFgw8VwC5vALNTVxRoqeOToa1DiHK9t8mSsVAgXDt+UFLprVsBwtlBk6o1NKkbsAPLHzFTvcewh41oa5KKUPznTFz5FVYzXMwkS/rTOXrgaapBi0OIZnOQMmwvn37to/kPHv2jNIaPzc+OjG6trJKGVixJoaDA32Li3PWaaXHE027ovWNLwmy6lqHPAz9CZmTY5P8M2Jp34Y8rvHxITq3v69rd3PjH//u717Pvvnxjz+fmJz86uuvnZhqnR0E1VVEFsLHXHKA+ejOOUJW0VcWnu/e1tLWmB3zYjktCwtzpjumMzSJ6jjHzbDNuquzF4nQ1nQpqQwi+OU8JmXQyvPKFVZVwq2cEbVQUmCR3FDJ0VUlAStjS2Av52ZlcqCzWMhpw1pARAdYbSKh42ao2PHy1QurERh1Khwg02EvQSVipFEAk9PxLvcEkd4ZQQWwhgQzHQwrMQDNujuOeOJPyCiAJlUFVBlCJWhowlvUxu6Q7t/9u/8Lizc6NrG0vKAn4YrONDdl7a/k1JIkOyYMPa/03RTRwUdEG2hdgii0dAPtQISHuDK4ZmI1oVSrKBjL3dYmnqRLSOOhPoCmOLAumFFvSQNiuhy1KHW4XCouLS2gguaQCfZutGUYFd2bjdq4g4vMNMeF2oSShGqiz5VBCwOHF8JZuHRpmsN2+cqlsdExSXlmgkISMy9nkOlHP/7MMs/9+w9RU4t6pGJJEBq5dHHachATqRdsqzRo1emDFy99bmzm3LkpnKVG5DajsgJYbvw4U5qisg9WMgzcbDFGErJomNjhSKEikVZ0RC+NxiIoco1zAhkLyQaVOY+dJpBP1IDo9DsngpqXAetEmBKtQAqURzrVKaTYNoey+oKfk992tu0bofhRA+bw8TEGMqGK5gxC6wqavnjp0vkLF7IMjynOtog3yTVshoAWEQFwv4DgF+B0lqaRiC87PTXNedJlGo7qAhka8PFK+cb/2//yf/cf0WdrQTjBYyHvinLBiBSg7BHNaEFEhJBOdgaFCbwRbHwwJcwE/CIuNWLLdpgRGJLlvH8MgGUV4diDHNzTa4Abp/rsT1JGQUK6DMqENxyYjgfiq7b/EjsulIgRfI1FxehX0kxANao6PM1fPCwsNwtB5R7W3yEtiJgZR87/zOqv4y3Z+enpCUbVypom5t4u/NX/969/8tPPPv/8x9r67uGTZ09fYpvBgd8oOD4xeuPG9YnxMeMFMQA00rSbHJtWM7hlp3Hs25C1vua4Z86cjujpwNAQLpI2J9LjqGOuRMsMM2sb0iQdjkmRFOStYkVzYzP08CMx96ItbFMLF7e2LBXQ53Z6yWXQF+JlrmOtbWxiHLlw0aUKaaLRwbQoJvmMdjD3ohrwCEp+MfLqtevUYf4lR75JRzzv7evTL3zBO5FjHh74DBS0VUVn8CGGiX6NGngaEsSrPsRZN5Up4BBEQ0taZYSHBYcTv6dmqXJZ2wc6t3c2SAyhTnBBM01Nl65cMQoxTwDarxCiQJobCOmhDuuVS8MgpkqrBNKGqmCUIQEEVCcJk+O74A0baCksYKNWY3MD6o+P4XqvLBRal2h6JRhvHIwMj1DFJhPcBaiLiNIrrCSr76KoDS/coukoKiGo/oELncvO/MgZ6FovNOoTVcF12DrplFxqdGV5nV2CG5n45puZt28Xow8QMTKE7IfSnoVUJXbIvAVcXX49ynZ29/ow4q9/9csTGrel0YlmjsZsHByW6UBNGlPsuywhOYa4ztTTo8yje+v4I6MjhOHlyxer26sIiCwEyytxFvBZw7YNH+RpPWrw1QlK4ujK5Qnmgr40vU18VxZNbz/6IAt/EfLIyHJVjxthnYyFx2TCvb4bIf39Vu5XX79+OTF+bqC/d2M7mb1okplkc/P0xIS6gCBIT2+mk0aUt3gHPXDCncZGo1e6GB5Rt1hANOsFeTjQahrVlnvJalXKG//9//M/iBPEx8sSR6szJyk6xtFqMUMJOoEFmn7Tc2UYKrkoUrhc2jBcoFWNLs655z7Hx0s2unmedPBODysQDSNiFTWYmblYto6ScHKUfZKJL7eq40ROTgQRJLbQpeS1EtNMXedEOU6JdIBDPCZVvk9gXBmWFmGc0g4xrjHDwAESnuaQgqnnjuSDhvEz0Cu7f5ygOFjmr/7j/2a5/Pz5aWdsLS4tm52ZuvIrTA5oqXMTo0JWQun0GVus3dHRMRp6bn7h7//ub80Esvuvq8c8Wkf5L9LqKSqOBNER6bDYZjDEAppSlPhwORAmJNKdr7/+mjLucbrJfs5Vr6TzHEfLbkebNPOBIHgiPjoroBhlTNowGGmwXKSGZzvG4StHc5ED6MHEsgurjOYsDAWGiWb1PA1yPzYyrgC/HUMNYzrJJhZM599xHHEHKBi6IfSkUxkN2aFph47CXFtJVhSQ51ijpEZJQuUsfaGiXwLX+Ff/v/8EBNnOHz7r0J7clXyzOce68SJP5N9EVDs7rQPAla2k1e3+pg/Q2nZCA8gTEVRGhCdJNRgTxAXjOea6amRoDAQX0YYuhIByH3+y7JDMf+2rzHH+7Z09Sblxzgnl53lUuTXPxIuPuQL0qyp8VYpKGv6li+dHR4f4tSRVGZFP0t7Slu0AeGAiSTKQxkqq2a4NFbtb6/bAshSSNL/6+gETry7bgXlaNB5MGpSVuDg1nWVs4QkR9tk3s6ZIOfZtbe3x4ydb68mD0BGBTh6etAj60MFJLFfZkNMfv5tGyHpIlhyICKaWFa94PKhP77ooSzEdLOchQJIeMjCMcSOHjyWrjJRzPugkVar81fmgKIbDUWMHTMnLkkmmTuW7N5LAMoEv9Cwr4kkVsW2fUdzbyUcrHKg3MZlcbVxQnYEEhwKjOPTXA64RYrISdI/QDAEiVYyVUc29yxa6nl42DQTFyL1a7l0GsAFgeOhapoShaZl/ZgEy5/0nNEWv0PCQle5jWICO4mQOi6VoijQYUgHa10Pe15aXAVHGgWV2R9kzjZSRVPbRRvHOJDwhDZhky3PY+9ONi7D71XmCSDLMlUFbnHsr6GwsGhleKUBviY7ubTsFfoVIiRLJrXdO/NzsGyfn+M6XYt1d7djEjHJQQNs62xUm10H3VJGGers6fEuRwC0tLjn/eurChaQw5kzDnN1NsDp8mLdLyE2eKgs8xKtlxp0oPTY+InZgNjo7q7uvEdQZdJAVetJT3SFezjroHxxzjEfs8fFRYihO+neGcZmE67sPCxgkbgicJgxIrDVJFPfHMNSDIS24ty8PkbaQhJ2OK2+mYlVUo0VF2SwjAIFl2eCwd7a/tDCPNZihxdWVxRcvdi9cuNje3WU8ExrurH7hI6m1gEGAZEWPjp/zROtg8vSJAsXjiTFAvqGhR6RZAWyCGBpa1OJI50zUMjBUqboNQ9FBSfR37zkBBUG0KCdCMcPeqcP2DI0Ok3cLGl5Zn9KkCbApEuVBioHj49tMrD1uE2KN3BmVkYzo3FiRYlk3C0sLOegNN2psc79jcDh8BR/22AzUyvISRc1aMWw2NUcQkVA8IAm1XZw7n0CZfztHvLBf//mYIoXJhhChzj7jVjpLhj2bZRE+QUgpheWgX7Ei0XnrWbzD+BEluFcdPjnMJJXFlM7A+IJ27dp1zgq7YCxRtIRrsF8CmTnmnoQ7+fBGBB35/NljjOE2rSzO29NGHJkbfic1Ra+TEoNengm7PDgypo9UL8kIyJZWhLL4xjdSpkEErr1Nx3k1mpZbm6x5GjhrL9EKEnrRByWwxy8W0AdwM24pCSx0xJxXcF5eWr1x48b09KSFXAOpzxHoUq9bcmI2H1R/WeKOoUHjcK0ckGxmyhNIvlejHm0Y8/CxXEga3BAgwCt3iBdhIPeETNOaUwZfIOMJ3pEBoqwYDLEGZYi+Mgojhb7AtoWucwc0ipuVDYmO7vj8mrlMv5p8T80iUDmIMhkjRDLZP45sy7yDDab/BJdzTCBfpHtpaXcgn95zigzRIaZy5McnzjEZFV3YYxtNHbeIM7qfiR7jNTJqXkOl5UBUHlK3DwVmx0gjwzs780I/5XFNT583ISok6xoeHlhfWZmaGIPqm7czQpfnxsalI5qRspkSw3g4wrj4qlOJggiLJKMwH85gfbiiXJkcB9/shKAbXgmIyyejW+PAyXMy7e3qvX5tcu7NSxFHU+vXr2dtjSTuqG93r1+2yaX7tgz57eroylnsZcNMnefrgsPxfceFy8UwR4vY04sPFuB3fNJwB6uYONbNDUUQc7JhzTuzLWRxYQqW4yVpYy6MTCKlLR3x3ETHgBR6BkpU5+2b2f7BIZs2TOQpdUD4UNq1Jks4dIlKUxJMEiKBmOZ2T3DJhyawBnPJhLbU9ZBOEUckG6F/Wa42SKAIMX8SKZiAoC038ClzrHzTSvkE3F2mbX61gVJcAXoPOI11trVBl49F63ti2jI7m/DpYfMB26MZbfvTZxT4ZO55GPQWb8xxZ/jkC7Emz5SNVs2LCKHCeGaWTPiVb5+wmH/qlUELLffMLodYz7FclhbdScNCKd+8PTtZWlhAQZs7HHbqkBmFHBHzzTfffHz3bodzEwd7u3s629aylQ8PLALwGtXbXFvZ6uu5dM0JIl0oPdzXs+3gpJ09hMahURb33Bh/XZLpzKuXnMjBwWHm/t69j7fXl62mC5m+efuWz8b9O3dhamttZX4u7ubV6zeHR8eMVMMUKZ3WqWHDxcRHFNcEUBTKnhJridarnZ2bKQUOHiQvhUHo6OmaunhBvvzi0pwFC1MHxhxNjHPcosl0QSsxK2XVTxnzJQPVMT0x7Zntnz199lyExYIBFSfAsjD3Bo/JLnkVZjMK63wTEN4QcaG3hHi6m3z0rYc0E1aJISbX+K6ke5xCE1oAp9yoSOfpna+4RToPD/maREdFZaLFyy5+eFYiUIH8f9qq8Z9+9UtPiSHQoKigtLFImcgxIlVW2bximDHYcNFJwSwmjCh4mBlyiXHz7LAbKojKA11fWy6xCUZjxyCm+UbHx89NTZmDFIfPYZUJNPf292MAGweaai5zAsJFZ1Ag5uuQka/nmXMD6biNtXXSTMrpb13StNH51Vff8K8/undr+sKkNZ2V5bWmBplM2eKiDKLgPd9p9NzE9Zu3KGAnTTsHYmnZrvk9XrOvBJybnFBGuO7li5eWYihm550i8a9++QtbbV++eGU34qgzm7o6H3zzlY9MoczE1CQ5mzx/gSggi8L0U3fvgPMPOUyZiooet3Csk9nBBmGqVAfDhjaFkn5BD28C/+XzrCEmAyeKAb8xFMDMKG0c6uz0Re3MRMq+MboAcPrGWbfGANbsHVgPbZUwzRExRIE1TVERTwXVLPnrGj1EG0FVizwNDriKWEmS0NC9RmFlzIek5WgGUuFP9wAiCGHyChCjQryGAHHChM3YRPDJHGjgJ4hVYlqNPpzEcmV851N3Wb4mqvCQQeLkGMOUJ2ieYrT5VCQ1QzBFNZhqE1ryaz0fP4CGgWwTkqF5KJqOUYEcEWezUEXCa9JTUfPS5ctT05NIA6bOO3pveIzITahuZsqZtApMuKk0VQhopuwWTcvBB/rvT4qLFx+V1kQRZF7Gof7ii9+aiYj689YNABRRWMlKKT2yNjE6MT514RLbvbO7mS0eTW3ERVLfT3/2008//RQdUe2LL764cuUyT1mU8Le/+ZW9cc1njT5wzjkEr6938NDMamdTo6Z8+GeBZnB4GOl0nNXr6u3vHRgWdzCWMvQ1Wz4DoacwochQX7ZkJbJa+LG8sGCvh6weiz+IUAVOASMHJVHA8WBEhGssmIDOkmPj9fsazf6eQwzYTUYM+2QXSr54O/sGiUyY9BiQ5bWV8XJGMGroIBFx44hecZP6efrSUCeCw9+rDz/8kK+sLusGE/JAUxCXSkZSAQ1srV6gX31EQSJhwsQnAUFdcyvaMef08ZoFQLShAzoDnAisqZmkjK6hPt/nYil88EMYe3hwwKTfREn+CpnoH26TyUX8+UZI1iBdu3xpSD+npwTHreof8GCcA76xuuqgH8Lz+vWMCJmpAOcNj0mS5Do4MGqkNoc1tDU6icX5CLJRz01NYol4TyJe4hSCteUjIXYN8wgYPp9/EihzfsS1a1e4fc+eP7MP4Mb163JsOAvDjq5sz8xIakjCRfrTkdQ5umF+fsk/Q1zkoftfmrd1C0iKJf7zP/4ZIlLSa6vLs6+eX7KgM5nDm1mW588fm3O1tXSSDwaaNiW5DVaklxZEswiZuKhAjeOHnBZjWpBgKVtcvslADyFv0dbNZ3ROWZ/VkIVYcTvJKXZJKSzwIQaGN1DOqsPuLvOkdVSS3Ga1p7l5fHV9xc4iKzYDstCaG+wgsKpJnYkbLrydu3j5ErETr1GLLEusoecof7hCgOKxcnzu3IS2rEz3iAYV58fIrwmAVEbVL8RAeRKD/hxzaHjCCHJyyBklCkPCaH7BwzMjO7IrrZwUQvJMpWHe+PN/+Hv9NLYUxVoyoVVzRZKoUDlEbdeZZHYa6h5DjjGmitKauW10O4tGPMWUwv6SUGAVhZ4DAzVN1rjJKEgBLMwtKECwjAZGzWojcyM9AR2dGaUtK6z4IMaJKJCBqMAjTUm10iXy8rEfn2BoMwzTSZ7oeYQTXWXUlpJzvGeyZGOM2RYXDSZlJ0USYFAQabDfpEmsdXH2zcHmeu/I6J/95V/+2b/5c2aLAowNPjkUXjerMKlUfWRszDZlaAvBLi29ffzgDwY0X4mrJLLlq2MGgNjJhUuXEYdfx6ZLZbAq3tc/YK8XSmKPmQH7Dm33pjikkCAjDg2NbuYE83OzpnM0IkbSAZqDKgqgGzazOOwO155JdbAg30MBpKAFzLWJ0eTk+Wqn9Gtja9M5AzLIOA+AK2kBSmxvcmoqCyIlvYw/Th1y0rhZWE/Tg4b+kcgyQ9SuYQxD7hoIiBK6HB5S5OabRFBh9qqKaeZ2Zd3PFgQuAX3GtzHiGr/43W9Br51JKpLV3yYx1ixqRJIS4W22vms9NbvRN+NFyUCi+hh4kmtCz05TIZhH3vEvh2eW1QbUobFevng6NjaJXvStub9FRxMTn8dl6dCadNuwcOnKVV6iiA4TY15CLSG6t/qW+GkOM+HaOrUsFz6JaFBUChA4RDG3Rwn/mLbltdWpqWkKhrwKhxn38wvz/Dw3OOVMlOajg8HGo17y2dLWdeuT/+n//G8F4rut7SS79Uxn5TDPvbUy3TN1/nLOD2puNZYMGeeHSm14NfPs1bNnvtXw6NFjA4Bqv/vxp3c++tghOU8ePZxfnJ+avjQxfSGhu/JZKCxBMX2IZUzmjbwx46GF2rPKqKeG2ZOnD814dITkcdsxFaPjUxXZoiEYnepjoDDu4pcyyMuLJwR8BUfxoMzs61kTc+yg6XnJmgYtMtfeTsiQizAV80I7ULgNDqVShnw4/JcMEWvCio/0DeB37tyxPmNskDZAsE8Zf2IFnJGXhEHRbJr/IopdlZ+SxMuadJYViaoeuzjCmqmzMzjh6ej4hC5ZWfYWLHEZYrHjIJ5z53b3DuTCOz2GxkIyOBkoA0NJWhW+ggcU5ZlDOOGJ4+PgYRnu9JSb5VvOXHsbAFnh169mFubmrDoPjnCPshBkwc6h2vSiaY5xrBaTYo+nPDNzKO4KpggYY5IWJy9Mi22CY8VfuMGh9kOD/SCwjOJoop+ErUwntk/2D873dl0blSzDIJ48WN782ImjaO0SkueuHR28fvWabZuavjA8OkED2VZicwE0BNuO97ZMEFuy13nAfPfipfPofuXalfMXpo72HQnU9cOf/IzaZtslgGCAUDeiERd0cPHHYwPbu3CU7pGmttWQwBWRqrqKurSAoSPGgCkhuyPwgfHGKd2MwtiJO3SGhSz8I3kyLjHWOMdB0yOU8VyLq0srqmCUmYHFBARU3Uo2TQwmOzA2NK4kL1c0GGuITnWYnGXMpDhMisr99ttv+bcwV9IQt1fE8Lhw/rwhwWEXqnW2PjEQDyX6R3u+tbbAVhBK8TZCzEP3sdqkTxFq8y/NoHNRNjn42zhQiGpXQTGBJUpCH0wyDC+ixhUzNHFGP029DGLNgJC51cjIyiKcO23N51yy53aBCnh6S8w17yRg8DlDGG/HlVCCZReeyunYONPAanC8pCCV3fqNgrSsYlInmtosswgo6xWEqSszJq/keTrXf/BwgH3styLI6SiqAsL44avDTrScefWCwRa3XdrY6hkbv3PntsFzeLQ3/3ZX99l9wQVY+TQryTAK9YLZcuKYXmzsbq0uztOgghHHRytmBlcuX6ZlaV9Z870DQ/ZiJQjgsKfObrzEBnaB1sEY5A1hW9u6W5Igj26muxQJRi4vOpdLxsC2xW+NsuYkw/ZHYTbcBYfjJf+96gNqj16hty5dupxEkz3x29a3c2+N1c3trXMWPX30yuffm+S9rHHFBHp8cAqpqTH6BjTCZkBaRBe+Jh/soEY9J8osu9QaSsHuAT6PzHykc1Kj4WHCzoJxsPzawaY8xhGSFy+y54BlYxDFF2vmnP7CM4FUTZIbo5wlclNGW6y7V6hDUKgfUOgwn1JOjKevD6IAGSi8M2NRAe5/4pkl/oagpKq5rbW7r394fOLF02fqIvWAr0H09L55+2Z4aNjyn4UWFDcsLl2+wgL6rBaAm9vbIsWkNuZelL18zZsNPT2Lf8oEM9PyUsgTC8FGQ1gnIcExMLihzSlhHUUE4Nw61Hr+f7wQFuwfzC8s3H/w8PmjJ0+ev/Bhi//xgw/2trZ+/jf/mfaSACOM7AR5F2fPGdJyK9w4j1HQnKZube8YHBpeWepr3Lfw17O6+uyl5REjEMt9j0ZY+fhka3cfcXiBcoyhhPr0jY64+Fp8eRsNzUh0Gd2R2upKT//AzKvXuLi2slxWBiXYk6qW8ZEkdCC+CFv1nf0iuEEerbOz8/jhd1dv3qCh48QdbPp4J4KLDZUT4TLzJ7tETfKStrQoUgUaH5ysMEEGng9vIx3jQcigZ1r27OkTUqsuIUbG5eVYT8Pe7N1qxNs3byanp70VHqNNgY3zcHr6+MH9Wx/eJTMUGMEAH9mN6sbff/2VEc8NdGgDvtIBiOLiyJus6TwUjTaSwZ1n9TjycoK1XX3qvmJJlTFo387OApqDyst5SzweqgJ1DUh2UNiwCgFzxvGPwc1Ru9bYe3oH+l4mwmulb8NOF9/DQTuNOnYWexwzFOEt3z00vbemQV1R+7wuK4bYBNWqXKGNh4qaf9Fq1IyPn+Aur1FYEi04wOur6//pr/7aMZZ3bt+2CGFVG298IWJ0fOT2rdtcdYc1iDfqICK6bP0HgbNog5C5hbkNDffwwYMHX39janb5+s3nL17onXQ58XRaWIYslYDQGOkXh0wvaEU10VkcC5sZu3yqxYTm7ZvvHnyn16In+qswP5IzzD9n5VlGwmRgU708DZoAFzjv+YKQDBnOgy8IWeQ4yHNPrH69eTuv15VfxsbTp08MMOJiUm3OYC5vPEemG5vu3bvn+FYt0ltmZo4wppDKIRejLLWOm+hQxn7ZUJG1qelpEhkxLimv4sko4wNYqD06NmlPgG6zktQtbHWt8f//219zoNgplhXEquUMerkxGC9UpyhJ5E9ozA1UuGK6gfchfJk/RrDKxBVrfZoLrohiIEp6RA50xBHBaCyknEkJ20pM1TYHtGbH+RBfXF1ZApnOeHj/PupowplYmpPIoEuGAq5YihaJxTPPiak+0PO8fKC424U9NkA621jOZ6b6jizPd7oppZIoQY6/++47Q5PGpfxIpJBYATJ0+fKF4eHRqakLUxfPq4s3JomWnuzrE+dk6aLwOzv4KDvb66YslmPNIaQ96iAq0TfUw/CIw3AHtatHeEAiQ2LTzMNkkjFDwBp2JnbWH3FIyjwjSCuQHmoeKNqN5473npgbsWjT01N6qstAMSEoI2RQeh3IVJG+TE1OAStAZY6lXezg8TBSXA5RJbvWBEWtJTBzTx8/IQr8Chiq9eC77zjalqT+8R//kdWjE2h33ghKQoZX8N13Dxgu48a8WwGiw++hF757+FAgydkxkuMuX77mFBMYGmDYSoTUbfzd119hErYRoMotaFE8SugbQlRnhTgroG+qeULfKGMoGDHR7WW7PvWGlM6pwSq0yFBYW9Ge+CcnQnlyCQ71bhA4mVRFSKMRDiFHyGrpI2f/r/jClqZzzntHB5nWLr8B4TEBAjxtzg2vn6vnpByxKJ6Q5gxcHTHD8PkjbOrsyIRfzhfIFp5dWscGes4cQtNVw5GvC9PTDhifmJ40PKAHfy7X3OyrhcVkO16+fH1y+jINwZARxbXltxK1RRDg7yObxkNv31DWdrq7BUu55kYZAwpnl15gv1TWiFTZ3ytep2khCHpUouOzZw9ZAL4EYiqvdyhWhYPBdxMWdIfgmlaAi2YUxZwVF0UtYRrVJyandBPZQeC0kT+tUPNdEiRP83UWXr/ejQwNWxJBPfxVQPWNsohOlaDz5OS0+dMfvv5a+apEVPEJo6vXrpH1ufm3NZQgAAYHc0myS2YMCc2BYPAgnc/uUYHJAdITv6hsSAGkHIuuXFUJms/4jm7K7hpSle7ZbyDaXjLnsROu+uakIb+9PuhQPt+jisUyrn0i4Ef5yu3c3BthAg2ZJ09OTeK0aDKJIQ26oTxWMRxEzZhHlPGxSYVv3fyQh01ZkRLTUMtFChv0pvrcY/aarmUO5GDNzS+ys1FFFp/jIyaBgsmmV3BCd4gU7jJ/nEcbYCkl34mRqmVH6ubW2qWOS2w3iiO36gI/yyurY8NjYjb8/oOjfaePgsy+OfCMWDBwrPbaxvbiysbu/sH4uQl7vmksysBUhuElFnXwJHXdxFDiXrYB+uhSm9M+KOCdDXmIHcAJpMEObVEbHbAAnhQ1D3Zm5jUb5wR8MdLE4d5ltnXILC0lM5EnfI6I9pazaA6uXYEA/gA3H3yprTahCAlB3ZwXGS3bl+joEMVJDxEdi5cffHCH2+4wfofXYXF0RDnn1wKOzQFyUycnJl6+eDZxbgo7YCtwBQFjlcwY/8wdkSBb5NtXdht/+9WXmOeFv4mbVvUKWQm+X2pASNBz2CtWFRv5Y8uE8FcWlwCthNAZGtKrzt4eSTisD44KjGGSVR0zP86ZtREDznzbhMgc5PXsbEKvgiKc43YUnOFdlqGZbA3NiepKtIcYSaonq+otU5i15HjHQj02siaWQxqYKgrMvC7zdrFH84AcFrd3xO5Ipy6604wJxYkUmMyVpfexkcEL56e4K3b6kImBwRHRdhaZ1XPeLrvgwzOEaUuIxW6RSFVWtpF1c2fn5as3jx88oiAcc3/z5o0r16+NjI739PJ/KTbESwawK6TwAy1fyMwGSSv7xKuBD2Sp/v6DP4jfKMw79uVVilx0sfLVeXGW4TlJeo1nwg2YYsCTUSjpIDjooC7Je/nyFY4Ih+JRVR4KK8m26qnkMvFFB/UbDOypT2mg9pUrV60g2ozOq6NDtXLj2jXeIxNMpamOs+bp4q7uRX9sTvAxPV9lt5xFE828fn31yhX8xbIolLIDlqoDmTComws2UPcuklH26lMnNIcoLRD4qg/UmHvN6wwlxDuAkAUZX032rRkiqIrosuzTupoBGxM7LeBMt/OSG5uu3bilIUhYnOfUjzgfp9GXhncHhydpFCyjSCh2q4dZNyz7XRvX1+HqrREDNwYoFoEisBzWIgul2dHDkuNk1BAYjq1lOvqVyGmo5LpuQ88wtQZpMBnuZMLRCG2N7QSUZfMBBqqDuGGn5YT97Z0V31ZtabAguL+zx8I6+4UxZW1b+7rF3HWfKiL3DnOaGh+Vs8CC6LhwlONG2kWMue4tPM4sPCipF758ZMaqijUx54+ZyEq/o9ThQwEw7srgr0xXVQxgWsQMBsCt7XV7FVEefCOBq8i/461ix/r6vpI4YmBrBVK37951iCGfzJCojooqOOvrRKjXMTVpK6UMY97S40dvsYZ/4ssMPFp8lP02NTnNdRMvoMC81WJ3OfiPLcIsqEKDvZp9/YbDUwXG/hQbxym56zeum4oQX+L469/8xnc6+NONrxME30JukoGvVmlksYorEjoAwNIN2ONWxmBc6Q2W1RTAPI7kUQncT8cxGOVUlAI4yokz6mgRdQFHOKh4ZXmYcqbwjQl6kRxL5tN/TRvPPCddMr4dmiWyxRKVEzLMktqsrVqL3d3fpdnk3dbZO1VXMuUznUY7PScgamlRDw1AhzXAlr3WKBbaSkrErI5DOJHMRvnaAxNjI5YILe1jW09P/6WLV4kmcTeUjfTYr06nJjXI3ddr1qRwMUML2tFGRXToY01IFRsaGbp45ZqDC4UN5MkSJmQkNFub21WL6TtrqCK3ejGZjG9s/zfljTfCVRJkdpq35IX1dbrRUYNynQWx0FkHkVHHaWW2W3kD3LgVhpT2ODwUD89s4Pnzp4hp/FMvEOa9OavCKCVq9SPwTDIiq06AIE+7AEq8uAa4/IdvvsaUa9evMx3EjslDdpyit0x6TLT1dHNr+8rVK7Z1cV2pK1bIPH36/PkYnHPnmD5URrcWagD1aSn19VY2iKiBjlldMnQ8R5rqYyETauokhGRBiWqQFSN4sn+S96AwGU//HYOxvm5qjUZUOjZXJclUkR70IpTZiFQisfY2+eI6IM5gEdBDJjJnFkmAjHLKgXgzH2hNgwsZd/X2LM3Nt3V2WdkZGoi3S5LIkIkMupBsPDd2SDDcBBs15pXJtvV7CYTi9dQYxdbrYz6yaTvb+XUeJmGCJiyb9/HebM2MjTMGfHPOgW9Rm+jYX8Vljroqk2r6D1nl4FASHJH+gT5j4Dh185VXpQgrdNBQqER/WfMqiEhKm5kyO4lEcVYy2JZP3xyu01KDhqtaZjLUMMbT8dFSFkgc7zQ6SlK16DvyXEws42EaEgY2oynCNDPzSsdFbOVN++odI8w1lKsj02bi3IQBD20yVzP4CBCBQES6AJf1i3CbCYrmMwJ12oQjb+fmfvjDH/78v/wdB+bTTz5+NfNKsuTF8xdmZl87hkOUlacF1LOnT0H+wY9+pGJMtd5qjCCbDfr6raEskQVpPNErKpfM0Qf6wAShla2ak+fOGS4GBMqhO6IrySFAVIbJBhvsFAc0kUYyBTSB5buW3C0aOk+xJKzSbciNeSQbfGVIOs7F2p6c9fb0E1NdlYNCRlk3m3mcxEd8ccWpu4MOayxnkPp4ECVH8vhI6sqhi/jKliKJvIfTYyEXbvTr1pbV9WVdiOJpIUpJiiLBLW31I8qtfBTBjJW1VfhwKoYG+/ROOjL+QV4CTNNZy07LgUPanNhtizitQG0tcN3X1n/8eeflK5dZDdbQwoVjZgAnQDwTEHSTTShL5iE0mWYBRQRmZ15RH5KxksyzLbcz0RxkNxRxBN04oyYxSm5t+fy4aMUhuXc4HqIxuUDZva08UxznteGUfhI6ez07Y56wd7BTNiF3YI0kUkN0eXVVfE8tbQgxYD3VhfJffvl7YuSSRSLNiwJjFiVJqyhmdvfuPSEG8vfhnbtvZmcWludvfnD7zczs8+cvrExQIlcuXX764rn1/itXLtFzM6/GrPxksYLyJBmRgDLvy6TaHq+ZGS0Z+gZllr0olYMDEw2cE7UzkSEW7pHPL7VH/ugz3cML3QBKB7iOCrOJ3hImVdC6aj6/qIN2+kakoEF8M6y/Xy7wChHVEj9M3Gtp2Rob4Agn4WFxebmvHH8diRRyJCtxgCLlHFhAxJH8yXP1VROijUGUE7fHlwEhrKGWM861yLXg3AAz5BEt7wwcNgtKVscNdLwUv0E+ykyQkGfHM+NfkhLxC96rqQPbZNZr95gRL0psx4+sAYoKqlbGecrUKNwy0diixw/lQCLIaslYdPCk2D5SsHGW7UgzZb2+sYYTmZnkU7HdW5vcr+zeQQ0W3BuRESqkiqChSJnRGc6wYGpMMoRLBvpkSTTasW3JGUGSz7q59aMffUaxQNj0vH6nDXcsXJjLc5J+/vOfS6Cg0hjoWzdvZuqzuXHhwnkhUFlPN2/cBAeD7t679/Nf/JyCFHwxA9U0+oB+m956a/rx5oMPPvTx2+dPHmaKiCL6ZpSgokKS1YwAIgUWgZPfV5OmyW9QKVYMO1lr7o6OkRX2UT9zMz6mL2IefHNaFwTPAfeKGiBYKmqRSLkhiN7iYi3jhph6SM4UwAPs1xlaZO9w38zCPJ+2wyXG6cKliyBQTrx4C8W4qx1/qOKS0pX4f2JNDhw+do6opgXY4qTbsm6zXqkIRzB9H1BdG1fk8arFtBmyOLrEH1u30Yu7xeJI0o9hA9wAo0pfzsz8/suvfv3b3z9++nx5dWN7z0G9TWxWUf2yJCRTGFk5KMCaoa9v4lOrHYtOD0weR6+kKNZTyrjYKfkmagw0tz/+lhz77U3HSM2/fct3JgqEjCko5HKmsMwf1E2CKFHTInJRDXML8yNjI0htc4DRKQRItnjoxgDyKslaxZHFlKZmKsMYodhNid7MvbWaJ5cBHoJBU1OT//gP/2hORqxFNH74wx/AnO3TChstyvuTz3/25vVbU8Xp89OG1p27dwSA/us//derl68QA1iRVHN59RNwJyUE7fWrl2LBj+9/R6IzKVjLJ4SmJiY0o1ckrwjyJslAYsYYT2hp6oFAEAsPdYP+EB8il5w70yA+RwavJNftbcEFyOkh9S73mM/DazZr5B4VNkTD6wCYBJEWRA7STIcLnHM7yr1ZZrOoAeeM8Jr5Mb8UOICgGeSachFnpBfQapfZWMwQBxxkqoV7QwtKibJbyQouhcHWra0tS4oyWbP/jjQYGBtEdWevfHGgnW9E7YhjgW+RkcqR8SARXqxq6vwVkjk3t2D1SdRbsmsZaKJx+2aceq8jeoHfZnlEBErnxX+uXzs3Oc1N0Slc53MIxfH+aGWLDdQnApoa81wJHPKiKrohPsmwzGxRBPIcOKOOMyRFgjhyzymP27dvE0Jhf/MYskVns0N2RBtHZq2vZ17120gtbiGL5qwBKOt450bHZl68JFL4K8t3ZHiMHnrx8iVvCV+ePHn6+ec/sZ4r6iSuBjEpFVPnJ1+8emHgfP7551999RWreunK5YePH0m7MAS3dnf+zV/+n8xZtrjbTn4SWaH/9YqcXbgcdyHeYtkOC2nChN9ucIU3BzlRK4EAVEMavCSqVAhp4K2joxGm59wyi53ERQEQ+AvEi6QwGXzJqK7GBugqQHBJgC6pa+Uh8YRyoWniMOUDxoSPyaMEOZt8VsrG9NLxaDBRltSoFfesfIUGHDDFUZkVpk9f/CWM5T0qSxmIXHXYDccp0qU2pxHJ43H+gNDgw/vfytowpq2EUAAzM2+FQR89fTH79i0x9oXd2YWFt76YJ4GrocHKtSUBK+tSES00o0480eK2wlunSIaeGnuGq6n06xcv51/PPnr0UD4PYDGs5XT4EqZpNx8nLrhgqKMtlY+qBq2e4LTWOSGAk0gjQ8AX8WGvCv2HBWQLMYUNqtKStoTU5y9fFbm1rYJNf/bsiSCROR0I5okY5BTg1zMzUujIFl3uA9u6QKRePH9Bc3NVHz585AY9RTfoi2+++cby7k8+/8mL58/pS04OMdVBZRho6QVdre1/+OqrJtzmftBVTjznaQJqawd3U3CaRZJBwICZA+oMrvOsq7WCPVjGE3HUcx1DRA9p/upOyRC0qAwDADP9yXeB43HwL5y1H10SlWNnAca34fo//cM/SJshgKbCYPonReyUujPayqV1HCJvVj8TKsr5x2KwJnC7Fnq0bQ4lLMdnEs0nPQ7SE+5ihs1FLPJQZxxHAgrJzA5P4rQ6gkZztqmtLi1J57KnUTSVX2eTLLNvHeD4cF+dK1cuC9UKVduD8ejxs/v3H3/38DELZgLx5vWMKZGeGQDJ6GlyULTDeKRkcbDiJkV/luUj7RICJUWxjfLv7v+BtHDsogQP9hGTwiBJRgjExEF2t51+awaV0zicLGw0SmD03DzGaOfOoOFg/+D2Zg5PKzO75DayBuhPBDkkJj1f//53qHq0Z+lmGMGnzzsv+Oj5sywXQoPQ2/OzvrHqcH94ZHcLk3u4JxmGbSVSBo9JKI5TlrSRMm/fzPzkx5/5jAPZ/ejjj+ka9DGjJPqTU9NS6XyeTZBThDLnrtJDpuhC9RDijoR/nE3fS+7uopy3SqRUh5FGgYhROXTFhIXq6s8+kBbiYvKPCuTaGjPDZ+hYL/Nrbw7HxUNzAnTCDzc8njCYbil53warQ8+cJ7a8uswmGKQkgwIXI8AgBTOyiynTDUJMFUFDSyQMvZyhgo6CT9Xewd+MMNl0HFuRSotKkl6GR8XwsMoIwWm/fGMCbG+KA9qs/UnGskMt5wHXTyA77HRn0xzYKVwXzk/TBxaDTZ3sb2N39JTPVuaP61SdCZHvZJvyJNQqtLGxoWua0BLFQLubVvCi9daHP4w9R7LcufeRDcrslKFCqvCY54RhaJUgQvnUIMlUJSG9k9M3s69JACmcezsLK913YbkwGGfo9u0PoY342kQf68rraxtj4xPXbtwwp1iYf2uBxgF3Zh5WnPlJxNTKtMU+RuDq1esOsfLVXPKNyzrpiMNHjx8ysg+/e/D69au79+44fI8DcO/u3Y21DeslU+enaThuFuVnWmCWc+XqtZ//3X8hr5//9Kff/OEPDiJoIhzITRmRD74LzWlWRXTUlG9RrE824xoNXDNqw1omssr24tMal8jE/LG7RIGsUN0UgyaNTupNjJUwEVaWUWFCI82GMkd944yUiH5hMxGxfAGBCGtMVJsZhwJi/tZ3C7ck0cfYGQb671LXBhtEhDBFCiVqOfmQ+TSL1FaXPMEWigTzjPKYosz/qcIs9HpuFBpRHBFtEVo0pQdhi8eIxc0SiafFBY25Z4ZV8mfbKDyc2xdqlfHM6HMplBE+vXbtKhKRHtKMaBDThAiCeaXZg0tlrsgtM66bN0zclIQG3UMaUBW6hohhJjYhsZOF0n273AZ8GqycTij49+TBd/yw3Y2N+dkcJFGotykp2SSMFatwKBhTM5rHZJxXhE2ClpL4yF9RQlJcstOQEBM+turLL78iAJSxfW/0q9N1XT/+6c9sZ4LSrRs3fvvFb/63v/prO+RYFXZcrVfPX/g6Mw/997//kkhYc/mbv/kbLfoqkcC94SwhhzJqWuPrOPTXl1t4FQwJs0HwnXWZgwBWaBd706LNBXLK4dWopksCVBYER6THbBHlVcONm0/IUBC3eB74LWnV3Mo9eeIMOb+BgBorQCnpkgNJmPRcHwRIk9vvo+0nR1bRuQsk1ed3nA3BkbEAQrU4gteczMmyEk9kxGCki+LFRbbTDIMSilMu0JVpfs4hw1gIG6kD/UPiCITMQCf8xEtcnYmxwMJ/78pHUu3TR4ZOatS5V6KLJANiNK2Tf6W7Ly3aDr/y5s0cn8NmDe6OLmi3SEIGldAEUUMBMkrKMyUssRVyT6ZJj+d8O1mjSU8kzTJ5zDsESEVJYEPllhPVNaoiKtkBy/1SvSr46QvnLbHjjsx62za77bZ17m1JBEWBSxcvM+l6x81HPaQmagaPXwQxJ/CLTa9fvvrwzh3FfHgb+3SbXbYqqoqQlcXQp48f0o4CDT7zLkrw8SefeP7Lf/pHuYE0OtYn+L6xxin/wY9+yGg6VeXOBx+IbHNKMP3bb+zx3L9z924Tk0dF4avplpHNQmfqe3TkxpzOQKCBeNwGnGikVwgEVyKv8+aZJk9GCQYjJSfaP8TVB2qDzmOVDWmRZc4XhR8elwENArZhMFrrkj/Jsf0bzMrpkdmcVHHetS2EDeJvCqBypmo+95ATrbpqZEiaAN4IpWpLoEiel26L8VL7CI12pFYWKJa752eXVHSer2TrWEN6mstF2CCmOY4975tUkUz/WBYv19aljy/4kAwgSCQSEbt21ij3lShEz5Gs0zMSwBlwUIdOKUl9+oUbEdHH6l/rKQ1RgbOM21sbRIkGNTXhK9oR6V5hUXuxhvUE9DfsESLfOjU5PZU0tMhxYCKveJtZPEcSACvQgmgmYdxni0wWwuV8IRufjJ3Vi7v3PmJcnzx9LOpCb3HIoERYJczkW7XIZVl6alLMTwLW1avXvvnqq1fPn/3ohz9wyKrA0x//yZ+Abyhcunjh/oNvr12/avfLF7/6Ff1qt8HTZ09pU4o3Zqo5Ycuvv/4ay7JHivanuCmkymY6RkCSjbDbxjSejqEJWHqo0N41XYmIJMibdDaRQ5BbaWxuyuL8PIrG6Mg5LrvHKFfKgPoR+0ERr9yAk45tbJinYAbjGAPgMzVnSYIjZ6ZjtKsBzZyBb/xRxa9fztjdwE13JIltJrDVuuHuYhzpIn054Cqd+gBJdk7asiINH8OEi7Lb7PZtKZcRMuKYvfBaFFDVeDuhbevopAwImRiRvZM7e/uvX4ukxL2TJWHqyl986QzduUWev3tdIM3goM+5cyOWeD1EFp0i6DCDg4us1F8dNzjJsYFKqUg0sDGQ0Gge82TGIwhtZ5mZT2kOwpfmHui7pvVZQ8DyLpAXcVDJIER/ccfShVZxAWUcAW3u4nAAgRKxi4yNtXWG+/atW5QlHwPxXRpiEP3yTGzp+e2vf0PZ3Lhx88Xzl3Q6nSKC9eC7+1wLg9AyzM1bQu1vzCVlN0ixL4e+9ljA+eSTTxmcv/qPf0UA1Pr666+6e7uzkmitk3wsSAcoZzUL66wuL6J7tmTs7THHVCVDxpTyTNY3VuT9EjKyadrpH5ua5IUspa0734GhEamk0kQ7jG/OGq0osRMnsBPzqjxhuT/NXzI3bJXNuIO4OAqg7De05h6JMJiistEYI3pAeJB4PA5y4uYiRp4YDyJ7Dk7pySoys9Alz03aCT8N9UkNe4bBsHJyKD+PjuF17h7YurItDNDc0JLj95rEuLNvhtA37jnix6mFkkAc15lTHk0DReAdFy9XNG6TKGjS1XPkkCZI5IXzk1cuTJ+fOnfhwiWrlR56ZRjgGSHjuZIGHdMdwTASRhZ1EIluf/jByf1kaZEzh2TpZnML2+prdf0G1Fmzc3jXXjwXee+jwi14Ig/HS2iDHSJPWMs8mN6WU/tiIhhx9uj8xUR/jMyrV67dv/8HVKXmTAPv3rk3MT5p+N28fYu1+fI3v2WtYCifDC9MvL7+8iveH49OkIyCFLXb25YyekNHvv7qq7L9hkI/Oufghq++efLo0X/3J//i73/xC4vWt259YKIi4sBScxW++u3vfvLTn7EyTWyQzoOO3/YcIKjlZ/EFcmD5CI60KCZxc4VYWD0KxrYtCtyWG5JixVsUxDzIqhwu2rRgxYCqQT6jTAHpTRQGcvC9FMAY3daiAtw5wWUHu+EZopsNoLiRjUBy8ewKNARzJQergfAZHDkDaMfITqoQIMwut1AKAKyYXcoc/lSIEU895FTC1bVEU7M06TySzgGTw5Fzg7IPnARxcrpoj6uze8oSNBzS1HFCu9evXfmjP/rpn/53/+yTT+7evfvhB7dvfXzvzk9/8tlnP7j70d3blvoT729uvnRx6t4987ubFy6ddzgxxrOJgACFhdgGc2OJTo1mMyBMCJgDKjeLd71MmNCeNCLEV1jHFUYH/crY7h8Ew7SLs4FtzLPRJvhHbDCYh0dXGeEUI1UHoJkHGWJlZFM6DU+LwlS2E/CpnWDLEaTtBGkfPLjP+fvw7l2BN4wGGel8fpZJYaM+/Oiu+RJ2k2/ssFCN40Dp0YWLF9i4mVczf/av/wyGXt25d1fyFieROpQ+zzR99uPP7dQVjsedJpMIndEqVUbB8h5saCFVpg+0gvVmjpdAha5aU0McvJTXwKHh01k6TEqNsxJ9g+roaH5uwZAiOvwDQceMQdMjJ6lFvfsiQQ6tD7lLgN4KvGDq9qbk43g5dYijrDLirg7UIyggk0VxOVKCk9wsKb30OQg4BxnM0AdLOhggBiMl13j1nPk37kUFfW2FlyEuQoyEJkwObXuamJhyRHZmFUcny74xkfPr4+2x6Hp00Qrq+XPDQ/0SAP07N2G32NDkORmB/Q6PtN5FGUfWG5sck9uQ0wAOmtqsS7JdSRyKx52Y1rslUf2KnJUzfBFEAcgbWtxOwV4qzCzWxF4xz/UFBcIVnzFoiA/G/Tsv+JRTPDtoKR/v4GiSap47/Dl8PAQcMCBL/taGTR8iGI6/sxaE5Qa/Yhf59SsrTAQlImD55OFDooM2DsKURicegX3Do8NmYY7hQNLvHtzXTeuGHCSzTrV+89vf4Lg9Z4ymSShp4x6g48VLF3/9xa9ogZ/+5HMep4EqtM6CsbBZOVGOVFEeuA5L4OQdc5icMm2TBTXrwKBzU9ND1BUXUS6N809PTmw6VX+pfAnYSgJ2cufZppgVedZnp5YF5KnlXLTOLsqDfnJUmlMydNi/iGNHFxvIGqILToBgKIhYGuZYbksVK4zQEfFyLBiR4sxiHAtWckWyJd/C0W4JOFlIMq2SWEFDiBz4pecgr59ZDixHoWbDyvDw5evX0FqamVPc+DfUgKkZieRte9LVbbH51Pl9lkqESc0uKV4agVyyh69ezrLdhuLFqXMOnylB+/Ys9OyasbKp8tM74IxKFAmmkhJiRKNQVEQH29wXnZSPHgqhUmNxdTmy+eRsKy9Aqj7BomulEvO94pb6kERZ0QLK0XROWjMG3o8ui78y+Lyy8CIYZHI6OjYyv/DW5zMcdcaYiJ6YWNi3cv7iZYFJm9FBQ/Yvf/f7HN7g23dv58dHx7kcjx49Hld5eGx5ceXDD++gyd/97d9OX7xw+/YH391/4HuRPOmHDx+Kody5c/e77x5xAH7wo8+c9qNdCpuL47wMEZXffvFFE11FJBGCwySkwQzNzsxggyCCOaD5N0kknokHWqWameFvsUo4YQOQmSciat7yOd/LqqTeGhyYwOEw/9QnRLQzf2h8jJIkuP5UngLfl37udN6Jc2ItMRkiBdyx4p1UZyW+tf0e+UhEO2+Jnvcnx5xLKzrAupBUT3g+XHirnvRUOd8gK+U8EsOa7jQl4L1QIRqlCLnwRIuUjU1PXnUc3vkLUQMr8mTE5M7ixR8l1YIYWRt2FN4333z7dn7RCvOr2bfPXs4+e/ZKppF0/3/200/v3bk6OuSgIlGOBK5IBsdc4Mp0zD15KtPBKNdorLKyDg1ercKa4MfrlLHEqTC26Q9E4PGbXukvwvIjpMGUMwOyXPjm7eu19WWeApuOvMYDI0CuURRHtAUy2aXbGCnV1fVcSEmM/sXTJzwWU2Fq4frNmwarfYgqck6wmwDIQrAc9uFHd5Kf8OaNBWlNMHymivDHd5N9Y8mrP/3TP+VvvXj54uuvvtRDGQ3peGvLF1984Z7WER1lYWTNZ2dj4gv2RjJ456fvf/0N7WWIzL5+SZYTNZmbNbDEXyRW+pO2kJBKefR0dUgnOjcxibtlZiZhaNcGG/oMTEhLK/XKJWGT9JieYCtXTsfQsaSkzaAim0LOQlYby2TlCjKVSTU9LXC1tLje3jrseyt2ezI35qg57md720FvFJtpY38vbdfM2MFN6NOyCG1K1fEMcu6yzzw52cYcs2ybYejxVYaJA9r4SeMTU719gw5bW1xacYjf9NQk30s2IS+or7/dfJdAWLtgvVd57zu7JnVXr5z//Ec/2NhYQdC4EL6pXoLd/uzvF+OlSAQTSDNME3CmSIhRxlIJTWFGZT8Pge5hs6ns3f0c04WFvENOD7BSA0lb03GzOA31rjqjjtmjo2N7e7NImuya9TWDBFYjY+PFf8pcW9qd8zWt99lOE9tiCI2P6jKxM8F68ugBXwVBxLccrK2WELlpBO48ffJYJvRnn/0Imxg7NoQjR19aPn714qVaEYlZ6/SZyTGZskY++cEPfve73/3mi2cWobmQdIaQmzksYbhy9arQxQS8aSfHLohFURqDoyO0vVU8ER+q3uo9q6SOeThN6NLzjJv2zr6BQWfw8Ck0CRVmUyKYfI/VlXnDkU9KtxsTSKkzAkjQopn5ieTJOEZKfSOF3GdW1VyfT0pvKW9w+9WQ/oiDmAfhlgeJQTAfJeJlIQjPEhr1tcHOzPDIlhbRN6ylTOzzkZqBCW0SVrqc9N0/OHzx8jWf0ynxpG5R44uXLn945yO5KLKDHj58LEtlYd7JfnyUJVaDvhRuMLD5UhNj/f/8jz774af3srIWRaip7HyiJKh8ePGkjE+y7oI5k+eyqMAOMGd8FBaZj2ihV4+sKEJTYIyPVhIN5MYkH9/gFgkn2VyIwf4hA0DqN1+96nIJF/rlHADnnYr2ifrKVVdLc/hCFjUtUkUjGLpo4yMJwoHwZBLoC+4Uv56TyQItzC/aV/hHP/tn1AGZIIjcEGKKHaJWMnDOTUw5cS42fWeHNSM9VqLk8VEZf/Kn/2J0dMKQs83Vmuzr2dc/+dlPTa1+/9vfiDXoyD/+wz9kmsbGh39tbQiK5awMK4RPhEnowlgR3qVWKDaioCWFdUMM2jog+hIFqIODrLQUEpgnslxGCTeLhZKbMvtyhjayE2ZtZW5zZUkwPVkVTvA1kkzuhYDKNzy0bpAhkIGOYXjEBSBh6DU8MsYEy1jXc+YCe8hTEVbZ37Ga/k+azfI4ZPrCxxKNizYUzRcOLQHxpDccn5A2iUosY47bksfc3X3j5i17p9bWNx4+evz06TNfKbcewkAYBjADw6HfP/j0o9GRQUe9aUswSpexEG56baiQbOqNuqIvWTdkgScfy5wD/JJvl50tiBOUmmyGbouizQqW8/VS3mBACpLp4DHngpNMkmE5KCdOc0V9H9kilYWygUFc0C5SoTmK0c0GNtmKrGNHcePkLExPnefAqA1P/olaVjxZnmfPnouCWjx4+uyJpELpgY4u+/zznzkDn6wYqKKJP//b/2wm9MMf/gg+n376qenML3/5SyFMEMrs3sEOp4K0Nv+YNluXw0D84p3zVdgRs/LksxMsS4864B3kHOK0OD8HoRzRISe45DMpJqhKvdHVSIbT+IujBIJNVcu1uryKqSdnjT3dg2Zl/MfZmTe2Fcl2leaQeIajFAdGSljL+VvLFgN9vZcDAiaii/yYHFRbgBYIsbG53cMmJZ2B07pD8fT0DZgVycokZ4PDg44CsiBjPnZiocmuLP4y1oqpC1Pxmju6mFeDdX1l0UTL0R1EkvqQO9PbP2DKR7pM4zGbjNLwjuAmGW/eLj58+vLpixl+1arcgb4hR8pcu35JjMMwIEZcAmfZ0+XF/A3QoyZ9onlMo7icE3iMFCe/kRLDg6EnMSRfMdLgCUJVIZA+2ee49r34f7FuRM1Oh3zpc5ejbS0LkcmcevpCfZoq0OE8HsU4tfGD19fL8gbPUMJ0o1WKKILOnsGBoYyK7c17H9+7/+A+r0uvkyCZrBAbSnM8J70iBEi2nHx3cibl4anjnCwjMJ2ffPoD3xhjN215NDCkehJog9b4l0sGW/sQSR9+/vVf/7VdOp9+8qkJu4TVm7du/eGbb4YGe69evtQkb51rpgOaR2L0tQhoEisKYPHSTOb81BQv28wWV5CG8WLzBC2Q1RXJO8oWU2dC6BUFYylJXFQmXb7rMGiZLOQQXhT1Nv7sKRXLzEcBmxrjNW9twq8wIIvZoBFfzDOlquOSjzk//wa5zSL5YQYuF44clPG93d3jSKIeSRA1bdU4ocNwCOeABSej3AKy45CsKOdj4Dxsp7HbRThgtf3atVvGLu3LdzGHsZwpJsKHyxEPm7uLy+uLS+uk5crli7RClT9+XbReCaRphZaqFDDA2GjqnBqGQ4Zv8dnZKX0xeCCjACWUtLAky8s/MwybWAcyChSPm0KjaI1JgggsIGyxOR0gBcLhg2++4bvRxDjNJUYEYkoB82xMK2Jh1tckwDEXg1xy+3t8Lfb0TOoBp8exRFwr7iv58wEVa2ZWw+ThGGN373385MkjatgJcg7Zsjnq0pVrVKb5mw97zy8uXL9+7cb1m7/77W8xmgKjohzmRsvwveAmUcLQyTkOmUwcEh+qE9HPJBvV5U8BRiZAwEOGiI/62XoL77pQYyZJzZoXYIP4gXvbqI0Q2k/EFqdFt80OXEYqz4Cw8jbgSlBwGitMMBXGPHTneKGXc6pN/mM7wozYL+Jmfkx7GY7VOBrxZMvKz4sXz3xWwAqifYmkPEJdYvR1kSQmrWzw96tvfvFYn4110z0BLW41N4iWZsi8JVjO/yDrV6/f+ujTH4py3vnok/GJSaztg73Vl1ahjBxBJtzHFzbBFq3hl5cTBc8SNMp6czB3VTeAC0H+mEUiaPgZb7pgfIPDkVKmCN8h10KVEmrJmexoFtQTz8givcK8MpTkeFAShBGmeuRDQyatIXBrG0/REwUQCkzjFtoqUvMmRtY3rbHBhY0T2bt25YqVBvQxWzKE/ePt7R8d3PnoIx7V44eP37x6LYOUQ2oJSAydOofMv/rXf4aiX/zqC7L7R3/8x+bCPmsg3iYsYJx8/NFHlA4ETBtlQ4g1mKdLPzH4SfArWdv2rJp/y5FyZ4oxNDS4ux0Fbi/HzpYPHFwYm5wgXq9ePbMKrH0q1zTebFMIToagL0FY6BbuWp6fp5zI4vLSgkhE//CgUJaZPK/I0NS28UcZON4DWYkmayXCK2a1sbnB4VCW/yg20ZMveciXED1YEY+wBG6VZmhgyLE+PktnxCMQ2iAvyhrENCg5ls/X291LrWIDeaPqkAMjEdoEmKHv6ulzVGeHpVIzDjFy+20SnfdtSIarsaOr+9LVa5/+6CcfffqZAITlxX4U6hIqCr9ZUsMgucMOhSxg6eMqSfSWhggQfGBCpsxWLD9xK0kkfWP2KoAnF49g0T1GCGrQeQqrC4iNHs2tjj9paTgzhcRUxwbt8P+JhSmtQcVxJP0DQyNlfWlL2je9TjHTxxhMaoGiPEjknDwp3x0uI8rMw0TP0rq5go3/Gz6AvbN//drNF89ezNl0L59MouP+/uTkBLwOjw/MmM0/UJLKoFkpF7Jy5+NPfNno+ZPHNIqJpnW4jz/+mM5DTyVJs15bgxcENfD0Raba/+s//Adf3FGMd5TTNcCCJQE0CulGCZ8GOttPI4uaGsL3PvkEkyy700PEgnMKNjfb6iZdQhXHAOtwaz43ZwCC47wvm9Kpa32GBySsCGWIF38CMxzGPD42Luc6qq5EnAlN8cLjbRhXQgXQJeVcbx4GAy/miGnGqAJUCk66JxoGhs3KhITMgWzsUnhEB2vRHUeB5YMTO+WxnA7GYoUHhoYZdiNKsjLrRc3wNX15gLqSFWbObxvxQB/n2mcvkvxIukxF4QM4xMCvgoWAHGya2CwPKUpOZpCnP0iJuOsRJ0CuX1brW2otEoZi/CcpD2b4ZIiUeIVQblAbfMgbPHYeQE9/ZX1ZutY7QimvzPKGMYksPiPAEg0MDdg589G9j2EiNCpizoM0i3r65ClQKAYy5pJIGcJ8Az7uB3c+vHLtKjHCL7PXex/dM4SoAClgzj9i9eiXb//w7Ze/+x28s8NndYX90a/J8+f//C/++1//+tfkzMRQhpbJ2Qf37sjTF//j5FjES14oMpEAbc+9nd/dd1bWMPYjBGJ8++VXVgZHRsfMQegS/TSD2N3Z++DDD0fGR416p4kRROtBjutkbnyjxrZJ+lDeegxfOQHcRBexTCJ4GBqCXEIGWcOQynJqmpqmnbnV22vbIOKSM6TAAxRBfT5Njl+KJyb/InbHkp49zfAxF4OAVV4847uYZKG7QVLFC/O0S005+UMTZuBRVNF2zpRfMySYLjoVrcHmR3f39aQit6zFhpp2GeCjA/3np8YlkVLVWcne2vYZdAkkpnvwpB6Ud+PiUBFfDpNGIQ+kFkNf00inyorllo9MowD8IQkxvzQexan1+tZDtWoBMDGbR0zlWt2yD8KMigEi/UwYm87N0PbNWzd9vYwWsXJMgWIZCJJGhQyUTO58yfulNfDixs0bYlS/+uUv+QM+Avzwu+9E3sWJDC36xbGAUTE+JjI1zew8evjQ4oojjhiA0pc+ixQWxL788nePHj0Q/c7mQQG2nu4ffPajp8+e/e7Xv/6f/u2/tbHp17/6J661U41z1Zq0C2+fZTcjlYDhAHandjm5fG3F+sbStVsfWiVkp8RCcHF1mQYWyXLk0tMXToVjlYy8hiZE5anyv2AsdQKBTK9FJuURG838PmdWy/H1lmRV3itjkoz6Jg0IzUryHG2VEtwCjWjYeaUP0CPxzJF5UmeHTR/NvuFj+7opT5mw51Oq3tE9BAjkqE9SVT7jxvha/BGbFyCXNGExmpKgvZhUwmFJkbnx9XIWgfgSLJM44jY0ZE7aTozgY0mYKIAMGXlYhFJdROcUFot5QoYEh9l6i6pUKmyV97dfyKiIx9VMK+9PFzWiVgJdNj45mmF3x8hBDWNDRW2IdyAmsyjAT0pE5gwM0HxV+fzFSwpgNr/BDZuW3aSLc1IHEP7tm2wh1KcXL55ai/epGM415+TmzdvOo9dNGOo+L+3ypSu//91vv/3my6+/+p0vMEg+M2h/8s/+mH3AON4zCXOq1ujYqOU7g1o6w7J8mLk5X7F0aCBNdvHqFX3h0i3NLzm01mqmgGJGsIgO8ukMptI0hMwo0w3Th3PTU33DQ6zGwuKS7rMmrCSIBNyMNzGYMnmW+OHsqplXz0YnxmgRHKVpYuByRBMJ6bQohBwISvMJhBAgqFRPyK+LnJlkUOkq0g0El80lDLLCigoJKIasuN48DPi6aPVxxpFsmHzgB9NEIYDsznNUY7VlMlI/cXySudokNIDTSvrTIIYSFoJlJqUuW0++s07T3DAwJKrfE5zLGa1gWhJkczmPIp5EmYmHrer81EuXrvhkkKVc2Xby8RS26iJ0grsmMVBS3kNNAxhMyoSaBFPdWqR7ENl01UAm6ISJmZNHiZicGHacKosxLSn/qs++eSNyCRT9iTIu9ygmDWbhzdzFC5dkwkh55W7ro1w6PqN9PgT7o3v3tMX4MossYJT28Ql1+OrlC3JpvJggOODewSuyqIXjIw8JUrQIgHF+pdDcu3cXE03jzOi5YsINHNk/+/M/v37zxh+++drCxY8++4lvaGQzBd6LXj578sRiOH0inkRDGntiCowum4SeqEnsNiC+tEC2qgXBD0JANj/97KeT5y/TMoYvDwYXFfZcwxxYRi8Ob0ODUBHNoUVj1PDFFRQHpNzL60WpponJc4ybV6SNAySDSegZ+cwsTCaIrBQHOkzEEEDKVSa1bvNSi6PTLnuJfGRs2HoFxNHx4MioQ2qxDYnZKFEG9ogQFd5vVDQIuk8hCkyQEiE3UiVwITuqMIxjnsRAdsq3VR0lafeBUa4JTyDvlQ1ClrylCvKXHZxXHhJkiQwGQmylOR8DVyXYWxXFoqg0gQOxImgadYIFDLDwoUk7gwlhgTV0pmWF7Fkcg9PQMlpIJ5XjBgTemOqQ8QpY3RELVZczuW3nw/qab4zRJU4fGRsbdiiDxfXzF87bizNiEAwPf3v/27bOtj/5038JdbMHLgAbZbEBaoYTXnFhbYTkhzgURHbN1s4mn+yzzz5jfHkFt2/ctAvmm99/ef/+txtZ6qZcXvJtTJGSYGull5ESH/vggw/0kPgagkk9Oc65t+TasbDMBGeQ7O9ubRLq1zMvEdQKl4Do6xcvXj177gwxgzKE6ezCE+snly5fYb/oEpF3H/mTaDs5fd45LwSO4aMgqwnGPGLET7PvCgvxwKguc6uc+eP4V0tMxrcsHUNZigE7pC46Chl0Ou7iYN+uCunABlbWWXeT9Ya+iI7QkNQj9341xA3Xeem9pn3YwxNiPOUvMJP2Dxn6sOVNk1QXF5AsghYZPTt1oBwNbcQBRTQpP5zVX2UkETgaXv2+3gHB28wPqOemfHSJ6ClKHXKfqq4ylvwNPqz4EpurmwjNgiPC0d6BYyDYNWE+69lmynCG4cnRPp/NptDMBspEgWpZ8kWGNzPymA/2s5sDEM1NygOQvrK4UHZPtWYuale+Dzjm5BKflj1njdzhWLrokOrbH94R87YvwFmP/KrZN68pg+vXb2KBeClzQci5lA47sdvCmZjdvX1/8i//1avZ14RM8JxAQwaRddb4uXHr5uc/+6k///D1Ny+fvRAvjjtCo1CkJg9impDRKzv2OdACECgS16+r6+XM69YOpyGOyQLjwGoVJ0R36Fvj5tnTZxoWi6MOqDo7rkQZRI58UJJy2LWuNzxq9CJ60QrZqcHumIURL72n8GdfvnIkFfeQc0oQr9+6iQcWJbBB+gp64TSOGqySmP1BvIh7whp2uRCluDjdMsDQ3l86RarUNbL1jjaBsBQZ44RK80vvqmUigMegGVrKEB2kYWQdk0Ps+L8Y5qI8PKQuFSZSbBzcCJwmoEEb0l/MntVK8kHEaRo9hS7phwk0ZJfzV1RHbBJWZcvIFIeTDCZUAQd1WYwoey7/mQ9zOMNn2y3grGGfzwp3dpjK0DSEWCiDtI1PnvPxEiZCl2mR6f4+thhbYChEJ1rB45NbvOw017InQMeNT4Nn9tUrn5368U8+f3j/wePHj8zPzq37eOxjNs7sUvjJJEwig3nl86fPRByJ0d/957+5fPmS1TH0/NFnn/GgfvGLX4h66ovpcOgwzCAkD4KGaqJkrOdPTEwiwcL8HFsrPhgnxmm4ozkmwKYf+sMTy2D8FeU55vYPW++dn5t3EKjDOeTIsTtcV0OMxcVDdBQwYnsMT19bMriRSXIjL8psFmbcUgYILbANKsSGB2DPaTQA/65s7wFKOpiET96iQBTWGsQ2hnNBdAN1VKQmySuWsT1lNJsmdqECpmoRMJEwtVQR8Pa31skfzZN38k46KSTMZXFU56lgvTCPJM+kH+I0sTDkxPgIHJEi2XAmTwxWlpOLN138cp/VmbfPamNtxXIZgTU8KFoE1EHshI92zUU04CIHgBiuPkGb08j0xFH4xsxJkrpEOtgs1oAI2tZjE0aZLidXwgYHp1DE4CbVLJOA0fFJLmNffzeZE5cp8/E9CR3alQazurhUcm6P7aSwZoWwgkwGEJfj0aPvfvlf/2l+YY5s24/645/8TGRRvo1PQ/z4Jz8dGBhaX9u0fEziTTxlySJRcUCbqQyriqTQqjaH9M/+4t/A8x9//vf3v/mDZBtqRe+aJEVYc20hASW9RmQCkywViR0M2+/hc50zr+GB88K2+mFLj4drG6v0lsUdpo8fs7GyShnStML8xlzG686ObwZRMVi0zIF1lK0NW05Y4NWub5jT6mFSjUvwhiCa+JAGvgKBkyVkMYvHiK9mkb4NgfHUCNHDIfwgpuSEA6XPyEfxUGzS7jjpjIwPyLtABhC/2BvU5xBZ72Xcba6uc3vcxWwkgAnxygatZJGfEVUuLV8VBG8pYxfCuYD1hKHb2aanR85fuIz6tBMw0KA15IXSenSVTVEOvXVcwv4uoUlAR0WTWUlWFY4noBGUImSnlue3dzb4coVzmd6afRBKiRmGn7pcbL5m2QOXWJrmjA51keLG9Ru+FczEA4hiuE5ez1+84BQ4vpFFQ5qSs7e9vvbd/ft2EzEyVk2ktTmYlKl1+PHLZ8/+4ef/hXPMB7WMj9E2rcihEu+wx9Ac5cvf/44r8oMf/PDmB3fMRo0+p4gTEiOHSydXihOMwteu3/gX//pfSzH6X//6Pzmj4qJBqQFsMIgT0GptM1m9eOUyB8LREoYRftN4HHmnwBu1hr/+i7DJprAqaXHk1198gfr4BAlwsIvAiWk5E0ZJNsOHwSyD6BIbevnqVWsy/vExBYipExMF0PBb8quJgdkozc/G8U7tpKMgUQr7y6hOXgAtQJ+zBbaUsG1yt+k2K/wOW7dqQ/+zBZhNYylGM4SvOXso53sFTixR0jq8pd4wCYe4q4jurTQXfE2ooqVLkFABgqKkMoSHRqb6RFULDifSuGFmNALIz+XAUm8kMkFXSk+eDA/OocInpxhMNYKGOJQYfDigOk4NCxJ3N/huQFMWPcuSlHFl1orHvt7SfpzVbn2hHoxbkTsuMV5kALc0G6WsKKqKCd7+4F7sgLn8lCNJX1JUvIRH9/9go4X0Db4URvgEq76sL6/Mzby2LA2BRILaJba8PW049v2Bb77+em52ZpvPf/sD5o1WxmJTNpj/5otff/vVN+IOdqti3/nLF2/d/fCr330p4oD7liYgY/Mr5febX37hyNBNJEZQMzjrkf1Dw/R7jENz08KbWaJ9wznV+UD3Prsxdi6Hz5rcnT9/Gd0Mb1KEoCrK2eKNIbHFcGxGi7g+NizQWyenFy9fQWsf4bUuSRURJhaLPuexiNJ0Suctq3hSu+z0QBHijx82xVKKTt+LAf/fe7rP7rivMzHgqETvRBn0ToIESbFLXlvNsWX7JLE3Z70n323f5BPkRZw3cXZ9VrYpyRKLWEEAJHoZdKIMesnvuePNWIcGBv9y79P7TQPvQrAoCEtBP+ITpYbS2RcNlwhH2dwrHZ6EVOXe9gcMQ8LtH+07fo08DpdLOQpRXBqt2NAPW/YOl8gIRXo4Ec5YQ7vJMYyogQ+CBlaU5+VcJzoLkUk1CIyBgBOi+YW+39uReygRLiYtTB7Uf4OGPDxSj2UlqMd6iLU47EC0gnNA46Yxtap3rInBTvazQXCmxI+EGdHIJcQnecomt1h1yjGsgxLu7u5zICvjhjKprVMMUsRo8WF0U/c0VHVltWNRb92+Y2zyhx3+2rzoJahaT01D/dXR0V/84lcqoYUebt6+B6wYhh2iCYyxi3PBUL7/x6dPMCRJPTp667e/+28mZqxk5+sbqo2r/Lc//vGbv/y5vKxkcmLMgUKS0y9fPn/9/Pn42BvGT5E6LwBlURIKBJKUOHE1fG3EEd/iKKLbOvUc1sicj9RyDCcikmO6NZyhU4YIXQtJpDokISaWGTJl1xOkRDFzGHzJFTxtJrGogRvF9HBeFDIcaq2JiB+LlZEkU+PjRuYIoKsyYESRTsDEUKX+WYHsW5lgxgq1hfXZv4kOor+Kl06rpmhh2O+CJnr35GboosDNsRNjtuhQhhRaQfrIBYm40uLZv+w/zAPFrlHGRXb6k29dZl/MKS8iRLUrsrRFjOXm6GIfZGpTdkG6ixp4GnpCtV7hgw38R3DhGQhzva1ZQ/T0xwM1iTUKZPA4FTmJALvaydMhIyPAUQkmVkuoYEJPEN9Xtq5wPIn/fX4VWlEvhZks1Uw2fgZZTmNIqRFgnPQKwr+ubvDKFeByngBc41M32qY9oQM8Scxgku2tHY6hTianIgL+6I1bTBBPHhkZefHiR6EAQULBZCaNI6cybcoBG1mcfA0DtIKlDWc4OPjo1k0NcQFx215fWXZcLrW+JVKVzeY+RMUZ70BkgbHMCKPCFYwTgNZKAiu20dNoZWygPEDBCG7IM6SGzkjk+OFSKZkMNEgtDXAzcqkGxCGJvHGj7nWxCVfSMnAsKYY6wZH6owvycUjKJCZ0pK4vHihPDrbwsdADMaMlGA4QJHUZpAE5KdmCLwlOdnEMLgurnPzw7JBPFAcvOqWqIx6bJyzgQ0lKA7Zj9obUSIzPhADPdIGfAcoTgkYIsKoqbenx8HTMAs/DGlzpg6ooIKCLqpJMxutQkttdIyHudfjBThE23Ps32oT2j7bXPxwdnuhjY02iaNTjOcovlA57GkHlRdHMfXYiczBwZUhJLGHGAbRsMOcDAiawiFbIQNufcSDADoxWK4CsQslQSTk+skNHoYig61X5LS7OQSh+o8GpERvXNq0fiLf06OuvZ6emAIqpR0DwHEUu+gb7VcU9+su3UPPJzz4LdXBwhP50PeG0uypqdnZEy+SWIor9QXZmaxWqZf7pb4vGqFOT416ZybTjQmREGduJ2wgP2lMV2NLcNDUEVfhB6oYIWV/Jvp8Y549F4iVZNioQkArgJqKJThXGE+vSebJKeUK0HMf4SQYzZ9NlkkIymoDV1dt5JEu7u81qRUmkKV+R2k3h0EvhLqSpvUcHhw6+YTHtbG3vb+8WGWybyu6sk2fOc62tlzWPmmkoEW2CNalkNrJvkEiMQjB/MMkb9vHq6pJgufoCcgXToyo0AVsWRlf6gVVLM7oeocj+UvQghmpZ/fjK7vzrcn6lrkBpyb83dKSgf4JAkZg6ygyAMD2dWqgJ2AQlLsWZpFal0I94SHNrx/6JgVtzSv55rU0tLaYCGRLU3tmrHd3hULK3PJCY/HaqpzcGXzVcbrpyfYTdglzEJCUEnUQiLoBVHJnx8Sc/tSrUI3HEO/7x2Y8ElXwuYfPg4UOZ5h+fPYtBNxfn6Mx5MFwwMzwkucurKn/3z//kwHeZwasjI6KgaFSAQ2/+ZJwf1oZ/lnV5bIUEnRgfQ6LyqoTWZ59+VsSTZPcwIQXuVeUS0kNXryJtJpRgmnGNoKB+gSxlDmsUJup9BDZ4UiGiVlYk7IQPRLTID6ChvcBeBJJkQpr4CaMDKxYM6DPwP2zbGEsDVdqnb5EQw6W1vaOts4swcBfjXhuZihEXLi4s8cJYfSou93Q0q5zL7fFIMZ+1cYu8NKSRs8dS67OebDIP+kPvpCoo1ODtpKYF5FeCH8BRiN8T/ImyQw+26Vvy2GVkBgDBk288R1CZ+hOM5X0oCWSSaTQFM8cUSvcxSPnI/qUUCCHQR3boS0DEqGr1JGhO3JynrOBTnQ3BRwygTg6HYhfrF6ZhXfBoLI8JYGxVPmr/4cOGEVyCCCx3z0zhEvY3ZmxA7y6m+ObmZoEUj6FC+6W2TFpX9yeAIRLJtpmZmcZp9iI2oqTf1AeSjOxln5HpeJwwPi+8+C+//S3r6/nzp4S93LYVTr97Z2YG7CzNL94YvcH0hm6IYKRyLCYnJtR3Dw4MOVxBi97nn3/hvCo1NUzqifGJcI6cHuso50ulFTvRyrGtqU9Ql2GUDks7e/1qTNxPfRUjjgVLtPLgcIB5Wowk+2cemX7Gu6ZllWLKUoG+YAmckQf+BWi5rahHjSgmHRg9qFQkUAIQAC/NzfHPaTEsqJCBQtn/IFiTo5GQLwGmwZcI8QS2XOPlFitcX14h86l6z0M3numUGMLVE1gSfiVM0YeHA0PQX3WU1yE4QihMqOTtkxNhxaGF1NFPi5KMyR8sAXHCA26CsBxQzdJPrikjn0YTho2KRacfHO6BPDsNH6mkp9BtGi1hVE/FFUQTr83C2JR4Tz0p8W+nHuvw3z/+6/8hmO3XIlEJjhVSz23HzC0XMCUpEyKfH81fwIGCT0IXQQ7QJDONn87Pld2R9JSX20lZUAV/pOalgCHcxMAYHBp0F23d1tERvurJ0Sc//alWBlFyhtHw8DAXniBk9QMmWY1pUeq78bcmFv3uH/+xp7NbzPHmndu+R1iD/UPEyLOnzxB8cybDsKBFdeCD2ODwlfsff/Li9ZsiQSMAbWxpralvaM20XhkZpmuVN1u8sDg3gRMyMNCPHKyYs6Zlm/kFCgQSpxfEewcHQHBjdeXN65e8sziNPpdT9CMq8f79tPoHoiKsA1XwBqkuLoIGjhS3xcHhQu7t2Y91aWcl/CBef63hoaRr3mgrq6zKmN9cVU1UuYwCks+raWqqEbQU3HVieVk5vYDyUIGFwRMg6pkRwgUFkIIkmEBCfrBsIgoi0ROxRGzEz0hey1At5yQ6FNyCKPFlngpdGQKxyAyInVIzsAimJBExOmZza7RZl1d29PRHtaqqgb0DU2qZgkIf1sOsDH8kKvctxtTkDXVzTx4/+8P//F+Ar/XRWRhJeAiygPoOa4EEBSVPBhkygGlLGqmKEebItGScpnHKIj6m8t6/e/tW92mUmP3HmdzQBDwUKwOX9WKuPYV14/Zt7pWVk3CoXwfyX//9T719EQxaW93QGqQgUab4p599duX6DTEz4oqHy8KDMTXNFdUVr149F3cwXujd5Hvoo5hM7BXcQO7qujiJ+J8zB1DgrzOxaGUt67xce3ZmK9EotA8bVsz6QTewTvdhaKhyA7Zj8SAUZA4H4OrfiBqUVfT0Dw1fGUG6ZJIPuQEcYENeQ55orJaKTFeHQgvmlxsJZ743S4sftaHGxoG7EUeINgFVyxGPKOePVPmBHyDPw7khnFBbBOzjWOxKuIqOq4g4KD4uU4RgHA+dQQhhLDKVfvEiS7VyeSo4Ri7CP8gFTZNMKCYQliiPOQKrzlcWZfcNEx2FkbUWYP1i+C5gX1F5ilswCawnioxJ4Hp2BDFU1tEvmIpExPT24hr+CQ9Q9DwROTfzAnv87Ztv/se//Mvjv31HhIZnmA78pN9t2TPXN9ZBzzHV7Ke2zg65qIWFmVT0Go1SPkx1eX1padrZ9XYk9usH9CSSRxhzxcFzY2tLCU2mpe3pDz/oSLh79w7dLs7pMmYCe0N+5uHHH799OwYjbF5KmU6QUdDr7AIz/pi2QCcOZS8ANTczpwyd1w9DYocCygQQ3wjKROlg5M7du0IE7yYnSYSixsttwsd+cqwIIy2YrFSNhFNoG2gKhMxphwlilo1k6qZgCbhjenjCnTT365eveI47e7nKmoaW1oxgBOsEA2nJaHRkVGcn4rNtZERH+NUkN9oqr5i4PHYlzou8RGmi0Kqi0oREFkxhCUs/pw+Sv06FaPbTJ6n0wNXQA0+0cF1NtWKa5YX50F8p1E7LwGL05xSXig9F9Yz6GUP3Tw4gjIiSGhKqYv7nF6CQGN0QEjalvtnxcM1tGZsNyRc3nzMeg6nOi0AwX10o4ZTXpIRfVXWd6mKd9LxSPVsyH9AezlXUnm9JbkYvVxxbX60eRhGVUjC1XILmxpdItVNVALi5ub69s8nmQ5FeTZYTkUyxrfXN7MKikzhINZulvwRclGH29PWhYxAL+gg2dOZ5gzSojfQPDbByBYzu3L6DFAhCziDSJYRV+AconKKdaRfQV4ykBsaVgkJszdt37nAOX7980dhQz+8xhRxyJcHITu2E+IrEcbaqt3E2CbN7Dx6wtFV9WipZRYDM6cKoirgMVrx+/Xoc+tBhqoyuefg8O11amNXBQwZKT4jGSHZG14/O8c0tPxBgoIk8wZrYIK5ZyrSkQHV2cYGZpaJXzkQrNNwzGx0O7md5Q9rVKEyU8W5iXHTFLDlAQVF4mgBCzqSgcBz/Ym/XMTIXrR3d5JtIoneiS+D2ITPQq7v4SdqisJf1MBXFUBEuEWz/fUNDwCfDzUpXgS7JA1vw3NjcyswXuIPFCOla37lAl8oq7qEvxBSigNAreBWtHZna+urkG+7Ldx8dmghHUdNHEcxCi6SF8hKcRXTrqZKEJwbsgqKhd4grj3dlSD6TEK1VCLUwGjBNJamraezt6dc0K4GJaj0J4jFq+LMmcsuQbG1asHNEkYwJrH0DwxL/jEt7UW+pwR8VpxgEa0TLiaK/+oGhK/wtWT8xRt30oeVTlATV4mEK8fWbsTevxnBdygxXEOroA1mzkkW4nd5rQH9Hd4/j3Iwe/uyLLxcX5w0/kuoVuRDNEFsP69mJDZdKkTWz/bu/PuJBIGJC3fzItjYHQk/aEcq2eBZONFMgQ4UxNJGnV9VpLSrp6ukBKfoLwshn4NRKxjulv5Xj4HVhDxwMzaIXwIG2wiYvLJybmaFSo1KAM2Age0wb33QCrL/haMTEmmYkibLubG4KEXL4hWGRPItG/ImGkvkx4gKbnocdHoFHUUFeDABZqkXy+TGiNUMGCLqAvjMFWuhSgXkKE+zih6AcdR2pPcsuzo5OBSOiub4mLoMYNMS9JFyZzUzgpLXDz1Ik1ds3RIpT6cauR0ftrjmRcf62e33C0td1U6CtozIqZlXyUJw6OmJuYIwhIdlglMbEexBsDSiMToQDdpuKL5TkjCQE4XUwR9G4wCdPjulg6UKuAPOfGiX+Sp0XbPJXKueHBSKNeLYMgtCuuVMEtqAPCFsn0wWTuoweRKZ8BZMNSCmvJudevHhJl3b39joGxy7kZ7766isupBGPX/7yl3x/+IJc3Ghtn37xpcWIiYjOCwpqqllfWYIOPIM8dBECoyu///57dcFDg4OP/vwXRzPYGu0ZSSjoYfxbHGIAPkKvvbsHL7JLSGDL9bEDthvcY1y/+o7olpNCyJjABmDXgug7uOecczh7BvtvP7zn2DZ5BkY0qJmxoZaYpR8JMnVdlxxRvC95TAXGaN+FRbGGvv7Bzq6ena2tcAspRJUV5yfURwieY1lF89krOCzoUUZFOpZFSGVRU1qd8t8jFO9ilkIzuiFfIdhpTSSNKKYIkzHm9CFqwAsitEIqPDCFVaJJUrNMB62kys8YA1Th9OzscnaFaPQzXWMZ+MpLqWxCxOICB74i3SXLBFjxW1gD+8I/rDTUQMSG/NBSEbOy1DBFg+7Y2FvJfj65G0lNKCCSQ0TFWINqXQVMbN9gCctg67AmRSkdcS1QbFPEc1Rt2M/5Ob5jwre2NKPX4LeKMpk5aFILpYEH/4uaBImWFj/8+JOmhsv2IjZGBBpDqvZOtzrRsLm5Bn1sxL89+ivGkGVZIbPejYsjSCYODA4Y8WB29Nrahj6Z27dv64nj1XZ3d8E7mx20KRYxNF+jSDCJAs6k0Y9V/AnWhePDDIwjXLZX143fjCHEQprsROu2DQrRI0DKHnibWsAE9tUvwDhTRsyTb0XAEte0hbkJhD+I56NWzKOOrg6mmeA6MOHU/V0noJ7ygbt6+1gnoh04np7yOpKSIDCr43KzUWkNqJCqPT6LkUHkH3CLq0EVn0ukCAohlap2l6CwJ9gwCUEQYgMcHMK/vEwoEli5UKpslW0hQTLIG1GYJ1B0xE9jY3NnZ3f/4PDA4BXeA1eShQBwBDkSCS1zeuYuNCZ8YAHJ3gKPM8rFNeoBGd0KBzRBuJ7oEsERdEUP7FcRfdz/7bffzcxM8ZOR+pHYdfp4DlzYMq6DYxVpqdZP/+cWa5XAtnIURlWSyuJVJjUSG6JQboSy8TGajnBg/jo35ELRAJ65desjQw/0UNijunWzrBRTeVvFpZhexkN/++a1MbV37t3XnDb5duIn//APBOrczCxHRN3Qwuyc3j45UgGtv/z5a4XzjhNbWlr2ul//+jfyclRY+Eah8QuYB7/69a/YAyTo6K2bRVIzywsOK28Km1FMmond0ChioNlLbh/vEqp0weCVYVqyJZPpG4iqXGcQGH/Q19unWI9/QeWhK2+yaCrGVjGfX1WN5bveBELJbY2HzE9Cm/BwJeMaaFxJRBPs0ABZUMUkFMsWV4RMoRAxBQghjxqbWtjCQEbxknqWSPvwE9yCaZKirKNM8bpfERPqxAOKL4huwsx4FxtEZ3Q0PSlmQP4QdZ6AqlAMiHhRUKZzHFrb1IcIb4ayifpBVHpClAXpKT8P/BjDZJAOcyr8R5jDdfyG8AOcWhUTyEN5EZY4kMEQ3xwdf9j88O033/3tb9+jSxk3Ax/lBmhYcssb8AMiZgnQ0bgUuIhkdfNYFuFaud552sJlPjaYIsmbEeZUuOY069QbbfFa62xKh4touBHUwhNRkOlQ2L2dn336M4ULi/OLjr6RH0O+OvyITIWvhI04ANIhYTHAxodtthB/xfJYMOwZ+xKmIg4pXFROKKpUYtrDyB/+9x8oRJXsMOIurmUJX1H0l5pTJstYZvJWVFeqklYCrx+os6OHKbpvblEa4CwELAXmMgTQ2dUG8cYVMq3wDbq0LH/VcA1GkMEaJQekJyUNtE3qRzGdk/qABn3cKLKqrm51Kcu1xkTrygNjtkM1rTr17h0rOJkp+/LY0I8K0RzhRMaE+CkuYvYqidTIhl1kMEQOawtq+QEIFIHkpCClGssviSgwDtyCsYheBIGxRMpRFGbAA6KneurRov4euA8jKY6rML6n9rS97frNW2/fjE29n5InFZlTukzAEfUEQ6RfFAscC0BE2QkLht2vURHLmQHPqoV70KfaWGkQE3Jrb48n7wgd7aI8FdVFUKVxjJZmh+FGH/E+aXXOEksZp3kISsItpLKwOEOQHYzIgBe1SRrpiWhKNW34n5AmzqEZ0NAZstPRbrUy9+pwiDE5N0ENk2peTL+w5ms3bz598hRj5ybGSVsNYZyMG7c/ooiYwp9++Z+efv+dorppk2aLTQlccw5PZ6YDCp4+fTwz8x4eFWMxS02YIUFFXNCSPBJBGISlQ0jdqtguoWwoPmuWmYmEsSxkgIJPwFGG2Fzu/X3Cxh5gyIoBAkQEvci2gFGMElDkfi5YYvPK2JksU+n4A3dxrKARhnwpbMHLZxVR4SgGNXgjpopuCydrdkSJGZvRQ0auXWPAchq0dItt42Av9WrUBq+aaytrKj0k5I0iBYdX9XQxqLdWsn5XWW2pIWDOThkb1Jm4Jdkpq0fA0Di2CnneEtZkBMMi31dSrNnyAnD9SXCErGLrRRG6A5WCEnWPcbiFGM4ovnotWdHFHzPTAIE84+RTdjh+YWEJz3ggcaKz1w6Yh9KfYN7U1KA5RkMIx9WNjAcHWyMLK0HWHigyB98cxvD8d3cUQBj5dfphO5n8wbdYDSmz81C0QIP3OjiDVY6dCNHqlirT976bX9iuciJftTyHXdy7f58hzxdmD9AbRKlDD3h2CjLsFK59wgFcWNzYXPcKB5RevXZjMs782TChhD/klAqYoqbClTk87Onu5FSx5PCtHkOCgFS8/+A+imeVltBHwg2a+eXnCEZmnTKOg719ayV7yQOmXEM4UzXses2y8MA4Raee4wJ7I5n8H16HxYtaoV6TpXOEPDYm+bxbFRcl8m58ErIZ0aYpWyWeON50HvgesqBtjEmg2jin3g6OGA58iUBYQUeWXnapneXhGzREsB0xj3f2ZCGcjimcLfpKXPEmGXCIRv0EkFk8KrQ2Ek4XAuFEctCDeCTO0m2ywzK74GOiY6lNmgtXHEQE45xTJlcD00S9B+q2deX27r7iPZkcFMqN9CBqEuO6DH0mTokaJLMyZmfnxscniVLcyKf2J3ypRqO2VjA6kjNKkBFZVWEc9Pp3+k5HvqMM8imyn9HNcmLvDFOZWcecIUFvjDD97m5bS4tZDFoFw28sKgpTneUECxdmJL9TJq+0QtVk+OPFBSIgAGiJZowf5A7AXz8FiYHOCFEErdghH/Zc35hkk1FwY29eS+K3d7ZLtVEXLPedrZ2wcZxgZKhpb7+ZYfobVlaMKtq7VFe+ub+FfDu7O9AZY0Fms+T9xFvHpa5mFxz0LghQX9cvUWXCOxzAooAQU6E50wFtW+tbIvcqy+dnpi9SeMEr7QfmyBvWJYZ22CmiYaQwYGmX3YMdIy0FBuU3lFixrOkIGWOlAxxdsNa1HRP6cjlCLiaJ1Tc4jWJm6r1+Hlv1To9Fo7sx0zxiHNKXXA/pV2xH56IneHVO1X7tnjU0tWp50JIP0XFCE5SGzbufKz+vaLrcSqSKrevH56SjWl4VtWggEdQGLlMOxXGoLODistK6ssZM/CidbCr1AM3DC1pcWtmcXayLfIm4f1RrFRTlcIXRTewnpO5QGnzS09dLzKeKdfGkMPEDIeb+lGkXr4hasDjnvFTkHjA4QzoJyAwbRMHI19pAlGlVUSCAV8+dEzqW7k3JjC2GEeXOneIzojmmY093n0jSs8c/mPHOJuYG6sCRYeVCOenE7rQHz8zO2UzEizY3OPIqaBbm5hnT10ZGvv3mG71zVHBbm2mj3Zj/N//5v4If+aS1i4jGb1UV1VLDb8ffsG7MqBkaGhYSf/bkucGV5MPU9HvDiOMkBSFrxSS1jfMz81H6w1khe6AcUPhfGEW9qHCI/0BcNyw4EmbEAxdGcFIgUSsYeiKlVSdDLTcVZFkq5DMgMoZA2TSajVUn4jlVYGWjeJ1RxW5gAjPxgIZCRH5Bi0mNYWtgZUBQiML9UauUhluGpqivE3VGwSJeTEBBfRItBi1+2KbHiSUDVWHIYqKzJaoYAHddRJcpTbwr6YQt5IisyV2AEA8VYPciWthKxBcQIguMV1FaoFnX4PWjkGEKLRubWCZkAhpOIYlKwphgnppdYDmgKxMhbt++dW1kyBuk2AgAKyG/6EzbojcVWBIVCs08hKAKuzMOZNDHJnduAnSE4pAIELLBCQN4pctoQAkAEpWPJsuEZ+KopeVlBAEtCB0f0u9mMM3NzzH2gZ2o21rb0MXFXH4/9S7ItKqS/8Ra5U/gYdWkzCAhK3AgFuhxHTh44N7HDycnxgEf4/Fd5+aOOLaIm2bH8Ir+tKQylNHu8vICGmBp8N/9SdWy5noO/b/96/8V3BJGwTBmJ8id641O3UgOpS0QzLxQy8yq39ne5DSJztmlEiivZBjtxuxUXBVzO4RxdDw7HgI0mU2htopLFL9SjGSKwymUykQss7X1uLlt/nBegohPxXjnbED87uEOkc65YudCrWCxKDkOiElXkSjcEcsmBqwercA68W7RXiTJAIgUVVFhqzeSl4Elg9HN+yO4T49NvUEuZhwSxQSeECuVh7BCsgjnixQkcxjPBQq5lgH9qkglpeJj9qKYFq2BLMg7ViYSiQBmYfF5lRgmglR0GlUSNi46hIyc2EsnKrQjVjU1hBWxfzg3J8Qzh/6sDdCciIms8DRnQmkNXQKM3ug5+NkO0DSJhbWIUu1AdFPD5daOrh4FpDwDIk0rnpookx0BlrOJSmhPYA/JHa0j0f/Im5Gm9kyuGEgiNbbRL371lSAiExm0iQANPCpFCSF8CxHcQDQk4FxwKk9gJYVUJEdeKO7nX37pZIqxsdf8YgaDEW1SILfv3lGBg5e4BYZ1kxE7e3uNR0dUra5v2gb0Hj16NNA/oMg2Yllc030Vk0WFzW2tULiytgrucjUkjcj4cEcHEeYqCSmWx9LiMu53NJl4CdGC1YLYT49pK9P3SHjUw70CRca1vZEZuihTOG2BiNKjgXRwQ1Njs2nkRAiVHKIlDUKBB5KJGAM7pX0CP9Yl6cZdB3SuH86HXgvwrms34lQ+OtotqA2xNNQ3O49PwJ6ZTkpD3t6cKUK7nibcYNra6u4e3KgGRosYx4ARBCbD5TnOBY3FX5Dfx9BPkoKLMtEYq2RsP0npOFPio6aKwBZIADdvEh7jr1M9GGVi/B3ZqRecBmfJIRQGPjIFIj5gykwoTiLRCZcT55YjdyPom+uaDEJAXjYt4KfNtaGxuamxxSiu1Q3HMS1hOXVK4p/GsGMb8XRS5C9/+lNur0qJH2nX3tYeQ8316xaId1R2V3Q/ffpUWJisUsMKwiw2tRAsM3ofihEWTcp8Mb+UBSm2PjE2zvXrHewXAeDcoBv7FwhBtSHwcvsvnj0jR+8+fKgKSIub7jk3euD7icmF2XmQlBRS0aBs8PGTpwJmThuISjERKTSkgl/IL3GSPHn4q2sFqzbPYPJoKgBbIHVeWxSBpJNXKRi3EwlAKb2j4Yc9yIPDFtxyuR0/X702QsjbCbVE8rPKyHB7S05k9AXZ+dzsHIImw3Gw6BwUikCiPxKUOlYob8JTRD4rKhJD5/AltrYfR9hEvDgGWYcC4nEqivXxNOQoGoKU8YTKTrxDanoXgvBqtKs8QmySiFQaAPQQwzjjOdIgPAsXeBKZxDwXx5+e2UDscE+psZMIVOqJOpD8gwYyg1TIZpe9mPpjnqqMJ6j4EMQA6RSJCglpBfUIrizKPcL2CHkWZS0BZNuLg65EBFX+QPyyCDOGYXfKfDAXWEeklxtERxWTGm3FXkTyAo7mLtQ1NvCIySEroWpYV7bZ29NDqCAIvGcVhCKN39/XHz6ykaThBZebucriURbAgDT+3mx3foD6vCdPnrDSaCdk7WXyUypzGCFdXd0GguY1FZNfX6v9ejBRbYKr2Kknk88OlpYrbFRfh3WpNkddaUBVqCxS5V9rHZGmTpVYtqHSTeWdTnkiEcLQIXpiKDADLLqmvpZ+lISGV65fS3sGGfmYkQOALGWarLOnC+PqpTRzy1QncHFSmTbIqGtDFwUF5pkwPxlVQM91oHNRE/kHwYQmD5zfjrdTtlgYScn/plnfhBPiRi6O8fAYe4EDqlj3NKQiEUzikvyfYJS7uq/qWaI9pfYoYnDnbqhe0bENiKQe4cEvJowBnYRgWSpDtDCyzGUoK56TSjyEVdnpjAeCKDmI1H3Ip6DCqBOpkLpzFxIUt5JJciO1LiFGITr9ySGx+DNuDmf0nNTkCiwbZUam8nKcBYmf+EbUTBo/uZZdfj8+bi++Jun9SUbLWFG0vrN7ICulMEm8g6iHOIwEFNR9uEo6Y3NRnPL0h8deZaLfrTt3xRrYYYJkYo3sB4c06QD7yaefkgg2hMO///absO26uqVVpybf01Euhh1nQrG6cTWNzzz4p9//d5OOKSimGFJjwxQJKamPZgxiXOsgmYgl7EIlo0eqx5doKDFrsSoLSWjPZUKqFyVU2D0a/1SsatkMJZgzYIsZe6K0AZWDoCCgAfZxDhGLQNdyjBaK9mGF8ywkwToELtatuBHsaB/sBRYJmJw2yI5WrNaQq22IOKghjgkQcagR7qJl1AJAlXuh2RsgiF3Pjpb/IgaAJkLeB4fAAQpJ7zsvKIpLYTGJZ/6aXokjzj9pV6EOJ7UQWid1qQ+YAFCjZWr3wOCQpbqxtFxnVUyYEe0PEy8ET3zi5wKmEudOSV2hwLo2AvYs9cpUj3FMZpsbvZQaNLwd4vMrAVtqBVRBz4L5g3YhKURN6Gb3WOW7UpqQjbaQIfcQWXCcOX1QYFP0O4hZm0iQsPatO7flgV6+fOldDIOIB5WXSyQAAtwDK5mqKNd/HUr2CguVzPcNDk6MTyI7Lc5zKoyjDDX3+9//80d37qUzVsItFT6V/b//8UOaZHVlUXxeGbRlWzDc412Ll1UEoSfffx8nyON1rInRJ9+9IxX4hsxwN1AN6JHksG3ax8/pfeaer/sRw3F9kU7yYaMWRQyTQhSpk36yRCBgYZA2+FXkk78tKGzD4g5MGzgDJkQsJE0yUcdkLY1JxxEkfgAv5ILOhOMky2QAIQnq6GFCxRgSkRjqFbm4xVv4AW4hJWgs32AVAjW8ZWdzbmwwp0HZ7UDsKbQGoWZTYIGAfCmgEGiOeddGFhaxn7hm4TCm0jFub3t7J/JmMgrBE9h8z1TBEaZSUFUY5GqRUQ8JaAlhYznzQ4AbZ6ai6LiMMgIT0Pavl6It+tR70RZrL/gwOYk2IkILL2GoXQhKtVMsli3C4i5AZrWoqSSIzWXkoUOHjXiaLcsr2x2lRkbYsnepNRDx55aJhL9580Z8HoodFQ4dz54+9Wq/Xrt2HavQoSheXsQC+I+088i1UU+Wx+sbHGDXixS+fGlEzSuODhcSkVgPIJPNmhZthPY0rVT1NhiUOndEIZmLCCSLI9DJDzV6UtGMFVoTmoUhPMJbwZTpDYjaaaw7rw60xeEqFGbwC8cQ3bjGTBKmLsFGOQOoynOlWg6+8SLKwzFAQqnwwWAkhEWfgcnGPux+EBcOS1NtquFs6ox3tvGchD8KQ4iulBwVdRO/YDmJyHVcFmb7IAvLD9BDIiAFbajWai2vvce487DZHbUDiNia3trJ7VaeknPR5SLR49gdK4Fwol44BqRCL5mr2SoxoK/6mM/vX2e6MIqrDo/lTOivve0NSOUYBvHFIeEkVMz4Q6+iaD7uLCVGi0WsQv3ll+Q5ECAvoKnRgl3GQEEZyA4ViuuK3oOe54AAU9rK6xrOedaKgdgVmHBRjGFmltludwgRkTKR3SKx9ub1a46zDhyLJzLAPtOfue94cIceinqdnERuqrX1/sOP37x+I/cCHjbq8HT0h4w8XGkotvTkR48esbRIB6TPD7Ns47tUkLKk3zj1eT+nRsNZBw4W4KKyvbT3PX38RGCTFe+u8H0gDIVah4+OHQTkHlvVWCPrjC7wdAxrLCvzJZxhXK9BbdiavhsfH3c7E8pD5W0EkGSRwU5oWMkoaHoajOI/wIqerOLS7OKSBBw6z1MPi1g8aXLi7dLiAq0vgidYgBZNLNbpyqxWPwQBrGYlLWJUxEVbd2dlbQ24ezJqY+MbJS3sRG4pt4yHOJOCo1RYJJyhUl6rBYqxfroA86AAxph7L06NGoukMtWM2yAJzmlzxiJqXlnOsu3IIgOLRdtF/LnW/DK5CsaEiAC5FYRDYgUhRRQcDMlmA4k8vLrWOSURBbUXePVzng+ZZ6ABaCHESKr0ASishQlVk0ZRXyTDHWIlUHCJrhUmNBxXOAZNyurSlZAHns5ytkjCm2AmGp0XZ3NQ5mfBBSTCVJCSZ1QocUwZocvMZaOBqBTRc7madKLT8uraCp07NzM9/nYMvqwT4RLzAvFuf/niOYqXCEagnLn7nzxUrsEPs0eygGGjHJkhZD0mfRj7duf23Rh2LSHoxUjeI2CILsC7sE6gi1jCsgPvjIjgD6JrFe4ozM+Wrh27pycOOwAF3ZXkoQVxIogZAtnPSAHQQBDVE36WRdcINaBd5TT613yjn9iXzC58jxw1LgtDBKUabeDMpvNzcwmdHuCl0AZBfJPl7DJT1zdRLSnl7KR4wdLGJifJyO1DBhufsmhuzzgcitkRlrigkJemyifghk4OhFckny6MrRJ5H32wHltexkRTawpOtB6DUO8FW9sbSTK0Rf+ClSlkfkLBXoc2WALoyXMsIAWsDPaQnlADE86sa/Jq11Ncw2ZFRr6xAP9Cjy/9Cbsa6yh/TRzKAEIwE4pB4iE0kbia6FocWoEr9NtkMqZ0+JPbORfojNGCpf0JJAl7/A+8HkI/SjGRwWiVJYy1aCHQ8EaBK/TAbGV1KSQQToplMEJOTjxBzIxdDFmaL4SjhRiA4dXzFyKxQMoEV44LsywtD2eTOJsS4hCut5RIuloJuaV8wsbYd5w1jgCtZa9Ls3O+JK6AzSxUoJdBjCudvqKxp6bWlVS54gX429/FKDRVLcGgT5rXf3zU5nY8B1VGX8r426eKACLUtk0Q4J8bNscnMl3tysg1T3MxGDGtELifiRCwJzI3dZOsr4vccIXAwl0xZaHEn06bKNnTU6VFLmYuCCyRILI9G6tZ4gwpAJMyJn4VHIQJ73eLiyGDFzWN9Sp3cQKLCll7NUSTLDS7i2EdWBkZ8OcVLvANgkMQiBQ3crnVdyseD8KCcD2Gl4qrCp1mbd5GdICBrXexHCDM4RXkNdBjekrc96Fu0xFO3uV731iSoJoRyEXFcegSeYBK1tdXXEm3UxdsdlYpvo0WEqVKwgEdHa6UloVEphgi9kxhUgfECSX4VV2egJH6LYVZMrE0TFdP19XRmPmBawhf/aQh28xiUTR2cjJ6fVREXjod/dGSgK/nxfqBjtxhVwjVPvj4IfXiaB3eIsplDdOJd+/def78ucgqM5KUUYxLp0QQiz4CLEA02xNAiWLUbc8YF/QFSIkzDTDGAbJFcEzYIqJ/1VXmu+EV9ETmQbZEEFRZh19RElnCmolhRkLMBUWAIkVDDtAcmIlEtYBwmxUPSomoZfBtmosk6pMyehEt4FrmQR/qpKzMNogCPCoIwyGHAEX6NKPB8UDT3dNHrhDGYpvHFeXUnJgBrAg1sWMiu15Ujsk4I+wbYvVEloUdKpQgl2fUliOuK5roS/aNWCuRI1YvWE2mUZT8G4rNl5xTGxezMZpMeImkcTG1RVDxkqT6MR5iJI0IZILn4kSLIhgciUeAKnqlN9Ex4gMrCHMl8eUBPFnf26Y1+JkRnswP8Z1NGX1fkjHEJSLDbPwhkph0ZyTBDount6eX+yapTy+gSHFO7I4y3r4d57V5r2SOAB55JrE4MDh4587dudlpcQcelffm+nKwaf0Yy7tv3rqFnb799lvgQuVIgnmgMdrQUYqPro664q0N3dTawtQC/vD4e9uJyDWl5oNZPYswRR9QhfshnvjhXil2Dug43OHIMMVzrr6jEx3vy2lS6CPypC6PvwBAniEVCOjGISFEwKJ0+vr6UwnXEcuXKSDn6vu2jnYKlD8hsa143Chl5rnWe7UlDkZLA30KpSxJDeqAZw8K6L4l0w5RaiLIgdq6cy6zkmKv8FfmlDVDHOWIOkUNYElXY4QAVJpXVMAlSRNUeancUrVXeYhCW3VwLEWUzfUT66ejxZf198mO+5IkQ4JlxWX5+eHexSaCWhxJpuqSRvoAJ+rNmRWDhXMRsfUNYapwFHy8ENWqnyLqOBnxft7fhSLjHDr2MHFRWOevoDNl0+buUEe+AUZi0mMJXdO2TRQVOoIvYRdWgZ1iFUeF85mYs7BGezamURqPHz/GeAxqoYcffng8Ofke3YUxxL0ojrNCxJJG2jLdHd2kl/eC3v7BXndXN9uW6yeZVpPNCs+J9QNZGjd9XlNVaRyLlQlSsMaktOVPaVhG+Weff962264Hh6YmJhCuXcfoHAJGV7EMETNDpNFtDniVRmAS8lFxgxIOfoctQbNGDkX5RqxZpBY/ijZgXVJEqOQtBsKGbGzLdJqLYeSOqlyes9Z4/6KJ7p5ehY44iUc6+Xb8wJTV1jYKDiMjO6arWI4TrelZprd4LOgzVlSRkIugjONF3aDHWEpWqhEeBvDiWlLKl6jfpDYrhEhkRHHTd5xzxiwGRfTQyVRysYITWyZWqXiqz5Nh3QUIDkbJA+RAf/E9GWERkk0Ki6RzAajhsfKSS/hSyBSqoJlN7XW8XTKN5c0+9as1uJgMwz+uASdOCcLCV4RyvPT0FFV5ZtwbSetS5oNafuVf/AmfYNn6OriXPOGFQIQpZ+ICA8NXTs4upqamZYWtk2hkmLveICs2AEJE+lIIdqp7wNGTvvnpZ597llCCRjojJyzaOToR0WWvZFplMZ8/ebKyLMd8IfTHQYGFwYFh6/6wu63cQ2J+aX6aPL12Y9Qkov7+QQRkvKDnyImhe1vgaZI7D3/yMTqJcmkMbekEA7Ofs0NBYipOKaYkM+0QAtxmNAWaIJaZ265B3TjPXWQDXnE/wvcaupX6gyQZXeghigWCZWr5NavZVakDEKRSKSAQcW6Ce+kUth2gk4iALoBe39Q0GGmsBnYuuJMEDE9rcyM16l7kTvgAIqOKruCiWrMAtFyYcB8CkppQDxDGk0knh4cQidooMtqGDICJkJdJnDBr0J59wS3c5DeLdMBUfGQt8vnawuR9DxBlCtkIo+tbLLaYwPHAgHAxBRq0JeYb012iu9qj7BQEiH8I9qVfrQQk0a5NEUjA6Epwy/+azI8K+ndl1dwoXaCrrhS7isk2Dk4hMNJEJ9KXRnGLZdOwbv/x+Y9YhUvR0dGp8tgIUC+VfiaQUDau4AW7hzAxXI7UgiqKKB8snJubFcv45W9+PTQywtJSla4o0r3Ga/3s51+g4ItzAcsWjoFhp+JQg0PDbBwQsKxPPvkESMVUgVdGjjXlRV2dPVqWJHqiL5mWIfBD/+tCAUWJLm7a2dnqctbKEBzW0dhO14CRwBoASVdhWRoXMcGB5/AH7Y1k9uvl5qbmtubpiXcAZyA2o1JXKpiiA5SOXKBW7IDJn4c4Y9PtUOWBIGXRLovQm4r38kpWAleGr0oG4PigA2VM4jpEhkmCBRdzU1PKe6PEIZ1cAvQhkRkZjh4+LyP/bIptrBrYuCNvJKj86phWKWpeLZ3iSzkad+ExxgqYCOM5rkInu+CbyWuRuYkhnCWnit2d6pMkEHOduy5dsxW1yKa3M8XKJH8IJ5BExcLuWlMBB1VZPJD6gB4bw1J5T/ANaKAKiFqiXY6dVFfgJnVDSkLMkNjb2dLOpCGBS0oflZaV+s4tGJuRpxyZJcOKZdQ7MNZUBRYS5iEavKi0okznIIBFd/H+gcY7U8DaO1qt3xrChsvtzTs5rLYuXEJZVNJkfu795IQodN/QgDlCkUdWgPqTTwTAIRdF4p/nMzMiLzJ+3/z1kbqa4YEhIlxM1X9YUqo3aspsWCUTQAhMM/VVLmiuwczaTRENoPsTBc8PAhQShcMoJqUfnSmH68WuVEaTEFwGfRviM8hBzJM5qbNROkUlAjWKFjyH6Tw9+U6xw+hHt5wdpdbM+ZwIEaOTjkeC2kJDaZI2qpVIxqesVPaEKgN12WIWeJd1XFNbtTC3oMwBfeZxozgRopAFivIWsEYxCNea2THKv5hYjH1/VbniQG2yJHggxZkIHioIpab5rfJOevNzDEBF7gIsUWN0KKoZ9IH7fSBVG8Rm2bowL+WZSmAU5pBSZnHvqRJj73ge+CBWMPRhA0EkUJPcFkyQWS3687QAi6laNXUHuWOGpotZgQ62ZsWDm3cdHJ44qZHG4HuyWHQ+sSWyM4KFInY1vHhaXILbUWIUT142a4LAfmws4NTPQt7j6pAXEV1rvHnr9hhLfnwcc390984KM3puFrMJF8OdEwBEThhb1as1d+/dszxHr127ccNMwIX5Oawl2a+4EgAp4tD1hYUHRzn+jSEL7BmpAkAOKwStoHG6wFJwuXWQDaLGiIPkSH3MRr8pxKsAfUpIXbmUsyyee5lWMKj+hncKdgEm5dKpYIO0NHNBWe3m+src1LiYh2d5pccyREgLc72kokkpN+ItphJ9aqEIy5LILb1nB7ntmekpROktUIV8NYGANX9exyEDiG3E6QNQFIYowcXtKgIC/1HkpAg4csoUOhMbATPTvIudYfGw6yp/9A24uxjpEKc2iyo9ioxi6pH2RIsAlX9JW8ThYvqRSIgHSkyV0oNmrzmEN57mOWgIfeQJEZWjpDxV2aldeK8/MR/zFkj+7fiZ4+hKH3E4wQcIyxv7l5tbhHM1sCALy7Mjg7JHrl4FTJRJanPokCCRI/zB7FP5aQ0oWLxA2M/zJ0mgw8NPP/2ZUJUd8Tc4dPx0p51du3Gr0ziTggtGvSwQm9VK2MnW7wlMTkfBqPi1NbzNQlBBxI8hd2hqFjYgGI8sLwkk2MMbibS+/j4O9iU0rlch2j5SJb9vpHzioM/cHjasrouzIZ2vji1WFM45qOjwwObVRHg6kRsumPkz0tXOi6qtM2yNraBufd2ECYGltsyhwTxb69DhS/k/IzrlieGGoGKlEpsAzyaATtIR6G0GaXqIwpOdeoopIoz4BjLC8Ilpx+eKNyBYn5MmBU0Z9qMQG9zhNehvK6wWoBTarSRaNjfZ74SyNsbG5macm2ObRITpFFt4UYjh3d0Sh9dVGFFZcHx4criv+T0CZuoguhsH4Qk18wXVLvvYPqRaDynY0trU1taMlXGwlbtdXYqNuB4rsvzySwq1kOaIeCmScq8nIB1sAAwhTiKDTkhFRb/3+tL1LiNuPcHTPNzTosj2OJIZ3BFst7VRKLus7Z0JATUeLuZUXXP5/sOH5jCSF0y0THsb05uiJDh0KrV31AmnYXKcbIqzslCWCWfDAihlVoSY7tf//jUbmpnBdiaElE0J+mt9pqyYNGQBe//N61dO7pPkwYEmixiXIiS2sS7bm2u8XC9k55SL2h4TAkZG1GeZPGEzeZ7zGg7O7rZA2i6ygF6tu/QIRxL/Cd+qvvIO4W+7QofiZxF3FKczhHpny8hJRiLubs10Dl29UV0b0QeRYKJI+EBFiwyd6+PePaef7oMuEiK0jEZxJbCqSlUQc/Xadf69DoTMnQAAFoJJREFU5wOQkRi9A32625ocUx1GSZlZS/VRNXjZrA930VVYVn1SdV2tDRPDyK4sAiUacgigCwsEQqoEqkwRUi5B0sAiViSZoDwM+nLFP3F0j6BJR1e3K5lcriHeUKHH5kGEND1TWIxCJ//pZW4Q4uMhICMUE/UdnOdgoIhCe4jrKYF0Y1CoBaP+vNxKmWsFPKFwrYHN5EZUwsRzJbEHPngM8uIWxZKOvUxpR0RHDpAcwjpere/jxY8/SiayF1E2ewg8PIHAZldRZKJurGQ9W7jUaTkKzqzHxyIjYl5C3KKbCFLSEqR9X2+vmMLs9JQqwo9u3waNRJExkpNOADHMyW5hRxANxt0AI/unaHVlI9rlagzIy4ARisaFODKvGtAWtUgM8oksjhuEF30p7QPKdhgLAsXQDsXik2CU7Awl7xIp0flLSaG1D1vbQEx96N12jdMMYZe8BWuLY4igUbiBU1xlfUBmxQru+HRhglRUMsh45so6BJGpNquXTlMGzbwT9wrlZY6tmkRTbgRdlQykOgIbxsdsKXY9awMhkijCjDhHg6+MLEZSd6v9nHdCmHsXw8hG7Is4kU0QUY/scjTbhIJD7r4HH9v3s9C26K3r7Y7rGtIl1f+Anm1KPpKU7nA7LwT1eqyt+SA7FEZ3xErENqWNwKdI84WQKpRxtLeANzKFya3ja0ci4/DQKeLWE4WBZWpNGwn4ZcOtTTpJgTGXEzmq+RDT0tKiNYCJECsTgl/Ku+wb6KNwhBidnsxsB1L1gg8ePBDKhkFCWu8/Vh8eHuLgYhUmnVdw7KQ6VJRI/0+Mj5MphtjwvjXGaf5LJx7uOeeCfzAwNIg86MBIsGMR6C8t5dyGDQE68A1q/F6IQTShazHn2SoQMPDBkSOAaRAKRyNiCSlCQ5KLhnGjMIplQT+esH+FC6urWWwlyEvqClpyMzVzbEVcuzQC+iZXpnOqwKUxiumERujQFm6BmBvdikaFztk1IoTUaFSKxgFTBa2dHZJCxBJqUyComt8thMpOIeYG+jCc0fzZeTEi11afkne1cHOQjiWHZhfjaZFhZQmMCR1FuAhA1BEooSTV151LIzhSxYEIpzlPT/brGg+HaZwm4+evEkMIkdiOZyYScVkMSYv5fcjR2QLhJ7mSzPMhrv5jhSAsqr6OdT0WZbqYj869pyzyxOddCHplKasuzc8e0pxpcwhK5Hmrq9u7u/g57mIYIETPIJ9Yw4kHyq+MCBmc8+kQxK2bt7ZW1988fzE0ckVke21lST6PCW/UkEGC6iMovh+fPUFnoGpMHmmkVoLwFiqamXjX3tOZ6STMzBS/hJKkrjc217o6e4mxiYlJL7ARLISKsFYkLpI3YcKzTFkEPDxOkAOpojC/ep/tgalf+fk270E8KRzjHQoagMn2PIqYEREV31bYSa9DEm3owDtuIAMznzQgt8FFsIQbaN4NaCaDlILlJy8xVkT/cRXUuV3NjNCL7KSxYOwPEWRldxjaNa9fvuSCsf/gL4y0CJKXiVlalT4mroN1hkRMVVYcKS11UvLC8iBOp/heapJQsXijFnfx6dqqHLDoJhJhdDqRijZBN1So/dpdSI+UTrZmv/qSfOW+DA0L7TR4HVM6/EYLQlKpqCEUSm0krJhN6FjYz722L7lEIPnBv+7ISzJ04CEEkif7Bha8HTnTkkIiLvYvc1tcJqxGWp5+Obtoasn09A3KQ4sI8mBIKRVEgHxzdJQSVkUit2OR6JiB//TJU86TCJ9ji7qcZFnMdtRba5Cn3FqcaCQcJX1EoNhFTOS6OCe3nBTW2t62d7jPY/d2vjkNYy9YlErJz2cE8Lws96vNRoDUNmwPmSMRQoiItlXfWCLEMIncIHXjexdzBDyRRkfUaI6DypWEFOt2mXq9djNqWzP7e06ECtNYKQtIO4z5UsmljbUN7Wx0DZmPrS3a6mF7duqd0xAODvZM4GTckCukAx9YGSrBjpiloiyJjOTiECRaL6BcXMNBMaiTb4gXTS4k/Lm0UCJyAw1QawuGnTJTyC8GHAPCYqSr1AdqUJFthGbLBsemlsvMTZImRIbKJb6CcFVMsDpmkNm4p7rSMyEA+skeX5LN/icZivdIPsKGLSjtigfoTbtQiEHqUHNUJGpwL+Jj1oAMHkAZgO9pvrcGojFPr5CEpDA5gmDf2Cx6ZX5ZvLiPF7vLcB6BeEE+W8DPUEFIE/+CcrgCQ/KY/MOLY2NYpeyhHzwflusaFdMax5BlnF4bGYVZ68cML168ILFYohLs10evCzrqpGJR4fDGpobjMwo60krMCXJEHsn0qBA0BefiGvaVzS6YHmDg6NCwaltF6GE2NevWcDWSpHeFKyHSr/aPjCCJRmFLkltU2+HR/grJuJbF3KBJooKPATe25y4ncpEefFqQ1+nMexE3rGlowFIhzw0ddPwkg+zgSHrH/4Qn5Bm9yX9yPqYsiFDYG2FGHli0+E2MbSYRzZAhCQ/2mUpqSGCmqkaH9CWVsrp+lSLCB+rBEmrGsYR5G1jNFrwUb5nwydcV+1ian+GOCFvIXRB+IqCgLLAgQS5rCdEOs23r6JQ1QsQibEjKtqHfBvM/26YfgM6XOEoEKygylCj72FkbQmVhVCFrSiAEFAsgHenLqkOOvnAjOCAmP0CJR4X4iVkxUfQG5kjHlb4nzsHND8mWlQwI69OvpJeNC5rThmxNA8x8KWTgmSDPSjcLGv1qy+Yx8BZBkULW1D4yGmPZlS1YLw403NE6vctKrIGVYjnZ7Cr2Qu6oGTF5+Ud377U0y7ydKlCgkV+9es04+fkvvhLDE1AUaAV5p5Aszc173YMH90O7Y76E1mo+mj2Q9jiTyYmBaDuegrcyHv1Dl1GAgGjzoIPw/AsEpL1YhY2hX60G2CvOqtQ+kOpMiFnc4GkoNYzZ1AmoFcJOVHHZc1mUI8O+E61X6Swpel/ulTErMZ7cc8yWIWZ02FHeUK8bTOJX+oLkm52eFiEcHB5iM4I4FUL+m55FYEi+0UjoHvXbF88DgnxPE/MthFREBmzcfoUnJMPsPFCepLUFI1M7In/KqmJaLnHly/9PZIiAEUfuknDqGZERMIYMDjshYEIMoA9feAg4RL49pcCBzl/d7r3oGxqIKlZOKmP6+wQHQLZgGoubA/DOLCw/Ds1Od7vMTnGLpUI8DmR8sT4Vt/kVeeETyQqPZR1wKiVu05GPzR2dBmC/AkM6hSkmgmCQMRp+8/IViAGd6TQgf+ujjxhVMSOp1pkruY31TQzA0zSkRd2VxBFXjC2/s6OnfonQQgzUonAnNcpQIS9oKnNQinRSazYCC3IbIPAfkkJMFqR01A+sexI45JVxMQjHUUdFJSwZXT2MaFBzFxtCK4sqDjk+thdGdx1pHtZMgn7gSZAM+FOwJ6oDVNcY6b+1w1nTgBT8kU6hJTympmZwOJkXuDyLWDM0UDFSGZhb9Ek3GF+PWmJvCWXhDQfcGZRA0GpzCCwlk8LtzEcc71x0WM9m14gPZVEyOwilhNyrDBlJ28lzgwDU02sojwhHCnwz5kXUeQhuJZLyQNzldYSiogkNNvSNV8hJWC1c2gWiwSKIAGW42IvABzmSN760Efdanh8AE87AwQNd4xu8h9pc4Bs30sjmWLEWUKcMqQ+4ORA1CpxSR0ZPbzez0kQCiTxEwJBFVWKkITzIY+FrY11y+1PvZ2CNeDO2KlUe5zRHUXbCNHFImNpQ59Ds7GysLDsZL85jcs5KeZleLvLPUHtMqLrO2ZZgwuZZXsleu3FdlTZvgEEsaY0uOQb0rKpdAsIn3Be1Ncwf7iu5gn6VvWK1qG2JsoDcNOtnaQEbal8hQETJqBgf9OQj+8sSFB1mRIuwGarIQA+gKBVcXOLk45V8whJeQcsIOUpKUDSklwRQU5PEOCxhNeKB4Skix/qRHPAQbyHJne5EM6I833sgzcXHVEOnfYVRzzNAAKEBowGmiK/qGhLe6zyBHyGzAdaG9sIWqQ6XNKx5Q909/fTX0YGcd44KQ+62g5vZahDs5wCNkeNsKKHOFHBCJSQu4rBa/6ra457gHFyr1EfHDhJhdwdbssbiQJeIf7qSr4RrrdOSPBmnMbZQEhpCiyQQioGz/AWucRcOZLziZyYmy8o3ArrQ39s/VKq3TolY9GxIA1YKC19uy2BOnO/JGB9xC2ixgOEYzdAAWtuJKLyhVALPsDapQGpSBpaO45Jzm/h6fcNX9JTwgoGR6636wzKGr16NZJdTZ06jDNgqhd11eikeBijxJCXwHDaNtQs04vy8b2wheAAXQQyZjsMUm6Mq31ofZmJxuQhobI/Es3RhFRAnR8LEA4kUs8FRoX22tyfHxgCS8eiVngaIgIvGsQtjH76tzPY8Kg0R3SUlXYPjPQ0U/IvwWdAinKpSowvKfPbk+7heJAbdU7jUBBATAB7OJea9qvFwbixhDM2SdKpVvSsSyzp241A4BLZjPWx+4seOUoFNmCy0pEJ4pwfZMuveevxVpiLkXJqjDKPUMaJEVYiV4LF+z4VCqUOilsXpLkrOmuGerCHZqSf60ZV8VfVFXg0Ioa9S4YN3eRS5SMcRk4jVqnyDOFxJmEGBECWdYCIo21QfuVUFhygobW1lfrhRUFTmhGJyCDzBKKPvdRaJWL3FQ6iXSJGZS9PW5uu84OTSP/7hB97Y6OgNGFHWJzQP7C+eP0c9Dt6F6KhK+rDlIfYVul8e8GAfP3eYtaUJu+kyPa9RTJ4R5zNwRZg319ZcwETu7x+wcu+Sk3XYRjjk3AcQRDRWhofYHGiZYUKs+M/zswvRUQgoqM1UVvIJlJkIhm4yTQl/kc/W5ssxOoZGI9UBpr6eJEHiyRrdw38ELPGr9gbgxeaQYyMDPJWEh3bwRCMeVEZwJRzQ6tSrC+11zpKMhn3FLkjV/peXV6ZnZtn4qV5WnUGKwwrF5Ywz5ZwfYB2eC2Qo5DHxpLjAwQUzE2MvxdLYsxq0pCHjT+kjdGWhYGHNxFNttRaNmLRrWpAMJKaKgQtM8RR2t0AfPwMUC5fMo3Fk/JARTKBUY0YiwnlGh4a4AjfMgG/JDxtEPSjSv75nYoeeNxsi+h3URgQfAi8iJswkrBxBVFJ0aWV5LUq6i9RDG/VWiM283XOxvSvRBDFJeqlyIQVxnO/dziSgGZzSTdXQfex3FC+pnHRszM1HzV7H6Rb9Hhm5xtrxWKuSvGS4KeKoqq5Q0EchCFvEANGUZ9Pahqy9BRMSihiMYAkQpaQ4xr5772F1Tb3nYMuSzs4+s5QQkTXRRio18L1Mhh55HGCrYJGQtIOPLailtYXb6E4gBqOkB/cvN7WgQrIITxxWSq+FYcFACXvL7Noihyhb5wp6MsrMUnAvbWgFFBPtGVwrYSdMXFW5ml2eT71NNKMoJaI/Pj0yCkx1NqJn/2NmMKVADXk/q5AVKCDAZLoMeMA3Ilg8W8fv0G5iBQLLbS0xcW991elZBY5GZbio0Bc00+NCxpNnNi7lbM1IH+ESbAr2zJ12+BxhLxpidpKmaqv1gQ+kADKeGdI0KroPwNr3yFHY3tQnVqVrEJ/vE6Eo5ZPPiAinX/MEBJGuIVNB0sfFqMRjkaB/1a+mPPr5ySFTbK+2vrL0oBh/8iBhxAXSzIidgBdYJkIIJ/D0PaLhCohFUuL+o+/ytdEsIZ4Zc8o1jFSSGQQFcXZ2mpkrpIcGaGFmwo9HIlpDqUEuVunp6hkauqLw02QigxkZcKKS7PTRGzciOLC8xMHjbOqb59hphfJaXqRiCjVrgumc00skDdRGlqcoepgkEJnrNgOadm4/Mf6/q9PiWKzUIL5hUohuKa2yBUwPavl4I/+LIxaKbFeoIhKc4ocry8tkhHSywg5CkcpAvpSjOBMS8hbcj5iaW50fXk1cgzX1mjCh36VwfSN6YvucMxA9q+V8Esa+FXMhWCqgYMHmtnEf1Z0Cn+SrpzEUsiubzCCDoLEH1IYCp69TFsVbUL/HxrGKtOTxoWIW+0JwAEJ2uljf9t9xk445sSoPtzV7oR3pL84ZHuOEI2iCiu6wKdzsGptyMfrzAxBZQP4H/wIpBuNmuCCgkcqTPAdI/UsESsWhXfEcIejj00O3RJtKXe387LyLxELDaUg9UfSOfWFlsIoCVPGSSIKFjWgNRPL78UlVMRSDAwwJNvEulsaNmzdMeIZ0EiG2zDA6Pb3z4H648BcXcbAN8Ob2FTtQcPwnzhySokxQpL/kdQJ9qpDFqAPWjiZCnErpAxGrv4RaMAiKA4syrM/mk6V54QxWwEVz9klAEPBEvzIdNRUGgkr+S7YgSoaw8uLYGPDs50BK/FtEWekPfiUYMCKsUcCMaGWDWIoMSwHqYs4jaQHHlkLOs8m5zYKNiMPxdOQTzcyHcLKfqkFRgOzSKrABnJCjTkMG+djqCuyJG7G2UAmZwWYSXwZQhgguoseheWFx2S7Cyk74tnnIZqn5z4JxL7iFLFIDFfM+atQDHm3Lq4jbVbCJrQ0RYC3bBBw/expkMHTQbkvLgM7PNOVbRIDjEQ6c+nlPg4A8eVkDqvBN/jmIkiq0YBUHKIZMEvVgxfkrKiHsy8qrzOCJyMWZMgd9sGUGhEn5SoMyGHE4iIEPVEnK+qibTes55Wp4YJiPEBGZJRR7qTXTYcUG5iuhgRQm4MHR0UBza1OTutBVtMJOZa6Zz/bp519Ullc5OoD8U2QBd9Zv5THtKCKx9GROjxdcT06My54Ric7H6B8c9BogtRJVvpOOF3j0Z+l0UeIwBcRWoBnmQCHWzdu3rmS0mkhrTcBQvm5adZyP4kEwh+BIL9cDEwQzEvmAFo0/IBjc6SNqnsUtAcuGFh1L0edybxGMZmYhUtfkBZ7nhChyoFyKfgX/xbSqam5zEJnZyTG30pnsu7q5KejId8T5pLX+GpcdREbcylkzIZwIVKKSs2mOT1GR0BdDFGORI5owlSLJX9uCV/vSxax+3oDkOuohD7yLT0GcxDu0FHtiyk8nxOj7O4RYzE1OcAkZ7/4qliANhfCBzhuhxL/ADVa2hiI9yo1xZWohRAE+fnWlC8hdF5CR1kOzk1Y0Fv4Q7pA0CFo/jBpXFYuomRdlqFMM78uuWImz0fCwxgqhaatCFjDCzCgsmodTITaizsxIZji6J6WMfHaSiNLIfXPn6mqVJJBA3C3JQZMm9VlrxdPQLHZqbfAuUsXeQEAseN8AkQBHWCAlJXr2yS24JtNUaSMP+Zw4gJIJiWO01iTqDqaBG5+wSFLROr4WG1aABN8MmryXxA4DBZfhUTiGADeS1OJUyDG+IcJLoxwACIh0KyBOqlqa/dU5JBSB8J3/ZVdXBT3BwpUe6DJs6q/4EFbQum3IkcAKSYrITQ4RAI1OLycOOy8w5TtdhqqwC38KFokWCENkoht4y0wlUI6+rmiaiNoVVpp0uCy9v0ZZJl/MSdXQ40ARx1ukrDZyBCMwJd7loDw2xJV+c3H2dHJYnmjwibJ762UzsFOtHyis2dsJHg/xqzRXYn1KMkrhkGyAly+dPm6xd1eiJ18m5tRuCVOSSbFteiD54pF9AgRWLKnYdNjqj3AEHdtpIBkcWFLojTSvwXs9Lbu8KCrBPjk6kefo8hox+pPlbPS+K/Dc3RXXhkq6l2UCbp5psLtYIaxpfrEp0IMXDTJQ4lctBny44JaSYtXnFBqIMSOms1ltibQzmqZzyIL/Bx2drGcaMBGpAAAAAElFTkSuQmCC", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ranking of Relevance:4 with a distance of: 0.49\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ranking of Relevance:5 with a distance of: 0.50\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAMgAAADICAIAAAAiOjnJAADy6klEQVR4AWz9x69vSZIndl79E1fL917EC5kZqSozq7rZHGAoQBLNBsFNLwhwwb+BfwHBBbkgMMBsCGI2AwwwwAAzG+5mNYsGBsQMu5tdgsmqrMzKjAz1Ip4WV2vJz9fs3pvR4sSL3z3Hj7u5KTczN/dzzuT/7f/8X01PT83MzExNTVxdXTnZ2dmZn58fDAZ7e3t+p6amlE9OTk5PTx8fHy8vL09NTio5PT2dnp4cjQYK5+bmbm5urq+vL87Px6PRxcWFVkApqVvXExMTl5eXFxeX3cVgMKeCuzlurievb2anZ7Q6PzsfjcYnJydT0+5P+t+hrQMmyofDITiAOJ+dnXV5fn6uDtwUNg5OYKvJu3fvUOau8i65PymQEy6d9K0u6d/J2z6/X/ZvOL9veA+8+wL2Hm3NEILDTtA0PTWduy6LnxpigF+Fjj4JS25uUONEq/u79+c4gOfuLi4uKnTo7h7UxITebwaz05sbG5i/s3/013/7+9HCymCwcH0zdQXexNTkzNzO3qF+lpaWsG5mOs0DKCg4pvytSyzKiZ/ryVt2pbyOZp5T2Ob37qTvEufZ5OTcycnF7Oy0IigS2H1twqYf9AwxbhEktaNbc7OzaDs5OZ2bi+QQqRqMbmZnW6vOzs4IWDl1GgyGxamr7lKhu0C5dH56djYaDGZmZ4+Oj6mM5qPRiJZSXMoEJtU5ODjAAojpSyvIYFnfBaFVyq/C4HBzg1mO2dk5GPg3MTkx7f863FXH6f1Jl3//N7e6/m2j79/MeUPoUpUdXQiZ+0J1+tAV3GCuVmoGZu402t32HoITQBwNUlXnDdOvu44uvC/vEoVgOqdjzk9Ozp58+0zd/cMjejE7M4ciN2g0DG6o2NTU0dHheDxSXw/YpTkY1cv1DeUDzb9CVTG9qgpwUJj/XDpypvotv4JL40NpxihkBS4urhcWFsh1PB4fHR2Rbgk4TGG6yJWkZ6anGaTdnR1qUfp3zXL0rTADghFbrIu7tIe2AcicwWBmZpaKwNuhu9PTMzzUF22goIes1Ozs5NW1tiqcnbF506otDIe0Chcmp8I10NxVxzng8HTioGohskyFyi4hs7Awv3NwgA8aY2U4e6dSQeJuhKX0Xy7Hw7AqoooU+wD87vSOp+n5VqX6VsO5q+/vfZNb84PtRHh1fXVTBgmSIPzLrW5h0gFIuRWu1oC/A3vbY5PZCpE2dRQofKAT0yi+vLre2TuanB7OzM6nm2uMmACOnIiVryi3w55GjRytWOmoGKKLm6mZAC6VLuW5radKiqvB5PTteV9ep4eJGUYCD4ej0Rk/RG4XF8MyWrpkmUgOdCpCgVgGGLQBow3KdcJmk+bp6XnMkqFww6JM7u4wMIvur62tv3nzhm2jozpDjFbaKtEWMCfjMYM43x5tMDNDx8/Oz6/gzCFdT5yenS/ML5ycnlIPUoAIdQEHQ4uT+QEQhuBT0z5BBTx51aPjk+hcyTdjq07U7KNYGaYUt2690t3Nf6lq17lvlV5Lie9LGlRd3je8P0mxCprA3G+3ao1x3iUq3JWo2cW3iLlw63td3Go20u4Vq2He1+Tv5ubYqenpwejp8zdHF1PiBkacFyREIcnp+fkw47NdSiIZB6d9DweEGLiJa8PScOhxCa8gmUHn6D/0jaTcAcAfWjDtBsW6ZH6gqDjOd3aGDrVJIB6t8YLgI8uZGdVYNecOKkJdmBOR0/LyKhXknKZndTJ5dHR6eHg0Ozf19u3b4WB4fHLc2okL0Do/P9NQSbT44lLDYlks0OT1BKOoVBBgRDF7R0fHG+tr5+dRlHQ6OXV5cWmoOadPNEllmIMAIDUFX03lUEXqYHbu8vwiHKghWOz4N/xoFbbexXP3IsSpf712GFVHWFwa47dxqFuQ6fsRvzt9cd8K5re3v9e2IVR54XoH4b7m9yoELE6CAwFk4oOS75MQZG6uzzFmYnp1bfXrJ88+/8Pnc6PR7Ozg+OjUgCflRw8fri6OL3Dp6nx6YsiE6YKxCc6NdoIqYO6MdplPdcSzjUwR5oeLZwedxELp0o8KIqSZ8/NoVbzH8Sm/dnVxSfCGPpEjALvRoD/adnR4qBwxUYK7IegSVe4eHh5q6JgdzgEynhmR9Nzs5GwpK/XViiEM2YKeBIxz3ZC5wiXMmZ2Kp9epoTU3naBk4irqogkZn1+c0ZgO26EOE5rnUEK9aD9oMfBpRr0o7tn0TMZbxB5ib4/wro770n/lxOVdnduaAXJ39K37JoqVuHTUrTSpE13+sVd378rvABVef7z44yUIf2x4X+H7EAgF2/0WyR3Axdt235qkffC5GsxMffLx4/WD48Fofv/weH1tZWY6HB7MzQ7mxBeqnV9fntWgojuUg2UQC8RKiV+QMpHRNR0O3E6KtNHQMZUAVpNWqWue4ZqxYrZgImgW+lw4E8ddnF+cnpz0kGqVKpEHb5dGhnCJCKkIYStBKl3R1olWDnrj1+VFpoDCdrYYTmxZHNnR4TF6Ly7P2RR8qS5qljQ1pSMaec1+Xl2N5sdnF+cM+dnZ+dxowES5nJ2bobuqNX/164RuKWREXepXd+x/xYtnBVzH07NnYrgTRh4Vt74w7I+yYaQS/+fW91Uv92+PUhHnf5R011T+/SZ9eaexAa7N/WUBufV0Ct28v3XXT/52NSdV4V+C//1qgKMXAyPCCXHnZcsZyVivWeCUZkUFbi6XxnP83+7eob/D0dgMj8CE7JPX53yEi+uLUwa/J+G3RIHCFCXWp3skOF0WDVTcCvuqjzJmoTTxO7DMXqCJIGHILDEDTJexPTeOtK4uiS9ipiXNL3x3woWhp4iPJFqfyFXoD3/apr5yCrq3tz8Yzk3Smcmb49Mj4lbH5IDhI97BnHgrcyIKPRoN2RjnmNJODXenOaXpKVqiL6ozOTM1Nx4y2vPjcfNU122iMDf8LbWuEXKewGIGbjHX2JeExPzV8dnpFWGFJy3VezGF/TORRclBleJa/6RSmuSWs/r9448mzYoqcpfF7ZrRqu/dStvIUcwydedEOJjv6eV95Uaj+/gjSqWD9zCdOLArw+zsIqKenDpiDyoXMxwKU0lSrBSEMaqBm37JKphxGdfR2mAop0AeE5cXZ7NCqMT1TFApdWGQbqJAt0MuE2uHoltO5HZVyW86UjenSsOKGUrGJFCIueGYPmk3NyfkEgklFwV7iFJDeFxeXMt4VNgxVXNJdc7jBunr9dXEyRmrsLO9y2CZ50tJnZycLy4tHp0Koa4xAkLD8QD9pn7D+YXx7Oz29s7efiwf4FPTM8enZ7PTJo91mTlwonLKCmnqwZpSDoOU4tBO+tTmysBAL/ZBRozUHIezuymPitHMDOXwJD9/5F3O42xv9SnWKyHrvYaEr90inqHOdOToht/7LbB31/9aBXcpudvVOD+EFJZWeQnjrm3/VdRA+rdb9q2UTE1yMeeXF6fnoXFu8mZhNFpaWCjtOkHFYG4gMFcTYRi4vbOztrKImThpxOrXiYYz0xnSXCHnYVgGj+tbb5dTGPsPiBzf+5s7Cv0kkxMWVb3mrKrV4CZxLgUCyJyftKCdhFlF2Wdn0lSZLzC2MHC4pALXNxdzA0ZO5amDw0OzuuOj4/nx/HAo/zTBmS0uLEKfyzs7PadkEBFy8Wvmlwwh8ZJ6wN1MXF7dzA1mscBMUD5CGotXl/qCAhVUGXoQ4Hln5+ZMWnnus9Mzsh/Pj0+Oj8VV7sIWCRRLc3wEWEnp2XTyF7OzC+OxvAOaVQuX6riX2fcUKQEqBt2Znvua0arIqbTjtvTuTxf23e+fK+nCqnirKMX2VrG2BKoE+B2w278p/d6hguOPhdPTe7vbjBCGG/kasxjGJm6MJuYljrBajtEto87sxwzdOZfBmhNfxkqiglgf9BrHrAAu0bYoSR3RFf+iw0Gu+r9qnMoym2VNXt7QhKn8C9NUmzSBjI7lJ8G7ZMFp7GfN8ojz/PxU1AI+NaJqXBVsyIlSq8PXOJdfoH1cr5Kz09NYo+EsjaQ/ZgPXN5fwH0wPOCwy9lttg5i23J+5yOnppdmwCEFhdCxGD70RrX9U1pjBIMpdcb2WSZyaYNa08RJfZdJ0jWXUFMIwMWs0htha4R+QEJwrujbWVoX3yCymBfy/ctKX2Ofk9m6dB5mwtSKNuvX9tl1fbKKwRvi/Oq/sCg284FCOdNHn9Rf8gPxXat5XuO+uS8g+rv9iyqR7ZWV1YThGFNlOzkTp2R/cNvUbzMydnJ3t7Oyqv7q6qi0Oy0FnIlMk6i8mK4hnaoXR11cEOpVAvGr4A7H8l4hMjH5FXa5Lk06vp8+cTM1Nzg6npgezTAxXJbve6jU9lYD9mivUR1IA52xAJp+T9EmKcrKsl+kDhYNL8Hak20zL4T8tYw5dGqltmbEJsZm2p2enF0fH0FUnOFWeQgsaQMP8Yoqx5RwodagFIE74O2kqNWkhP1qKIh4fnRyfsL54BxRK2V+GXttTmfqpKSqlFxPSMq63SXnIg6Y8jOGJb26Wl5asGN3yq6io8z/+hMfxTRmholAUYUXzP6OwPMOtFmgEagpjRTJ2L8xvol/lJJTdg70/U7dNTivWbbmqudFl943qpKF0q6pz+4Mt4p711TVc6skW7kFF9/6bSiAxyVxRLNY9McPFJVnMyf00FYU7nsAAtrrCeTAJ6sbfWttxS4B0h1TQDmco0/R4ari+uf7h3Op7swvrM3NDXZr131wcDWcEVCekSAVH44WDo2NYSSSOWEymiTxcshDcYvVHlkkLocEtR/MAUtRChaosBufFZo5PTsEh+wux0MTE7CAqHP9VBxlTKeuOIIQjlSFT6Fxb9alXU6i6Sywq8z6JQya9xMY4aasyNNRJ7wWcHcYXpCsXuoLTPhQQHdJ+q0+GFF5pokc16ZsWTYtqzsPtaNutSpgv0S1F1vQANzM3rcIFsZp/pXCqln2Kx09mjmUPg4iRqWThglVkDKzj7q/TO3nVWK2bjUyf/pt/4XB/A9pidloCj+Ma24aQeKPrnFye7+/vw4Oh8ove8ayEA4OmY+6y6QxWtEpZ4RnuqamAL4MqYyBGMcJpag0f1aQn5mfH6xsf/3Lj8c/fnkyd3pilj61IHu+9fP7ti9ODN5PXbEEmhCIcWmlWmGW4ycl5MmDyrKsQG/lBlJYIblZWVkgr/K1AXkZU5yqwEPTDL31yAjOV3VICbxzmZp0QJwoh7detSPduZYakGGllw6E8ZzKlogFwSH8wOzKPJASONJbYKvWcCCwhODT0QnswFDRd9wqiwsZTp/QVMueSFDOJSSllG8LSWhUjXX/85rghIyV0jn8wz5gaD5O3oJFjUfBoGHNahKRuRrnsNT2bFrVk8FTYiyh5WzRavjg8POahjLRTudnuyNAuz0O81W+A3N7y53vIgF9ddGF+mUMlUCCvQoRLMc55w0N3yS6owlWQRKhnoV0XmNhCvNWqspdloapjPVZas4xxgMMcex09aEU5kzdX5lIYA1qsxcXBcH7x4PWXp4d7U/ObM8PV2evFOaxamht88vHxyfrZ+enJ5cXB1dnRxenFzXXMFdkYtYbl7DjCIzloOaFMDgQAjbb+5cXIzzkCmjZ10EDMmriFWiXZynDB/oVIt9QEVgWt1PHrOD8/UQHk09OTYlaWq9lIQdL56TlRuCTm2blpq00wFD2gH+UYCVqjhCmG2fHREYDQaPWlVepkDGReGKtuNJoHwKnNSSQW2ea/ZPRuRCcTS/Pzi0tj9mk0m7GhYXxJZtnXNAlOziio8susL4kiKGL8ZYixb2Hqamxl/Wa0trQEN3GFBVCYWKw/PE52sJIOmXSWNczff/3ALoWa+4U4cihWc/6a2pSJSvKqKqAI0XE1V5emO6l7bm1tgCdYwchKKjH8gRWYrdPRJZdgxhzVuUstIjtp8Lk58T5Qi4sLdJmLcVdK8vz04GbiYnFhZ+L49dT+/NTs6HJudDTrZExCU8zLeDSQFpq+fjg/OhaxUSyk48zJSRZ0QTcICK/sxxKdUOjAl3RwcdFbNRRg79rayvFxAn/lVMctTLeRhvbTA5RhPdVhXegNIGpGWpJhNXxnpmdPTxPXI8MvUs10VIuunxvxR3MjDpw/6vArIZ1q2VxT6ot9mjg3uYAnIMattjBhkU1IwbeglDytWchV8rrn4rWsdDRnE1FhuBzO8vLi2tqyrTvHJweT15dHhxk54J/HH05QtenRkKwKgQQGGpoOo8VCJ2Dyt+RHkNk2kAkKC53U88rieGt9xUqwFXfH0cnpEVtmdlTRAiDxwunh9mjE/Oq9f5tGHSUGyow7qpwlfigV+VqCUmnCCyd60S/dmrjk1kRN8ixxFwCqacD7dekk8sugk5OWuQhDOvVzOHlmNMmkToi/ExPkMLCjAAzHyeG1vNfsfobz9MzEzOiS7vGe04Op2cGESIH7GA5GRX6EQa0lNlkLBxzogdwCdWlBzs4NhDAUgrYdHOwzWhy5mqyC35Y37XFXVlMJlaps6hCEUjJMiPb4g6o43ARbhkcyF0Yy5qg2Ho2ZKsoHIBdEIQQJC/Mjtk9bpkJbWQljCzPmBnMJwmrOgk84NyjdwglEANpZeJkeA5oYSJB3JxHyyRjmXErvWaTR7BjCBweHqTDgnW0yk0xJ0EbwOj0XRl3Hy8MNSvyMQr2QFZcHWoxERsvUWTiGK1kU11wk20GeKf7CeDhvPG8ZGFd7h0d7BxbHLARHXWDS2gVsVK0ObPS3FaJPMMqQMuZ5Z3IpxUoFHVkuUUcJug4PDjbW1+kSCCpBWhPVHEqqi0S6DgG6iZR4enYwUseApIjm7KOFxdFwWPWTSxDmnp5IVbDick9MNTuafLOzycvznMT41ViFMENbCfsYG1yAKGVyvrK8iPNY0zKjbQcHJOzOhRImCgY4QtZcJR7pvhVLE3VqSp+cKjqJyl1kAy4kQxXNo8TR3hvZg2Rl6Zb9C5BjWmAQbSuqMXE0WgDtwtTnnFGcpVy6jmpKURi4U5alEEPMkxJ8BiOZMG6XbLvBNDN1fmVAZlIpRyhJO89oWfweDkQ+ywsLWhWGB9diboP4OiOBi1paWqaRogV3MYThKPkl+6oEqi4dzv3aEoIU8iom8I8R2+X1GXKUiHzRiUZbgNKkUriMHW6srS5Zs8O3k1MJp8w2cBPbtcI6vxmsifti0pRoosdricHzc+7FuRIwUaxHfzVHN4P9cGtrd3dXkmViZvL0JAshhEsuvSVOwz6iVvTj/EYce3UJ5xOEUKaFJCOjtRqqmTqXtBmPri2O2bYAo+Ic3DJ/iEmIO40dDUPZ1AiXGK/luwU6M6kxPX1i0m47AK8uWDa4zy/ZcOmQ0fy8Oiax6EUb5cioLHPlEkSWhkWx0HiTgJuUsZLKnjaWWLC/v7eysqzzlpATA4beqGAqR+EAh1Bl7+IxS4/jjE7PyX36PHYCf60XjQ0y5Sow9DEqEifn59oyOyzZ+RUNiDY3eoeHB9Fe0+esE00MRuOlMjBk+eTJE0zY2NhoohK0Zf4hkMK/RL5x19MTrAqGZL0zWT1149whgEyXWIgiA0wJYQDoEuugp4KTqgadW3+kGknX0CWoieWF8erSAmHo5UwqvQawSUACWFvzag3Y2FMhfL6ZPr24np3jlE3zMtPFc4dOWRoHDCmlLChazPmxl5IJ8xKI3whOgnM0qmyh4EsAvLKyeHY+2N0/DKo0pYAwA8yOaqUimT/i4LSZzY1hK7KsECIRWlJbVO2P22gUGBXpJdJKyvVUTLOzk4ihYsaLm0v2g3lnmRaXljP6ah+V2lSTORaOsJzqoySBolm3+BFaw7nFhQWKggBKoFX8I4qTGhDlWDTMUQnYGzqlmjoVVsdxsJvIC0l3jiDYCxrLKSO0nXULzC1LqlX3Cn74GNnWgbN6xEeFMNQjaonHcDfJffr06a9+9SsO3bm7xgk9AwcdWsc0WoNK3uZqYX64tLyk1dlxjenkU5K2bbRV1q+4HtNgSOsSPta0Bihd0ycnDRlKlMOBWCXUK81jLi3TTg/NGIZJjgu/zi6uvvn22d/89g+MmRDMII+FJiyCPT/+h//hv/dodUsypvZARZWF7owNcgxRlSzsFCGT29vvQP7k009ev34tdIGZ5EnrVoA5YvJxsc5jg8O71rzJJERzBwnsVc6hki2laedQLe6iHPd9nKgwpQk0rMCWaC0OmBwTAL5ACzhTqgVrNSfHYhdcgDdOIaP6nkCzOpZw7L1QoqfLs/BIdpR+0DDnsUron5YZzqqfc5ApEyvIbatASIhlhzBdXUizDUGuRhWwunDurn6d+yVjoJzQCZu91GlBolS5BYHxYtwcHhGhtvcQLHQagxwI0yDH88GHH2a8Y7xRbrzLPldw5hwj3WGnmonCIGhPzw5ixiv7zyADDht24NzSZ2VYGkM48EdtrqAdnCuHjCGaYC+q46ps6K5oQQkkqXUsVJWQvezQzz775Oc//uHJ2cWBnW0Hx7v7+wfHxur1weHBaDzPUVlDM1UCH+S1tTW/0ABcHTb42bNn9lc+fPDAyMd85dAry0PoAm2IRzYUgtpADD7FKMsnmdorTza/YrKQQOguaj6hFQiBWLpF00Cqqz/+wAGMRHagt3WhOijEEYiGU5hSkRMDQ7cUEqQKtTvjPJp8dTkzmVlu9TvZ1VQAU2VKACxEVLOVhydmM+gbDmrJMhufFEun2RR6newGO8NgIJpogXegGaimHDRo6KuBw9AlXjAhFCz+aMrEOPrtlvEAMph2dgtIsd36kJUGCFij3djY3N7bT++2DGWn/oyhOjczK22RyN14gF+l3A7sWEzmWvg/yec5GgFUkAFiqyxpPP2y4kiwkxWlysMQ65sV6WuuCbkoxxnDX1usbiVT2FaTdrCg0m/mGfakUbLN1YWLq7X9w8M3b96ZzG8sz3N27yykjtmpITzhY9DUGk1kzoh+9PHHwer8gtsZMQ+eKtneMdcAPGpxe+SCs8++rDlqkGkBKkCjGbjkvCs6sTHwj4pTZ26peV9YreI9lQcHUyViAkrggkKHGlDSIJpreigXMGlHaLbWYDRBKscOPLLiqTJsVAbOifJmtGrGk0ugYgBCfAh2EexrTJghcyJ0VzWmC5MNSyrCXaJKL3G4lXukHxCDNHlgJTR014WNjyknHFRAkCFZLD1bmF5gfgju5noEWzTaZ87Y6PdImuXs4s27d5AcjsesL9Enp2D/68ysLSg22iOt1dp6qJwnOHSlGBmFcKu1FjKt7lByrhq9FDC5qxo2Cx6Q3Mdwbk6PbD+qUWca4fkRFBE/MRq1ocKeNjNKqela/Ch646u21lcfbqzRbHV4xtW1lVOpE4tdLeA/ziWzhqFO6/317q5Q4+3OTm2/wlfVhRru54CyX4sKUQhT00H2VCJHIYSRQwqOdFG4pc2dXuJPC8JJgMaQYX/OiZtmQiAOwTXg+FVtU4paUWwF7Bia4DENyrk4MTQrTooPVlkrLKB/diPQHhLmN5RrVXpDKBkEAogpj1RwKsnKsBAzqokg5scj1pA5Vw1ASLZKAUswxInRaItW1qhSXgBvB5/zEqr1nCNbGVqPjUCFZDwzNzg8Ol6Yxy8SoifT5m9LSysTz15wMMvLa1SBwi8tLey82ykNviFY/uX4+Gh7bxcVy8tLeGaESnfGfXhMox6PMx7gw7OX+oZ7IgrMRTLqHIyQS7QoURO65pUndmeICM+SpwAc8mxz3bpd3iAPYTyJWiAJ/rNJ+51P2Zwd3+1cdmJlaXFyeQkEk2vrCuHvtfR4po0MM5tBXMiHNgl6sMqkWxAVb3hnUVrLcBKGuojNK50wJOI36gG+5rNydfro+l2OCicBWIcKkOhbWhBc1IKaC66xIPS0F7i+2T84LAEzKnNGEhD6o08qYKJ40OBzaOJSoRNWx3oabNEjEasccHnyeIZSuAsZEXynPRyZ1HxSR0Nj1D7kyjbFKMBPQ8IIt8rd4JkNRoKdkJGJj8xq8uAqYKWulWMuxkOAtMBUjiKsP8s8MfwzWAS2k9f82oDp+uTTH/z2t3+3t78f5R+Ktc+3trb06wDKHiZWdnF5CdvK1nLKg3lhzVmyM5DnT3FDIXNMw4gRUYwQcRokhhmUGn/qVYVZy3ISkgpVWOOcEj1YVudZNfevJUWHtAc2DqxMOHqckQjEiKjghzIxu19MINqTs3NxGGGqgmRuaeayvJjzGNzEvZQBW2B3rysuMA3hgDODuDSSudRNOS53nXTlLuxLv98/VHM3leNzJxMouJ3fmxu6wsG7xDs9mSmOhytSbFGaSsqzk7iJFx7gwTXnsMHE/CXjyw4skmTXi6nM9Owc6yr/xlAkF1CbhDJA60hOZGba9k5EDxbyoE6aQeqOJP12L9DLnL70jJyTCMhWfUhmccc0zi3NbEoAwaMc9v6UaIVxJ4yQQqiG8VNTybxnEX+KDcPcnb3d0XjLbJQdffXmHYHp1Jpz1kMYjJMzHcTqz83t21F4feXO/MIilfW8Hn4TJFAAayBWid7IiXEd5Uf0y8q426RhKelzuOFnsd3MBANpngoQNmBAVoLqyauIWaJp4iTOBeS7Q3cxFQqNrJa4S03AR9RSPcUEiuFKw8SIZyfns1PDyTmpseJsM/+PpykGDaM0YNv2z/Z5DfsfMRVYt6BdjW4BoMuBBDeUa+6yT1KtZgZZITKUw1BxeBbvE6IDp6qAQGMnTapCVNM/lekkL0bGCJB+yPCyL3SYHS8A4BTDZl6pYyVGYebkd0s6jQcN3t7edtf8LitRp9kcgVj5+0RXrHPtAgWhcBHD1ZpM9kwm+oEY/gv2MjrFT7UViZlcW1113sqkbUtEL5a0kYd6PJRrVkF0v7S8/PLVi6WlefJBO/VC7QVXdXKc7Pb0zPrKKpGbw7KmNBsJxt/ReWYMdtrTIb4n23krfJbERhqXjkUWBmSwXeEjfFTwzwke4hWmIQ+jiANMWPp1i1AK50irR777kQQpWF9ULY9/XuKNapjA9JKb+2rrGnyHE4cKS1bY5q+Xl5Yfbmwy5Dv7xsJhuY3YMBVKQaMx913juSAHZI/tYLv0ZANsPHWUvr6nSX2pxOFOhrcQrhJGCd7JDMnZ7TBhCUnAzowlSNcBYaM/PeXh2lOqVAOqt77E/ZEPMlQgANJSrTQz+kmcKjihNGYAALbfJBXa7NK0nz1z14qGS0dAYSRDWo9t0R9BBU0XpTA58IR5h2IuUAUlowJR2M2fgb+zu+vX4gBQpv4QgJhzkVDGyfXNfO0RCgLXUybkT5893d47vowrMP4utJ2eGdCXWjhLYtsNGgeroan7dR7QxfFTDybU7vIyGRPUzgbvxdEiNmIFxEzihNhy8thlVHsCwCSu8C/LWrJJl5z+WaaWnlEToWIgVFNeSQqXqD69POO8Swp8C24Qh14icu5bHSWaMBrQU9g8d05l/NLf9x5tPn7vARlbDuf6dw6ODWlsL4UPwFJLtEZpIOMXGviGaRDokLELu07/qqMw9qn+k9Qqt2cU6DZL9FF2CST6K8HBKzmHLrha0V9hLNDEGv5mW2b0zOGuXxJq2sDq/tBWAMNfJy41d+LRMcPP2p/o1SX42MG3wl5aN8yt5IJCYwksthGumcdL8mKb1YnkNabOjhOJy8f2xlwIyGNY+UYi3LCVstJd0PgClg+3y7dkfR0w/L21PdeJTlZW1vZ2D+XX5udnGYeD/SPkMwM29aNr7yjJRvsIh4M8lgFJvKfuToSMUhWDuRkrSMeHB7ixMLXAtrEDayvL49F8xqFw9gY3plU29xQmisrLv9wwrpx4jJSt41c3dueMx57LPTHAIRzJEkRl1GzARBSOcRjRG1OQ6ygf9JrVzukUW5tqDLzdFgYfk1ZWHMC9/T0qoAuz9q2tjbWNm48/eI8WHR2dvH7zZnd37yxqGvnB7kAkcXpCLqHx6iImCDbQLMWFhiBBiUstbhOk0ay4ZXdLOV1UgrRVUilcKbI2ZAPpkrqCOCnKrp20GRVWTR2/rRxKAEKVXx6QRAmYFNsyqaZcfXMrvMICwpecVE3DbuvtHojB0kgxmQsJGE4k7I3jYI5qIPrD90HMHWxCThatibbMP956vhAVOBIBxFkknAcFhCh3ZdUlLUhTSRm6KQmtl29+zw5Bskx1p6ZOIXNOa6YoXzTs5Fj8+Zb7AifEyrVKQAQzhoGsM7k7OpFGXpD83d7ZRaP5e3bs22VkgS/+/UI9HhBbDA+z253dwxoIXGfWVW+1ZDaWHhUOkaQDo/owzbKVxbnwupVbTYwlIIXO4eA30/ka8wo1J4hoSWmhLtQx3jGHjBYXRivLn9IaO9f27KLf3nnzbm92zgTiRB7EJhemRDJMbXB0RApOrq3S1hM7baj0RUz5LSnf/87YRnaRrS/Tx0eHGtMhjnFqxlaQK/vVxN3iU3qAa6QOrpaQhxmMW5mwF8bAC3f8Qh3aqHKiCeVDw+1hc1ze2nAq/9fQaHC2GZpYyXzY4FWbcEbzi2U1qRQlM5nPLgkQwtPMsGY4BGiTOoSTBsxjO7dxoRI9sg2UD56MExcD1YP9ffTbSMiGyZdafvWfnfYrSysyS+zfGG5XUUTqm3TjNVdL1ab3DnioczE7Twvy0XFCUpkZYiZDg+P4NHtmGDksoFOylva2WrfNItcN20y/L8IRmhaHNSH3gRaCPTw6QpdCPhoo4T6EocogQV4XaHFgOX3QBG+xDv/lRzAcMs6Va0IuzU+FrWRKWkak0BqmL9AMDL1oVcotK3vkhClb2Nz84OEjkyDsevtu+8mzZ8+fPz86PMrLG6yN0iQ7GoTw9s7IHlOQihEh4NCXgzCYMN3l+QUJUijCTE8es3ECirBA7Ubd8KLi/kEIfn6JNqs9CwuaKHGo49cmCXiX4FOeUV5mIGhV5K7QyokDYcnF1+G8U2KCGzhp0m2h5xbRZlGiJiaqZawLYK8hfIpGNeVBCo0YqljQwyPwMRQJcFYBvV9/8zVrozcVXr95bXOOsJp/z6Tn6kJOVNfPX70KbhMxSFlxi1XNGDVwk4gnv6lkaGlDFhGyEmX30iHVinfL87dOqTt9kN/nwzMALOHBTews1It7ousaWhwod2xo6kkX5C3PtOLlUPwYK7K4SArQEPEiCgSHrmsZMbqeGYO9VlahbHGbyD4ixBruVEp95MMBWJfodanQJZ4wGRgCFO3smtCGv/piBRoaAo29+eHywuOPP/4QGocH0v3bb9++O9jfyy6mivzAhBL1ANNBL+sfxOIH9RVfLjZ1pqou2WqzvFNo1j5jhaHn0nsZlhtLsPCLvN1y3hjDEhd0YIONc10afPbrQdcJrkUJYkptBbHFz9ZpKWzP34QL7qpPXZwwXc7B8atf7CI/lxCAoZK+CyHDy7zC8jADqTygp6aTdZxGS6SOX2YE+BIxn51/8+QpOA7WE9pvXr9DkS0bDx9szA3n7euITss77OzOD7Nrz8EC0iXATWpBYGURrh/r6xCEDwFRFysiIidBkh2irIAtJxk1ld6UpxU/YaS9ozPnEJywl84Iy0za1FuC1KPek54MGJCSPjvsk223ABAIuizJeU/M8SFPlZEjhGcO8Yo4SBC9mNbiI0xou9UiULk5g2n3h1YO1UhQBfBd3tUMURQRYuq7JdFiDevh5vpHHzzmqY1qjtLKEMkyKzrSMAEdy5utffFjNj1YfbS8QQSilVtXVeYB8Bt9Um18hBnfbKwDivUwVpL2JWb27+Agz7XBhvF0iw2jYa0HrSt9TgvRb3Tq3CSDwgg+dA5OKyjIgEAAcOgC4m5fIrKJv0evbKptJCWfGfH+hfBFNt8osT8TgVRE4EUZFKLPPFI/8/PLX3z1BQQ2tzbnk2f3qOf1b3//+Wef/WhpeTbJyWxzlU6LNwTc9uSraRPSrHjoGrvRjSc314cwVQixs8OkPdWhLrCRwzvdOYiLy6NQyg0r/2bohN2XABzsH2srgYa9Rj8+yvNllU7a1oC0pkL12XKGVlY+q5+R8e0OsBCYRIM5buR2N8zwGTKOmdqXb7wZUGU1ssKuHvUENvavJlJRHZjbYCU2ncaWeb2Qp31SOOkxFLDBhOd4bAcpdbWZ9sAJHdrc3Nh6sAkp60iW/99tb+8e7Gdr3qVMXmIe3IOJiUcQxHSIRvu4j9qaQhvQ09irrRtoqdCFyp24hKIN5XDS8P7AekCoB21QTR0s0wTvRC2chR4N/7E9m5U671u1bTBRmvp0FAucQAP7WqityjptTQUfiw1uM7Vg6F1FsaP68gK3OWz1WBj34IFFOPC5h8dHU9MYatfX4f7+id305DuXnaIT3z59+uP5MTWQ8smm4ezvELRdTi0tSO1hDG3DAaoAlH7Jaeo6L1piYVFtLAlpzq7z4gMV4gBYvJnJC0/RXFyZs7F8dIVoySabfScYXBnLQ9ww3uFqFslvoMu8gTk008OHJAkcmFvvwmgV1YXsFdUhDvei5RUQq9/iwHlKLH8GsZJChgRM5XhvDg4yAgpJTpy3RTsZGYHaqp/xMDPQHkxdHB/3iuSF6QPqmVC1hNrAqsDrv/feow/4SpOSk5N373a23+2yPpXjBLinkZOT//f/4b+FHKY2ObrBR+eqIhhbHcCpAFE1VUAbPooMoEWiYClXxy0WRTUYtJ3reaUSd5GklSYOCkGfNCqqEn7CB/YoRC7Fwu6uqSFk9AiZtl6t6MyyqN+5tsYNlQW8ZtlJaidAmZg+OPBM2+Xnn3/59s2OuY8tb/qVM1MPs+SW1PzgAzHrI9h+/fU3JiFCLDhIjq6vr+qQbUK+rtHoV330QgzaZbuTQ3ZZzIw7cLgEwVjiIAhJiSyFpBdCEmNVHVlWEYeDJ+T7YIXKtKqcC7UNTA4ybWLXizQrqXINpsNDm0HMaTAZGm7qE8cwWasuhKdz8DWHfxgSNWXnMTleEt80UYh7LhVSO9xXklCqdpoocAlfld0jFyesEWVVqESuUYwzNxxgt3VzmZpXr968ffvGpIQOJvMOhL79QkUfUEGME4D8Uin6cW9FjJWu2d04R4xDBSUZB7U6AWCfKNcFgGoChUfF22SDGB6Hca8Xo9CQK4xv55tY5i6ytQXKLdWABU1H4OhUoctAqekCPqtAQvjBJuM1Ch8/fowdNo6IAkFjD7DDsGPeBQQvnr+gHPEXecLbqDCg51dXVqIW15eH9kOd5tVOXv5GS7AsaZdyhaBV7JVcDHwgAMnCtmY/HuXI028ZLbFvp6aWg3H2w4jxs8kgqYTadkxbsQEQ4wO2gGNFJgP8BvJLthTCyOGwkUb8kOC+F+PTK1lY5kdFjOoxDA3cbr5FvlQ2U9KwUTWsc9I2D7sgT0bVbzTMkoNfrdyq/FWsBuqgbFUe0rpQGTSlhyfH08cUjDhmNzfXWTIN92T5d3az0RFoh5N0PjMjOksOYyZvYENwST2LgBSuu9cN6PDTJRp0EPW6c3/quFRZExBAVlMT2RYwqYcmxAMCPVGSAVpWELQmW/OM2BptsFJeDaNYRWGme+DjTpc7AU0r7fg1/XqTln35NujCBdc+/PCxZ0D4WVHFfC0VAM7oZ4J2dvbu1eutB1trntSZH25ubsLWY8S7Ntft77NhZb+vDvf2yTTuSdo2D/Rmaqx3spaOzZ/EVZkJIdAvDAtrFlo2UwQ1dXRybuMezWAsJbQS/lxdeT2oVqzgyc4+PhhjwvxY60x+E9mIR8WlV6eJTzwXMJicY3E5naPd5B3wVs0oW+i53RKNvRiFCX6VRnDTkUVfpteKOlooIGCdksixDtWUKHeggn3yv8p4izTYVuHtPrn2kt5qJj7zFpgpTwlMTC4tSo8txTLRMr8akxCBgY+nQJR/nd0/OEB5opYJ0TGemFVndzJy4IMtGGMMRathV2a2jRL8AEQS2TRhqijEESfkTU45p0813HVNjZowZMKndR0Qh0uVGz0YAohrTuAJVFHO1AX/0D89dyp7Wgag6sz+9Kc//eKLL0xq7H5SGbcoSBzQzNTBwa6HRAw47zCx61/cYKO9w7qgFwJKdh3sHWC8EtSZ5puaeYsOc7t/sE+XPdyEBzEmmM9O1MsEnNSgj7ZphbuGPdJ6nwjfTWxGuR0vpq/GXa3ZiNBZWQnKA9kNQbAVKRiGR0mGXUmUM3RmP3ZLSxLQbPSGdlsmsWtyypM/xNMdQUd3emc1jSgk67GYI7oymmJc1fFraqUHclEZS5WUOgZb5ZDUlqBqCCUOrRE0Q6EFpLQiXVxdIFvi8/KEeRHVHFGv+A73QHEAEeHJkZ5L0xE3d8ZmJ5WHU1ipSxjou3SRmk3KiqANxioQPyAAZmSXDilX06GVckdGdLn5SK4e5yKnkFTjDJAmFQDDl9ZiE6wUQsxdTTTvX90pwS+3lDihSbRCL8SzuLjkkndpdNz9wQ9+8Pnnn+f1OLUZn1DFZByKFUNTFKvUL168xPT0lWWvuHIcSHc16dOFWzfXx/uTxtrh2saGgUdm+5dmzWOplOF0AikqqCHCHRArhkA+vklzi8EwQbuRqbJULTcXb+hhPeEfs4Dd2bLmoZQrWKkJf6DIvSRCuW8ud3Y5LKClytwtwJknC7TAavvFYxrqbvfujMw38sKfjkkSjOsTTAiW3oQ0J0RZeLr0T8Y3+NMqvxwO5jghhIxYnLGvnwjEHjWALUJQv3Rx6ytr/qw6Gg6ODkVx3MXE+YRnRgxpFLbk9MH+t21oJChveFR2FQEq0A+3YFYiiQ1V3/4eSU7VqJSFhQbihPIF6d5eXO5SW0CwmxYaRuKZMnWZG95RGJJaR1XEbv2qH73Mbpkj/TYaHCHcCquwG6oUbDAcP3z0/tdffWWVP5lVmafR3Nrq8tLiPEteL+G1fYW6C6ITEUKH6UpOxuwkGbJbRjMFO7t7Xu0lH0YY5OsJK8ggB70I6OkSPHESYmRBujYJYgIjYOlBGNfidAkstLXlDI5lt7JxMg82riwuMxlMghyNfRNEbLeFV5vEWF5m2c2YMdPzlBuXabcJI+SAG3WkmvRFEz6dN7d/pDmDD8yuxQjNi6uZ9JE7c6wE/2ERpYkoMxtogdJJmGOKqL6e1OGs81hLdIv5Mpsx5mFyeUbdFxYXKKJQJy5T+4J+Iyut754+6NKJSz01y3qouVSIgyyKDpwjAASqQBg9laU94VRCIgMoMeNC9jAxv0klaGJUubTypYlq1Hccox2b5C6mt/bE1p15JlvuNKEoO5TKmC1q9UjWndOBUktdRKI5PcAlo4zumglGJJy1LNfM9Hhh/uGjh8+ePqWFslcPNmXg19gwc0wcMPpVZiPF0TBxHgJLYr24yZdAl01rSiEHcqF0YHybSKJYifFQBGZWoSaARENLIOzABMpmLzi0lxZZ5YwK3GBr5wZOMt4ODk6PDq1/x4RUmBirLLjfO9inKbyf7uA2MetB9nh2BDMN6li3lHyhpO2RrahSfPYiHrUiP/H4YBT3YtapIzuajDE+0znuQYmHwkOjiWQoKswpjVUuya+YMOScRvMog3wXQXs4KNNyCTcmy94xrmMua+1RKfVoXhYbqEhNV7gJ5kpjZEcGFf34VbOZhX2QIOMobu2LavuhslsOatAaQDzQ8fJIhZp3roii0BIs1gUitWLuUa5CD/1bkRAMB1++vJgVwSNCE7EJhnJJbTZwmW4xL+1NzLcqTeq9WWOsATYvgDjXcGJ1w16dIxuEMUYvtYHE/pA4JpLC3wSNpf0ynIapEmvJpAA+khmhyKM2hTpRWMTOSFjgh41ALqGHb6aNNFwXzUZtyzVFIZxjeMbM8YkFJl23eqGhKxmHAr0y30eWwk3bKukfv5/mlwltFxfGXmqlvgGhZgZo4u7heHEYOKdnmEMvTU4xKumE2gmNFYy8RnBwF6VEKPqm2bygMXGwf+DdjijgMmRt+iFpL1v00CGKojeVlYCGjd0mm7v7B7hGdDYG8Yw0zIZMam3SKPGaOXNpAA3DlvAF7zTuXAA8IO0SijCAXLHGFYMUsGIIFyqgvCvrAvAKeeNcHASnkD4ZiHTAL3YAWzIYa6UtIJSsB5/B1ABhuXd4+PLFC3VUtgMMVziXtfVVNsMGLOlNNo9ETVBpB9zSXzIIlo88P3nJPFAWwA1byrK2trq3s21jlqnn/t4+/dYFGwN4Dw8ekIGhyuaVhITeLFTNzu3u7dmT6H2Fck8Wc+STWmthbv7vdStHUmXntnHGA3IHBr0TdTJub8dSwlPqCBl9QVU1oYLsAv5Avsxt3pKCP0iAA0mZzx8cnwCLOZgQbRFpXR8tjJPdx6Ukl5J6yJ45BoYn1R8/Zao2eymzmj0/g3E4wGFSaFhpWHbBaZL+CRjOLkdTHls1zk8x4iI7WfJQHwOGA0JHySknLkHDKzYKW1h0UjQPjyVHgGjMG7nseYe9kcrdlNWN+9QGBiJcZMuCKndpXFCp6EEZf9VaJ1RGrS4RDMWClqjIJbYUELMHE8MkEo1pWkiEtJbcw5GKsVRT3zkIqsWdxX7kEnAL7Z69FF6YBxlPYkRiZBO39jcFHOwcsWIWLsSqlUsFxjodtAUZ2QtQOW6QqSzTIuG2tbl5fLhPt2kTj6orfpDssZiB5ZrUJSz+AR6IUmQw2GilPjfMrMsqUNQEHXEywcHuJcYBo11DQ7+kCR7IDsoESdWan2ijYXqxbUQAaIpKtO5q2L/w1IQ4oYMQEmWBYAIBK615CmXiTNwWzcqbpORgh2DqB/eEJVBSGT1cIeg27pgLhgPhQcIvaDsFWRtFwEksvn2+hxUQ2H+3BxpVbrmIAbinHg9skEKuL2RR0LnB2+0dJEfvkiGIp9o52Z38P/3X/2VXJUJ2vhujmbHlbuCGbx6xB6vCmrAYHqQRC0zZ68FAZMNGWyf9i0EIZlrMoGCvP4dbDrfAQqHKutYXOJhu24GablE7bfd290yRaNXLl6/CI+8drSeTdvb2VG61g3AdIw6x5WGilNB7eoZKcR+6YwMMUrtkaAZJsVL1hurEJskyJ/y/3t+TCPWCjmgA7Sc12EJGusHo8lCJGJWKGKkkF7M/GMwvzasuuqPSaNEQKK3c5QsMQqqf1Ks9NJxjzHkagtZaGObkyCQUqy3QGe7uSisxjfpqvxndyGQxs1QNsS5H1sjjWzKrjzABT4bMeo5MrigkLM3wqHGOZgtKfKRNFjVIgAJR24ikNtm2COQyhOOWAu0yJQvOCgTqbjDToehvPYRnJmsMu6UQAVhheQ293CLP4M3W2b19fBzjRsFJhYzbVJBfT/UpJOGzsR2Yg4XXmBh+iMpNBOwFvTPpiFetdaXrSAhhAmU3sLR1qwUACTjBWElvxtD23CbGI5607fOVeNIjm+xoCKhH8uH99OkzSuBRYBZLIUWhYZCRnXry7bcIXlleMfNnxuZHg/m5qcvTw3g0Su+FqHk+NissvMvc9MCDhXveAHuU/+yM4EYYmOWVlVIsa2RJBLAtFGZ9Y3l5ZcH7nRM/nEoI8e+U9GI8PzLK+WuKVaTFOsgmiIHRiZNG/ixl9b6N2qaBFWIUslHZQZZI49Cx1CXO6BQtEqd131UI1wpzlNABJ8D6JdVqlRCkGHgpoQ1zw2f77AAegKkfV8oXT9rAceNVaAyq3u2vYhgyPzOzuTj1SDtQetHERAe+tvlx66L+aAx/f365sLTs1RsMnFmhOb7XCFLmpYVFowTxVm0ZPgE7KPO1uOQxIR3f5sSMp+apQYk8PYmRDSNwzGl4zVoUSxrJqIIfR5OXjOdRwQvjRX0Gz130aB42Re1O7WPSH5jtRnEKGeJN4uX5+HtS129oq9FgVBrgp4fsbF5XMDOYXTB1XDgwa2O3BdEFPC7LYqD36OiRTHDF875yQwe7744Pt2+uVjcWPrDQojsUgq93IapFI7Ysic+LS8sOLBe2MBajqbyVhIf1CCvj/+ChtFbGj+iVf7E/Z31laWGUhBzM5R9Ya/Mgm0jMkxQpRkjC4Dl1su0I36M6vsaQnY9Mh9lbSnhym6rnaysbRmG5ErTjLQtBs7BRNTTCnIaxiGk+0Wup2U8GK3dB81ssTd6S0TK0AIzeZO+QqfqZDAXCkS+1DqxDYGWhvZUVHKyrzV2x0hoGZww5zfzdXbsRqZG+z86S9JHtIu6FRZt8vAeK1Z9CHQRIn0ldGi9wLx6XOD47sXRoZ1vcIp00OoXEvnBiu21s1NSkHQGhPCbLu3EHNgNAscx4hFTSzDCijJQbH2iJVvrQXH6V7ntTDZbFWcTwRs/acgZ8XFVcHkepFzQbiGhDKQErcUKhb73GcPj+B4+l1Ha29058Yo/Fynp7PM61N7QbkJC0gXh29P6HHy4uzBvogglj4dIWKJiZJ9ZuCwvSSe1MTb95+47pMjDcW7QIuECkWfYJo05Pam+7VCWnM720sGm7hFjn4ODY2+psgic6QTKZWVLyOpIk6c8uJEeRnp7MXeYS0lqEQRTuYRHI0PCaLmPatXUlI54t5IOaEGYe+V4zwEIYMPb38x7MLKtYi4dGb4YrerElFNXEBwOjcQlUSGHC1FI59YqpqCBEE0DxUPP2XHGqmUBErFSHOrIL4cSFZ6+FvElkAsjpqwe4Sy3kJsy1KatBbmoCcy9SakkhGBkGEiU5Ok1aZ35h3lBhAvybYcyjFh5SQLwYTZq0ctPYBAlj8drbzxaW9K+nqPaM5FDe1w3v6FYFHG5RqxpDXIFRnbEi6PAbv1C7GSsgiIE0GoFtNjFVXEzrEI7gWw9KDYmATXaCPHsQKoQ90MpogLOuKfzSeLg4Hm1urIy8tNT74vMtT9k4ms3Lx4KC6QCDf/e2ieOzi82tLcs2dtZs7+68237rLfM23uZdh/KnG6t8SnbXeAVZXPzAHn6jBtURSlyGnKo9TLOv37zb3dlFJ/kQBpwNJKEGtiQa8h2MShdjCDsHgUhPBAZQ0jRRjqE5oMy7lGKZ88rIZ30GsMPrQ0JK5F8H3gKCBF5+PM5KSZOvi4Co3Btela5Em3WqjngLwtUvg5Q5gcuIKQG7usYjPyv4s/lnnjGnEOqQ6YN6asF4NFI6hw4rDR3QoBIMmF60Pcsz2EzjEdrUtAxIXm45mLIgKjlZl96AEwKYF6NI+5qiJU0gSivbSdmibT3IIBrvAEZmi8hMqAgaq+MEFJVVCC9pDBMhWcI1hCgH+4d++cAEKIJ7ymqgald8T6rGOhEJ5CNLtaT96BEPxWeJAzQ9t4nElqqlhfEv/+QnH73/0HMyth3TGBGTeZZlg0IhqXC6AnkqZXC7tEHNag+Dz0wj5P1HD45sWEsOJQjTqviCu9fI7u15ski8BX+zrSO7IeTmE+kbhXCYG9InIZo6xpLheXzyziQvka3AmW5ZAkfVkK3MMjEmNfGEZOGPskn0syKKKRG5wgd7eSVat39w5GNCCnlPhVSE1GUsgaJg4MAZHL9KVFOHeFDtBPMxCbHiCpZZZYKAgJq4TRR3bje5HmCZW7dg4pUQkibqM7GaJ7k5NUVj/Jok6Yv+OYlNnZiUqaGtJA04bw4+6auphO1L1FadXRgOpmMIcBkuZcqTA/Z2Z+kGx0VziNcEFn7dAq7CMkQl0kSLhpRMWK0bdaq/gIKKVpBgUdwqjkStIeIXKHdhLExxAmGmQmUzrmRyK3CxiXFj40ZO6/jIy3lPB7MTDx+uiZW/e/qdPAqDsX90tndwLHFnc8z6+jo9Pbm42n/5Fq+l4gFpsu0Z8jT9e5YITRb0WBk75mRvdx8JnEmlZpJXY8jRtXdwYgGHnVAiWXmCsmtR3dLN1KwNMXjAKcQMsd9ZBEwU5/CT2Xfy0ebtYx6b42je6qvtLrZgEfRYd45UfZcmr/BUiI2U0UY64TirY3yWvYHjbZbRQsLoxuZe6apzE/U8c3aUEEIFUtVjBF+5fqNTBk7v6AXKczgEa3ewKx5Bk2hhPtKW3CHViYGvrTWa4FswrCQlumCo0P+aQJtW95dXqAFZN0WC/2AJhJZ+3dDGr8aEqqrL8CjKnkyxEzTrw+FWEZ8Jjj40Z35ohFuaq6YJnCiKg/0rUrMXSgXljgaisgMEHTUo9WMPakHDxLN6j66poFWSQ5M39hGvr6+M5pdevHqDs8wfDyALcXiYR6ktiN1Mzj169Oj6/FRGFublGfNSMjOzDz/4EJF5LtjLjD3ykKUMXR9LLpu/f/HlN+7SS5lJka9OhVKGF8uXibBvRc2PWXRb0dnAosHjTCSS5WuDlYJUppOb8tyR9wh6G8IZhwV/DIIMLcTQBHxkw9LUEMWuCs7s6J/rgWfqyXhhP2+JUTWj9fxlDBVdN8HEqzyqWRlmOmHAY85okOUadTBNWJ+gKeMWMhyG4OTyZGc7LwOonXYkZORE50p1qLV5BvTsQgvm5aogScn0ZYnCniISJ514vaQSZ3c84eKjcXkgPAmgCCnmM+6+nWCK3ICEPkAEzm/XJnWFYJVzzC2HkFYTJ6C764j+lsa0+KOw9cwFmE7cAlAT0O6RUFMJHUcnCN27QjBNBYxpSu0ksXBciWW7rIyzxqLUExk/Qj7n7CcPD7I2YtSeIdHuHgZ+bs4jCG/eba+uLO/u7WLe0tIqHLDY/JAuGqlctmiSontvJ9JMqri1N+/eEuH6ygoPDm061IitrY2gLcvPWnoihO+embvZ9VlcjtDqK8uabUU59FWviR/nPJtRmWdvsswsD+0siPIEBhUJ8ToMCN4iGROYCjtauAUn4ni6pYlgCQtxjiMR0RoGLKbPBAhvJaO8QvT4QDSZ1Sj5s7PD4wXftq1po0yE0FF3VAHtkUdcWyIthSDTRUXO+yAI1dwhKbIgGicKnRCKEpco1RwV0EY+INBaXliUwRIfyyZSjCwB22fWwta+xayzVmedYaXyahu7RZzw0GMEVD1pCxV1lLfJaYS0BUS5ywYLJ4fKDgCVN1gMxVa3gIK0huob8V7alVFWMiAzmAiDEW6i42E3V+C8eLltQvjg4ebE7Ojo1JpHvQFAQuw8YbJ0Azs2qu9Med6XraM9klX8o3nZsayZ+dn5ZZIZYjLPMuQlCNc+lbju4bDlJWjARy+GGGiCBOJBpi/YHB3m4wDSrNRUqGROAH/km+h5owavN5zLWjhOKjPDGI1XQcM91GGBGZKVbwNX2AtsWGroVnc4hg9KpO9pUgVOM2ez2fpGJ6g6AHrTwH4oQ8SU2OTI2xCtey6uLBtbvLZntvSqL6LFKC11ClUSAQczE/VWVsyvvuBGHM35FiL5sFuIcksrfHCiMpEZWnQzwU9N9hkzJ3jDylIWyVUewEzdZRRLMxS2vIEG0eEyWhAjJJXqyuWErah6ymQ4qYbol/7E0tWChiVOUuig10DBG2096A1lmlKxl4Za5CN1wl0C8EgWyglDSAG+QZCtIL6/kDFkji2VdnkubM5wufI+cTNA8btA4bvnrw9PTn3b16M2V/UgKK6JpI9Ojg5OjoZHQ2bMm4BXVjfsitk/FP3GcuIjyH5FMq5Ozrxn5iX6Hj7YXJJfnbcAkNyjlSgTbIdMNA1GKT6ajQ/H08enu89evjw5zpf05gd53CUs9jUZ0fdU8rSH0/KNh0uLyVopFPJsrq2SMSFhkdyl3Jc97A83N7AWMrojbizFBJbmcD+7akVoMkHcF6WXgKQFXpI2S5Wmkhh7f3NLElfizbRuamZK6Pm3v/tcfGFajr20fO/woEQRR1STwigE/tChHjNYrQJJdH5V12WNekuFNJ7vGkXhYNVBERuvsgVpY+niYpdKwFnehVB4Fb+4QOLkwuolDNKyGxsxEXgdIEoQIK+ViKZoQxWgIlOTcVwJp8yaY2zDL9bTc1VCCGTXnFpSIPncVhoqRbBCLq88lApS2au/F+fH5qhGaT1PjiPX2+/2MUKKRtdAZXEVypLXMRGiFu/msH9Xlv8kL944uZCl9D5UsxIvRTw5OlU7Ee+kZ8NN1i4P7bg8D6o+OoEshht3sGN9bQ2l+BtGnJhYQVn6eEGi1mt/vBzeqMis7mZ6fW3r4vya2FaWfbdi6d3O/utXr3f35FcnTCVsjzI/wQORH10XpvA25gRI8LJ1mvHkm28IzAeYZUy8fXQ3mwIOmMk54bzHr6270V8DhgEoEfZmDaORybgdVJWNZ6MlhRfk65bmH65vrHgXoQTJ3OzWg3XMef761R++fmLwrKxvkhnryhxiYNGV6Bux0CA7iJEU4SKQuClHm58wJ7LORERNoQLzzj4YV7jXRsu+rs2lpby4Ju9BHqPaNh56HU0pbTECgdWKAifoA71NC7tVN5KGid5cT3pYCloCByVmN1wjDFgQyMkrozxfFK6VfHrceklySph397gGzrg2bnDtSca5s7y6PjXQlY22JopmfzG7yBvODCwqkD0/qZcMpmKtZAvZ2a9khMmz+vZGVHzianlhRJ/BfGsVdGcPAE8H047YUuuu3mpnVn/MPybA4ZxRhDoy6wCRLuKy854KYf27t+/2AyDLgqwOBA7ebq+trW89en96Zxej3mw/7QfkZYkoLKe3sJDPrZMolIx7+/AojXBIZ1SErSKqz374Q3UoFVTNHtxSiFlSbUYuxlorQqjE1Xhx0Ts51MRzQRds8dms0oTO5vxHm+uPH2xeHO+vLCysLy+LsR482uLW5dSev3z97OWrweJSNvJNmqLemPRIc7FbFikQbllqxJaLryur16pG7qh2ni2dtZ5otPjPwBO8YwuGh+cVbKmmvhm0oWNvJFZ6RUU/Pc/wR1gG4tws02XbCMHOsGGRE0W2d2LWDl07LUdRC8TThFqnrVfkY4EtAyLQKZMwj53sesj84AgjqDPlYCbAgFJNBtMOjnwK27A0Dw8g/TMN8eDdxNry4FiSRd1sok98w5Th9bt3b6BoZHhklErSFbJHm0mQPXHCF3KznA4T6LHJ73b2KNXrt8L0vP7p5Chr8vJi8I7E5NwtD55NDs2y5zwuqw85ce7vJC97rYMfhwTeYUJBtcfdNpI5rz7HSuH389fvvv7uea2UXSYwH49FSMbCkyffeM016nzhjeZy6dRLk7yS+YPH0GYZrBFl/Hivi++3nJwy0HCiLlAUMLIQAtvkjWe968ZM8+TZ868gg+rVjQ3eQWXcMNu4EBJKj52dvX31Koo1P9oU6/mYw6nE0uHzFy//8NWT6eHY8mqZa+l4a8MxSLTfzibCwaHeEtiJgKCXxfjkTgmehUO+Adl+iY7olDzs9hoPRUs+IDfgArzqT30GiX036Fln6V1Lt6L4MnIJ7BxUwl7X7L/ITKkWhkiCAxeXEJsJNmdZ5jQvLODRcGdf8HJ0vLO7IwlJz62HM2YRoXpU5GbKU/pCMVlrI83jtvhydHwo7Lu2sVYkfn755s1b44YiG42P37edc21gQpatdeZEC8sL8xQomiTBJByg8Umbx6CK6xKCxp3Jd2S2YsHicGv5/PKDizxrY0X5bN/8W38iwRMLVJn07ewdJAc7ZZnsSGv4eCtQbdxDYrvIeU9S6NEcwjgiVNWQBD50RMrURTLB8FXfkN3bnbQoJLJ5//33mZaXL19++PgDGp9l+9nZt+/e2Y6BH1tbDzjNN95henDy7MUramdc8OoQoN50Ls4l33wT0YI68fLVa/5F7zZ72S7mRCnfenieCZ22B9nTd7659d77Wz/25IUQ4DIfWT369tnLL7/9brS0srC89t2rV4I6iX9NWCmDhXZjjRWJITMyDky46Vem1aC2ZAwhvHVkFCVTLYZMqBdPxW/W1pXZ2UWIUz4csHTrGQ6pncyDsr45mfVpA6XiNmNGv6CxEVmKl3jN+lrlvvTMz2rgXjJ/8ntX2fr9/MWrd293LGuVaNVioLKM7iRg/a/b/CU8hps1zpPXQ0o9M7UwN+OVLhu+S7y0SMXXllfM51fXllhZi1w1tpOGCJi4PwMGmLxFPVY0/6eXvFynMoEGd9ZhPFg7HK16Gd9t1ju5Makk2k7l2QN6Zn3QFxnFc8TriRqHgUVHOHPk00vWhXUUDu4fvGXM9Hzu29bU8rjisHUvCb3xYdLh6urMQw/PSGqcxlUdHnsFDXJlmGjh8xcvmIGKHkYnvrzgYabTM4EUgyoH4oF7y9vhtZTNy1deOAiHi4NDbCQFPE5eV2p7ctrXVlbXlhe9MCxZMl4y4bx9O94izX17u/P80kLeayPDPu3r4iKQ07e7Oy+333mq4WZ67s//4ldrW1vXN16/SzIyBYyXwCMWnAE79GqMPFmTdJKpRhiaLJK7ghBLLJG4c2pB4w0qGkZLSISZZ6uWx0ujiWzDUgeXrND4EFOv0yCWjFRGkbuOWEqKa8qrbz1lONcsz3OwJmAxjL6dWW/gef7yzdNnr4R1vJnOxFhUAI2siAJBOxYIEZaXFzyasLYqBliwAXzdytvCvNdiJUS3gTE7oIyBSe+HrXeqeUmG1mBkhwKl1LvJpkluNGk2M3DGOkaxXrhoVjbiapPwygOgiU4QUm80COsc3kiRt7lMj2YmvVbbviQhrsQXg86I8tRYYM5/sJ91RKvHrC/79s2Tb0WHKaiVDeOVnaS1wmpJpTyKyobhGVyyi9DsMzNtUZHXxK/UU1k0cvLYIvoAOmEor+9NEN6/sH/4bneX7cl8hu6+3bPevb19QGbkJ6goSqxnZ0FMISdrzjbyArCLSUtJTII9Ryw5wsyZIPjy9VuO79Xbd+Zq3BBMcfPc3oebyTdPn7/dO9g+Pvvgg/cZZLbg8vz2Ox3RIQytF2T6SwOoDkUv25xYnp4ZbtTdLSi5pZB+uPSrGuqVqOBShGMwwA2ldJHIYA449rdSuqwxMzeTt2Y41ThiSnxNmTIBxiaqFGFPnB3uT1+f8V/CHh9pwQEPd0r2eMQlCrS8srrs/dDUyXwIySZTMV5cYysxDDAI+3wmKTQKtSovV6qbyY9HbuWLoljeYWcx6/TCEIjhIs8gh6RW+w7/UwQ9DTJrhGqyg4F7Vk+bOIFA0WyCPitDTNGEUd68v74s3vf6kPNv+ac372wilP4CJfYxj6+KLc6Obogk+9w542cvX4SbcQRZ6aIMrIEJoBU8+gSI1w/RPV5MdsyJrimW1+BZuWexhBkyUNJk796+FT5DkxIL6rUlQgAZFMRbEPK2EvtzvGPUrtBHDx782S9/+W779es3b73JkgqSGQELjoUsVr5jbvJqIEPMoGGaDLgZG28k5J48fWoG8WBri+uLDaAfstPlfz1BA3OCV4yBNIO6+BXblAlPGkK5X7qFEISbG+KrOtCEgxO3TFDMeFRArxORRlS85OqumrpwMnNcb6UB0UQrdstJxussxY+m+EjLWEYgk0+XSzZGSBCUJyrrgyZSjLlLQpNeeLmgEC0zRx6aPomUvcFHNYqT5Y7ESPWpJgphzLooUtM6ikVj4kbt585GDkdRq11sEkaCxuw5olQcZratzeTzVdomn0oZs8E141l50DmjMtFCyEjieu/K3NRvf/f5r/76t56e14QRuvb5o+pUazWqx4QgpoHoQi9GZcdbMmfh+3y9NlIPrJ/XimCMJ0h5WIbQJNjD+Ii65bi1P28mr1V5Ma8Jhdym4I8UMFW1kuvJ5sbaew/WTZ5N0vX73dNnPp358OHDn/5s68HDR19+9bXVUUwT09AMHtPqL6uTsZtVI4/UestZBjE54crO223r8BvlN6TBMuo8CXJ54ZWQ1qmMwBqrIaTGDEHJN8WTEwRdofEOahRZGRn1skjBsX9GvzCBqhlyWKsJ9QCERKgHkrNzt1LivOnMe5trSs29V322kS3CGnm36I4pZx5ZoW0UJj4L4yNNf7ObqXcg0Z4eBCgj0WhGHf4EMQt96ltetK+hVIf2NA0xjDU+XDpSuQ5Kg+NoA6ZvuXS4VMddPajoXKGlDrMHu24zhwr/YmvdjTXQ7Uy2u1CpVjvbRD23J91u7uFFPSx9wvR8FSyaF705OcZIw9QUwlIYHrDH4BiAcYj16hT+1MQXsawvK320viYNBlt3jSKMpvYGcSPPbGTwRmBZwqdV5j44Yz0ZxbJlA7Z/ZeHjx+8/eLB+uG+LojWMqfV1i/1H/+Iv/sXjxx9+9NFHDx48OL94Khj80z/95dPvnj5//syON5ZsY3PDVt98JTi8jZYkLeNnappne3b8nOkyASJNSsyT+JKFSnTLaMVGrs2vG5x9lsZKFgqbybC+dW31GIFBQyrcFeheWKohDSJ39Us0xqc0jaGhF69rnPFRq5n//B//p3ACSG0qUyYDlzIE6A4GRuJ2lRhtgJVQ+VlNjGOSP0nN2APdgGBYRuS1UB2W0gYmpHRCEwKgT2qq00c6iuJFmfwqdKmmXyUunffhbh8u3QIcNCcYo1znaWhujb6hPMqE9GnWoq8mhMbffvut3KyBbp44N1gQJXh9mHiLecRAvpKh8lr1c2+ZylN+12fHR5e1WUUICeH0MjfAxFA0fWFSTIdFjtadrBnjkgDIMCMJuCVNX5OysfWBgQEdIirfmO8XY6IEhRmy8crCNWlmrBPXq6k9sKd61vdzhqP3bL7429/+XkcewPJUJW/7xR/+8OGHH/6Tf/L//Xt/9gtPb6+tr/3mt5+bqXz8g89MILy6lmJ75QS5ZcVjZrBrQ+x4sDDcGnjXky1+9kVPT54eWUUIqxHl2PjkE86iZceUckpNBcTMP8R8NAmfxWHsN6ClDBdLq6uCSEYan4sn00jz8lSyIFD1maoZ6TQWzzZavVF88oyLuRVwRj698j8ZnHl2pRb1VOIYuOlEzYWiamxIIxu/VeoCb+V+ddbagJ6M6dsdZ7nrvKt1BZg5UQ0CJOq8MVHHicqN931DPSAVjhTbIJKukhN/9sV3zNZf/OX/akoYjbFcb/3s4AgEcuIdJKLkwwBnpSDBp1vdGyyOb669MaQ2dJQ26MsLtbCMIpahDrbMwKeffmpuSQzS7krIErdwaGd7W9bDVJF64i6OswSJvjPuBhITAnpuIBf20NYSpOZokUamsFf5JuXF44/e337nTfQn68vz//bf/8VLWbSvvzC1NHezr//TTz756INHT59891/8F//ZP/un/3xxYfj3f/pnL19ve5pvfX3j+bNXzIFXqur67OLUAwAfPn5vdXEeXCvZi8OF7t0+DAlb/QrLCEv6VW5fE0e7ETKiYbwt9GCCSyTilg3i+IZSmSfaopo6YvwWDTLVoVXGwNaDB6xWfEfLr82VDgBqoZZEOZgsQyqEDWnwsgxRzQ1bM/Aq9eNU7g41HZpDDkAnNFCBQn01qKoS++Tu/a+7kCswMX6Ml8qA+EWDcq26gib8tbamPaaDkzODnYOj/+f/+P/+8uunJhk0gA4lW5T3yy/7cC4GgSBm6n4FobaCYJy9foVVdl1KBOqSPTNyHj7coi+FucfIzlWWuDIv4+nw1/IO9bMibkIri2ihia/BaCKBtiNPM0wL2qx43m4KgKihL1BCjpqHewc+tYpEYNeWPfVHJ8wEJ7zDkqz3jg42hwtrmw/4ol0vCfdA2/6e1xl//OH7/+yf/cX7723+43/8n/z//ud/tvPm9cnBCUP35sVz7zsZLy9ikecfTdQWlxcGnNPM1PzyKl5ZJNcRO2Rqi+myz77gIs6dmRvx6yowMxhLWBhlOEGVnZbBhi2+CZOoI+NOOJHP9UU2spehQaxWxYq8FjphA1fAO2BrCTwCJia7L4r1Zb5iKrJgRz5CLbd5AwEzRfdudrkuGfNyYvQ16qInhLUS6A+iWTCx+UVG1APypZGMX9m27PPnXTUBVuU+ofVBQk7Tp0MsT2TryK3d6vGkZhBOnegcX+avjfS/+bs//H/+yf/0/NVb7LWgOL+wQul2dt/BcWlRjJtAR30m3S8mwhMQe4x86RPLkiRjuRM7Xu7nCbOzb588mcf9mrXUw2S28GapURRcXkNmdZ07g6MYndOwkoYEjLglxJwts2DrTLyelbubVWnMhSVjk7shKsxhCq0diRWo8ofvbUji2926u7tjc47HR1++ebZnG4x3cA7nZX0lt/7yr3715Zdf/Vt/+hNpz7evXxujXCp/x+GY8Yo25VWYmIX5wXItVNCJipuHr169fv122wSQBswvekXylqXM7M6vLX64gd4WQY9hJDhIiiegfwRnfJq4URQOjiit+QpwheZYAaZ4g0wW5qVP95kQqpk9FQ6DKUajXA/QTnTpxEF+PAt7Qx7Ksxhl7dqrUfLYTLaGqUmdMu8ra+T3Ho7mlolkBEkxkViynuKWuD8ncskzrhKqR5nAhwkVLp3hofgHCwMUKAqqgrvpo/bk+A3e9dJEn073vftf//rX9MNM20Z0Kr2788aDzzbPbG6uoNzcVa6GfcJBSVEAjQpSpAbfPXtu4oYByU1YTllZtlDjNZueBlsaj2CFHjlK+Wumm5zQaxHJBG9lanLz4QN7YiBj4DGf6NWLnQvBsuIP5ODV4tL87GkYJLBzSavIw+HJA9bC42pmDrjrQ9FjrwdfW/viD1+8e/7WxvzDo9OXr7+lBzNTphTzL1+9/OGPPv3gw49+/ZvfkyVrznawoKZapbLTr968MfNaX10Vc8LcxpyrG7tfn2y/27Z0tFIZYUsdeE0kWAoT+JCFIAQ3XbaUlcDWuZ2mnI6Pcc7X+2b1RZRv9t5Q9xBbh3N8Y8YMTuZKhljzfP03z6TSr4IFHHXz24JUw1GqErNG9MDxpNaRVJiUdbqBVprjLDyIsDHrmVnwtt+DO0hmLUqjBAHkqlUUg9FEIV3L/saiJSs2OW6mstStEzXBTHcVs/tVT0eFVeKk8tBXn3zw+OWrN4srS3KZ8LtKcnGOvSFv/UpIyD14CGN5ZVmf3JqXymir68X5hccfbaxn94LcCiOVvZFff/2VBpJx0uA0CQIS/R4X3Nnd5zHXN9clbBeXF99beg+S8MECPpFhDpuMMwToNcRlDceoUWi5ye5nr+oHdt2L3pbmrVLb20URPV/27PqVL9171uPBg6237/ZevnnrUXcrsXZ6mIFtba3bTc/+UXsJfZ9esjUK/uPx4ub6itE7mh8aIfRDR8aMqSJrKlX0+l0W8tpumdiEmd5/OfIyejz3NGkewiGztiMAYhqKNGmL7pem2gXsE68+EKq8za06Ig0zUlyyvsEwYbfJrLtExX3O8GWY0NrQatFSpE+RbvkpJTqogNQ0NSkEcDPfyzOWFnEdtqdc1MysgpJYn+zjltFOUF3PrBkZwg5ageFUC2T+qXx2Jp7MWamVhl6ALjGdrRPgi61ajXKjzl223aZsAMH56vL89ZvnQpcf/eDj3QMLtkkjjuQT+tEr37wo66ihuEEyi+X/8KMPhQi9Y0dYkNmTWVVNv82zrX+xVc+fv+CARInt3fIiWhqTrRzHhu37G1smhgJ/Gp4VMuqVh+Vi+jHKCSkWZzKuEm0V2lHx2koKN3VMIb0uRUiUJaDjw9/83RcmBO+/9+HDrUe83vrGpk0MFuXkCDiK3/zmNwKjb7/97mBthXC8jlEifGX54vmzv9PxejTvfHFpFczsmTHCTSyODm2rpe4z+biLp3nn8VBmmQIRR/OTmYg4K4nFICmEJD2T0e0xjJ2tDE5ErtqqrIRqMFhEjSKtrM2JXzVUQdox1tvRIMiJzFySlpLq4xaoEpBkjBPQcEP5oFWYxaDQYmxi4LtVQgdzd9P4yp7BppJfxocXs+bBfKGWHQowSGNWUFooCYoEiWQWf5/FRlvR86ZQ2p39koWMOjCsTvEthX4U5v9ZCcMpQc9gtLBdXx1jQtUkVHG1OB1YQ0qYxYDhqbY6Dw1JVNyYG1v/Tnc1msz5cdC+CR/SBBqOsM0Os+t8aiZv/vAqDu9FzuKM/M20xStbo0R7uKci1wAjRsw4ykI9BCsbNDW9HDB5q3ZMO86sLQ1PZbBqAuVhoeffPvmrX/21/OHf/9Nf/OLnf/KHL78gCh7YFjIO1lNpm7afn19Y5yY27N14uPnB48ePHr33+u3bbOCasgawx7Atr62xe7aLqZOJ9sTEtle0S5yenfKnC4uS/0vE5MlFOudgLJhq1hNTaBHE5GwEx/aT4BtFAQfmaqqAqy5ZBK3QQSa+VD/nFYq+8leeR5eojHgi+0q9GHgIjmZUTNf0u2xZOlFZnehqHfFXmet1mJVkWG5JmRgNHvDybEX57+AevabM9gNx8MkaqGLhDc3OtfLrYLFhQtiZTKWBJ1cSdNNdl+C7S4lB0z/7DA96aZqztLz2aHLgXYneSiaEpveAIIZIS/USpzE8tKh3hwES3c23fe0yFd9kyxk1gCKbI0PsJUEhrEICjobSiNBoVUeLTIVd6yYE9irOTVMg05nzfCrW7pyBN45eiCYBiYPIjl62LMbOIgVI13mTg1mhjWJXwznPD5r1D7b3DkZDKc/1p99987s/fDEYDX768z/Z3HrgFYTfSoo+ewWBf+ff/T+urq1Z6BHhURckMA5//etf4wZbafFbvGp3slHNHj96773IkkRqCeQnn/1g+iefCRxt9Xnz5rWn3ORcIp1s0GUNySAZeuzFWMApgINadyirL2pEmWiK6nqkbThrIJEdqekoezjt182nCGOV82B/soLi87JCsEG2dASekjRbV+jpIPEpdXHCQxOSu8oqL50N73CKkVTb5leBdpKrduclFINoiM9eF7KkvOJE2zsnaF7MVXWkuTqw1HufMGEu6b69DFmKKa8XLtQLj6iaRSdKfGCV4eAQO0lxcdFGl6wnUjxwSNS4AY0U6Ezt4FOaKCiT2XJ8ZONbyJBUTY+8dnz9RT6eYLB6iTnX7U3uOlWH4Kd2t4VqXPn15cny/GB1cUwZIZoN6XHd1yIwTFA5uldiMEgYIZYef/SBXnQRkjxxUGRWLeYM1hhIIvn0h589+Xbuz3/169//4ev3Hj7afPR4ZrDw4iXFmh4NRh7K9kZ/UpAVY3ZOrRROTB3te5+R+ZoHkRcef/jx2vo6l7SwmKUCo1crTpFztD3io48+9Aid1wA8+faJNK8vgaELArAiXFiaJ8LcoZAkMyTKv8s+IM50mA1jrrQyAi3T4LMvVmA1XiHLiKFSHZJn20x0PR7XULuWyc0g4x+4iRlf3Mg0J85ITz1l8MUbvg+r6oABApzCg0TJm4ujSQTLDI7mkhpRByudqBN2l4oU3lyMrXjKpaJjKVHobquX3zS0K+EMDaPT4ywK4Z3umCQk2bkw4IisCs8PzSHZEu951BoOgNCMED/kyMIj01YNRWVQdZKIvhYVDBLJzloQNMex5TpxWFZprrOsxPVLhNNVYamoXxLq5GhmLnttlsVNR3t7PgBuadT0Vo92a3IMhq3NVEaa9DlogJDZ9XksATQcShzq4zO0i8orj+F9+GjLVkX7Wz6yUfCDD21nffLshXksbRMh8MAYVbpvb+bVytoWWdIg/h33bAWGHhcsUsA34jcDxwcjhmrIiBsSXq/g0xpw8QjaL37xJ19++SWroQk40ZvKl5KO5mVl8/wfCEDBVr/m1+SLIsNDnbI/3jwod5NvbXSdzOCx14U8Vg8vLVtPnUQwWpbeYIYKACkHF7+cOzGxrDEXPdCQgRC8q0PwIiQYQ040QTU1B1DfNTcU+CS/HK01YGt5UQXGTUXAA6rslhMVtOJBcBW/hEoZJ9SUty1dgT/iiUoiO71bNowGTywM8Td2y0seJM44O5feYwSmg1bhjhNRVA3EPCkg7WmOQxHtjWa2jFK2y85MX72NT/U2ldp5d3J0qLlhgypLGr/+9d8aj3Ye40mmI5kC6iXAnRWvDVpqHat8Z7bTHdzwxC9i8crdoYXL87PFsdypNzuemiM8fvzB+++9r47vnCETgaf1NTlcMksEBG+Lu9m8AHNmGDT0R48TPPlq3AKGcZnmyIyWp311aqqIwU4A5xTNAGzQQCJQLJbRCD62wBZ/mhbBFlrsHEcXcbuFXopOGIJ9/Eesrt1VbgwocRIzA67DPc0QSZxOHG639dbGXV2Gc6XR6mtIwOSvDhunIfnlyaQalDCl5ZZnkSqfzYtnJWmcVUJCYGxqVhl9ZR3zNekKnnQEzn2/6FHiQ/PFWY9tCyYs1GZUqaZfCToV6CVnl6J6MbDoU4Qqi4dIH9BVjUYK3O+BNxVgFhVZyRfB4BH1UI1nMIe2XdZXQI7P986v7Mg921xfzcNJ3jRtL7nPFGTTcxYfsq+rnkAnPzu4UOE9ucRpgEUfZ6YJAGR4okV3ugaEOZM5QoUDV/PHnnePu3ClPIZA0FfWoJ0NMxLZEMT8ZXOgZG9jAsNEwO3eppe58k7HeopaNId9ORczWqKyFcXusvkYC/vi+GQDQHPPagjPtza2fDzOoLJbjoeCNnFAkgTB1FE4mhdt2oVh+Q//knHEajpH23hG1Y1bOHSrZuytYtF9UHQMipaIQGbxOkb7vg9tKFzLRmWX9MRd6qWhA2iiUsEJmNo2yxSqCeM+kK1quFMs7i4aB0A0V+5QGRw64VbZPxNtqeqyhXx/1WTDDGStVHYCZ+f60lxDuCmRL0A5uYmcEuvkIPCYNtVQ2hzRL0zMuG2tMaZ9996WFhtXvn35Zldm2btxfRGdlhwcWFl9tLIixeuBAIbIV6WRqa0lINDU9G+0UJsB6zlbWACuV7/QK5QOEIe8CE+UWe84FeoRoEKq4KVb55enlox9w8kua2+0UmiQUAmGMybt4BBAan12k1BV+I+b5hYoLcCxEUGkgiTo6ci5lT7n4rPj8xPqqg5UW3zydfiYzFy9ZgEPsUWPTmANlHfy1KijcMaSyWM8eHAo06Oyk/ifMmn9GzumvW6QrQYkVKINStToX7eIyuBTEzi/dKtNXbdV08Fh30tLE+xGiQrO3QW5VCTBmcsudO5Q06VDv3p3V0MdKfHrHJFis2zpqcf0NIZYO1/NgbfuHYUILcIVdj3eByi3/CNdeQBgQ2ZcVdS7VdYKF+BNlNGJa9paIbPd9Wc//qFA+Ksn3714ZRp1sGdeeTVhS6ORyljxvJ9+/NHK6go4mINMSoMntpZLo7ucvpnOzEAWrMJertbIRCAswqVCBj4EwqdNDT2reHJz7pXDcz7rYHJ34ktJkxOmlnKBrIe9D5fTdtpkMkTPmmOht9wIgqV5y+3G2FAj8QY5oUWP+o0sOrOd3QPiXU2lOvO2vZevXq1urnMZDPsdH6wY5Sti7JMYi9xxkgFucWCRpaFmb4uYMiQJUK4P3AowZN5vBZCpJrhuQB2zlKvq3AE/XMM+mkG0LlVQ08jzG0s8kbbdDSQUumzI4V0EHFXoc2DdVQimcjQAqC0EVHCiR7iqowKpi6YdjILCwClmiQWwTdvuq5Gk87oy+D2IYJxBWHCoO3WA0guaG6zh5R1ahGtVB9gEYac2JmRZg6emfT5/gtLFxfHj97ZOzvO2SNG0tz1AAO2WfTyAuiZc9pW6evcLBLT1IgP4W7PLVDtp0egQibEeFBifEGLJXCF995ilxvROVGpyJ6MC4auxWLjmMj5j6gNjZl55geqJRKdMKwxRQbQGm5NmtXMA9Qu3lIhnPCy0vNwlbuGAcnMgEaqkAssi12WQmXI0HLMBc9kEcmKAvMA8KV+VrX8Zlihg7BHI1IQ/FUgZOd27AvMUY9UA4Exhpce4U1Xh7Vofzqkw8qCiZYtfPSBUIBsHdOs8Vl9n7AsD0RA00RAQmDmqg4RiSgC/b+4SEOUOvOsRrwuHOu4qB7lRh48Ro0cWwdaRqHHiR1Fgj/mQQZMUxvjXm1UNR3aiIRgULmWhWUB16Ir1RB256xJWzgHXsjFiYCVBbDXy+WDLxw9n87rpuZMpL/X74ccf8DvgamLC5E1I8p9e1oZdIDja8slA+uZY1kVv8uFq0zkr4jbGnF+8s8UeMuiVyTPdg5ucpGkpo8U80Dm3aDmvDT3DCpcMXabIrtSs8nJeec9KmCbYcmARPkMghryWQath4pMk3ysVBGGZfdWg1NZLdAUa4Mh3kLK7YYhXP8jdLy4ChVDM1BFinWOQ2JG49IVviFXcmhCNrocy1AMKnGBm5PyP/9f/zh9DWW1S7DYwgHQ6KytSNW8nUyqUH4sNkAWoqYEAg7bdzulgoyu/rVsgBLmSZdPQZKiDfr2ooF+XkNYKc5VDQDV9aYJ4zAZBHZf6dUsrR2NbimjmEVvLfhQc1WP/VFBupDhvmrXVXStB0ZLYH2QNXKakwliWnxKLXNHuLs3FcZ3bo8xuSYkZKBXDnuzv78o+oBKSBFx1QzKsitEV7tSA6RdKKbe/QDUHVNvgdS/4iZNYCls9YgUakd+Vje8mhCExL2DAlasDVfqKOq0A7CYY2M2pLC10SzmsdMQDurSMlPE0M/PFV19JQOGJvUA2ERfOiTjVhKom7K7JEGOoi2yyd6M8Uv1NarPcQkJMotFEWzhP/j/++/8aBhByA9NTVIrjPOSViugMogCVS0qXFaZogZudC5lXn7Q0ceJoILq5Z41WymmSOk0/KULFuV/1W63ZJ9W6spp9AisNM5hrL6xybZtTytWHp1/duQVaebqYPYWQVJkzyjp49r5eooLCshkgYGDsX0jxCcxgojL5aaaOEVO6nWcEKJz/rFew58ahd2MKkvUuYIMVUKIo3SmxhaHJ4V41IT6I2b/l0UABuFu1u5etjfQ0QYzUABuTkL4sn1/+W0M6ob65oFsEq748mSb466dGdcKgIH9Huzua2CavMG07MelMvzVc9aotbpskUGu7T/l6OoA0n0k1eDwZY1jGbgGbd+V5seEi+LxOT0uBQi8ksV0dLDIa4I6xbCHl00UchIPkMCsY10GTMIhQyQkUsleiTmOvUBMjxFghRLt+/dOu5e1Xfw514K1cQwdo4Nwrn0uQAWyCwXdXW0AxnERRinhAgFINAUAp6XOyVKKOExRGmao7yVuEcJitrCojxF4Av+jnfeRFrfjCBwfFvBwE5UclWckX4CY6rE4bynadUme9iEhM9W91rpJ5nmh4+foNIIaBjXuMmU2q+goVKKLcCSIn7Arjec0LTfGF/LhxeJpXFFFze8PF4BKv4BOeVyTBkCIkXs0kN+/PzPioNKE60UFLF5W6M8pKe9on2B97pF811ZLQghWPxp/ipVwjMdE/d/FKQ0h2JwCqYAxgL1lgI25YNkhfF3Q6W9Z43jcnryFsuR0hNAYTItoyN6UhAGvuRaHEaBf4Hi20tH9hv8P/6//y34BFNsSmgY7VdQ4Pv33Z4GCvDyXY0DLuVsaBQ9tmPWitNOp0BScaAqtcY89A0h6XGN1ddxN06pSodHRPgDpw1qkSv0Cp5lCte7GyYawrQSccFKpPscKpcvka6ktbh5LYmSxyJXJnY/RkhKMIqhKvMNGFtnYu6cIYpXBEauOACqqZhfkFp42cc026d/Dpir4qnRkbQL2gJAoTbGERyNjvUEiRdW0pRjmlzPwoZInUiUY+Lm/oV/uega3EqFPClzkJ5LskkfPSJ9OgJALoRN9FO/Sg1F07gTao8AfAhR4p8fNXr7ERtp68gJ7FS45HQ3CgpxdLDbhRrX1Up8ywZcTryEWPJrXwcVd3GCJlQ61DuV61D0m0DrzSM+M+PCjatA/Nxm4tHaJBSfG9FLwW7/ARKNW6iW50BrJWTiRR9Bpec7XFQz0ColB9dfy69NvEOC8OZr9AuFO8BkdNXbhFU5GiGpTgwxC2JrlLkNQxBqD2r4HQbNUKVhrH1lZozDwYzbgcY27zzcVtkMdWUg6tRDyO9C7FnIfKx6BRiMApN+3XKMg6BDF4iPk0LyIsBG88bo8WO16swmqldwMAB9KZMNEMQJBuiujDKj5P56VBZcJroTfzYsLXC6IMFjlJwimxxXJnbleMBQ3hKFXTobCscsJnPFFTp7oGDZ4unYTXgRChK/QrLrx6/qLv4idoEMOk3M0UW48TPi5eKlsJ7dp2AB9AAPdCAIZVHV4y02CPCpUo89bkFhj+tphB1MYlqmDmJAgVSvTRie7hDacWf5do6+hCTdAZT1sCAIJX432DbJmW6HUNJh1prhe/zrWCjFsu1VHilkLUNiYKscAg05G7qTk1xUoX4Fu01TQq3NJQZb/uQhVW8IGTGABA2mUnDNmzoG5xYY0Sqs2bjECcV46DmtvjrtycAkAYusuXlj+6gSWJeWqlUvGXkNMQGSaV/KwMvtoe47GbAFFm9PyywJ8Wwx8cd6maqxrYVrzz9AsSjBz4VB01ERJHgQoI9/B2WasuoOaAqkMFpGEObKHqAMFd8nLSrLAZRDXNlausHALN5OvypM5hBUg2oGbnQ/TbBxOaPxo6SZcFVu6DetnbhuqOiDx741aUphswsLRSlyAa1ri5vrFhQOSyDicIDh5l4bshpdGrc0SToYaISQKgrFckUFGeExzRESAwA69/mzCVUY5NXH6aV2ynF/UhrQRWRqfeGxm3qE73q9ABIHZDI5iUX3M3TWqkqsBShqgaXMoxgx6wQF4faosP3WFGWGzGS/bPM/3gYBnvUIU+SWiXsOdRWeWS3KQHFnbLPJhnUNw87GvUenahXtGTvYZQori+I40KllYmzAiKAt140eYx+Ki+mTxljWrh3wvT493M04R65C4tVBzw9EcIpMTuOkCGNmKd4K06KNUFgHjVXG2OaeVEuToaWljDAVbZob62Dmy0eJCkF3tpHOQtIOXoy6/pAvB0X5xXH1HONRcjJhSr3v2aFanc6quOChmUqvqtjjIpcE7ebgNK2E4aIrxb7fxCFyDe0AEnt9Icz0oL+1dDPQEOewrqvmpOHAhmgf3qQh09KkS8wkbGLyAqUEfeQo86UgcE/bplQMMBZHU01JcmzvtSBTBxEEJKonNyudde45FDZTMgRBuRkAY3ZruMGdNCFPZd0Sz8O7o43zv2FpDDTFWIJwmIK9s9dSaur8Gs95Dv10qLT5oTdwwRS2k80BVhZSbnc2YMxl4xM8/10WzIqGMIGkAukaOTMrKoHrOUzpsJQnknVBB/qlpsAQKxgqQEQE0jMkN/jUzcaEkHaHltSNroJ9JvQWBOc4k6c2EWGamcut3crWZpC8JQBEdYVqO3EryFhy6io1kxS+6aIABXCDf6BER6V0IxjBVOr4WtnmeeUqk9Rb2KUw19x/BllMfgQQgsEHMeA8/2ZnnL4IZWlzcZTa0SWsK8tblCsIZ6pD18RFCpwwkyhCz4TjxMgj2KYsys1dcwiL2p9wO4BNDszHzMcErG13N2GxsAshD8/mA09np4BOZFyJkOJqeAD9wOkoUH3i9DzHKbTKYnh3m/Yx+PtLRLSHkLw7EdBcRcG6ZD5sH+oXyObReQBQoCNtmpKYPJg9JJQmwkBZaCWc9dyV/ysM13DERi80ReHgb6wkNmSQUMJCRzuKqcRTwBJDGRLgviluZIc9cJ7jncAtAthU5AcAueqmEywpVYjfbYgX1IVAHOJabb6BYmeu/K1ZwUJeGzYODbA+p3pwCKX9yxc0+4C1bKy5szhxSqsVIN8JgrsBSBTstZgkpPZrLqFozdcqI2rWwC2AmFVKH0N3qAjDtE81KXAgiarx4swtjdCocZm+RCJUwYBjvWrOfjvqGMVeRqmc+rb3Th+QLaYIrrnFUAGQLakqJJgL3YDIw0ezJDmGtq43biJ8nrBWT6eixaYIgj5lbUR1uDQC181/XSbL5XUNt+DHriIQD4e3lRasqMxnxmg6Hw346pfCRMfUzEb7/4wCdiuk6wC3po1Ff/6gKvKn9BFQbyfJCxGVH6UZwuSFezedtqgUYIEBA4aroLT1yyyRNzqJrKOnXeRLmlgmqlMaYveZsjY6aarlGtHAKaOJwoxCQM4ifVaUdRfVHNW+VT8147QXDA3+7KsjjJzBmgFK0MZxL0MIGDXwBRoQOrqH7jr/P+S5OY02ADSweMHYBKGBpb9EpnMEMSlgFEk8BSv7lJq7RqG4gEPVdkFUcLDkp0R8lYR+WRUL4fZKHAqxzzUmRBjyQzrfcmNF5GVCtA4OWFMsjVNXx1ZBwASEejB1N89AjMBMWxSjYHD5w4ozd8ioSTCRq1o2rYDdtonhi8vuZKaZRwy3WSDw5wVf7RfDAh6R1Hfm3S9JZDqOKmQFrAbihgXx8eZ7PPx9u2XCKzdCgWAhScwY1yBckl0oeYf1phDSDmMR9sJkKVI/MKWHFVk1YDBrjHrVuGLpSy/OwZawvs11dsnnKy0G8orrcy4x/7wXTovQGq0FJDaXiIjFszFuPkLlUg4hIoJhls9DXIY7JsnLvQcPDF4UAB93YxTLNTjbVrrQKnCffbXfjNwV+zRBVvzRgt1BFtXunKwGSzb31nhxM38AxKTCNXj34QM7OsBBJUqikRhAHIu+ACtBzNX3ojeIMyvjI/5B3zc5UP9dSOP+YkKX6Bk4wRsIAguJUS6z1o6PWPHJ+oG+HZkG001FMZEPCWSGbJi0eJVkeCJHEGk50lumkxuLBGXnjGFngD++BwX/KpjQ3jLCngHZeYjju4jxmGEFogYKub1zJ6xXXTSOrmhJjedLmkOxJ9aEcj6YLghK6jnRGRLS8p2jHG8Picky0Pgn1xxeBykCiQzmGciTzuw7m1obtGMV1pruKDAz6aYBFkDMOJ6ehTN9FpYwUdquLFfKwG/gThUizU4SSA4GARUOq3JVOiLyUgU06/nEkTqJW7OAkNfZV8JfyyJ5Eo1TR2kgut8NpdB0WQzIEPeiGled9N2iFWIS+LDFMEd3rVnAEvDOCT7IjRA2PP5Fd/kwIRfQPhcFev7lJzhGnuQEMMZInKr00CajihBMIJkA1Eoy4Mur4R8DrRFg5oiOkwOaKXs7Pvtre7gE3yVBOWYIEemTf1hDdcjmoJiTz4Js4yuTeYMzdKBec0Oxd33sqJQhCw2y8mFzL6z4cbyqVm1ZwWx+xNTXMcDjWhFMUyMA4OMAX56PV2GhCI0ICEOYLRRRfwI9Rf5S2xokBPeOGbIw6l9r1U3Jh8L8uEIhL1C88CkhhLX8ZhdcFBZ8TSGb/6giq1cBLmlzkw7Mt25iHvVs3WG+dQDfmV7XPiEi3ghOCaUYJBUspbdvd1oAQ+6lRmO4wQ+ue3bA04cAgczCR6HK8oMMrK4RIK8cU+uRduZrny2psVLbAqgUGBxvuYbudYbIgIt+gNNinUqkaDgDHRNx2lQJ7XY/aAZoyxCgYHJl+xSXFwDCE9YIekZryaTCtLRR4wZ420ldGiGlDe3t3LR6tNx7OPirCER3EKwAMIhzwTku9pH5lwKfHROc8I3OGTzWju3gsDkhjjLm5iIsnBxFMA9A1lLvFIrCbMdkNA5BVFctB+iQogBslq2tudXRjF7rKnFZJCjKWjzuSBYzU2os2GBmLxngcxl8dA/cLBbwue/YYAIDipxC3NnTi6jnInINM8M1FKZbqmF3iq6ZbzJlbopgS0lpFyd51DElgn3S/cHKqB6RcTqFTp04zVaO9ZpQYAAqWwyfELDXKXgHTeCNNwDMc0uuUW8ekCZP1UriPzNsISXbSxPY+bq0wJ1TQ6jAAxNSSg5gnfSElCIVtdF2ixmBRoaOtYB5UH8LWZPBhZ+N/uqcBcXqz4m6SApbfYDl3WB6WUaIg9PAV0PRAHMzDbq1Jk1kWMCmxyThhR20TNlovpWfAmLMAJHQTcRGdZo5r1VBAAeHNHjxWvJBOtFWrKv2NjYgUywCBDRTkCHeYNZvNu9d0k02OHpmkluyY4la1wHr9WjxJRAMoWQdYGBGGdAM6oQAJCyNmN7O3yAa3YrLxyAz/1TpPghtvg65HqQYaoyLiF55dV98A0Gj1moxwrjHyUSx6YE3DB8TEV3sEWvSVmXw3KkDbFcVdNv9q6Cz4+E6ISsiMRwtUjCK1SfilZm1LldEDVVgCFziHcyqqQLIClTA69A4IidxVmnHU9SmEWWZOdjD+vEJWEgQLk8MJEGjaEBhXUEgDRCszLrWdukqckkm33jdjawSd/Qa9hn9fkJepP+m06HxYwg4QHFPUrXsYamGEyTOS7dE3GmlCbvf09l3J6hO3FALqmgmKz2DbNQ7b9FImQGEgLJniDWnIiV8DxCF8Ean71oiawZ2f7ejcHLQ07bUtWtMSu0C0sMnNVx6HEL39vvTrMgrYPhudVx55TjJKJUEU5vaUTMrwGCHrXF/aiSYpEhI18M5WkJLKJJVEH/4WNTtxBuAvaa2c06XtBCarNVGyOEFxiIT5bHQWN8KDhuQJTKckpvXhmH5M9O0yzuc6q0L0ZaYK+LE4wYczAecxcMlX+ob1yeNED575mpSHWuXRCXZp2M4bWFXiSFzzdBQRjYch6El9XUF9h9Q7BRFpRLKxjCchDYyPJsHOiJTGoRELsWW7lG3Y+fD/BmMVzmTZ6AjWvVUum3mpAmthK4GPr09kl7fuEmpdsKnCJtZRHzXaUbFWkjHlvk9BbPJc41wxDFEIQWfZnqGpA8AG69nYrNEMdAVShb8G+tccJyp17jYc6DpdRiLzQ1hilyknnQg9T/KoJggM+FlxjF1kIkUGnfPJEBsyzQieKUhmLUKEXTUbzC9wYlAx3o6EGfVaZQuZkxIwDjSq2yGxdTNpVPM5nhq9uhBniV3eznSZx3pjJK1DxHN5bzRF4oAo0TKA0LWCYK4EqY6Gt2ZnBXLQzhZF0srD1sn6T2RZqnCGW1KIeSsqPyHQkBV3KgaXhgxoEivlNICmTuHLVdASyy6bFyMT2vgUZyLuLw85VbongADjwhHBssIOItVeKTawO+8R+aHPfGIXdWe1Vzb4I7EMPN0QeRrp4AThAY8wWFsmFMdVTlzChztkbyBh+NpBQPvabZJlk9omfYjO4IgYf2u1b9QEBiphZbvkI82FoFMzkXdyFsxIkINVBGODgYNNMP2BYihv0MJ1T0xCvAbzlFFvLr5QYhD7RF0YlD5qydsfFk2ikXtKdFYK4zF4WJE+mIP1GL71qlXz5+izaRM8U6kphsTdbWXBVOZjcAjJDcjKffXg2Qv42Oxq6SZGTiN4JBFBUomSeww3kNFbIAbD55lZch2kDG1YmBNoyZxU8J8hrgQpza8qfPaLgwxgQh2oNs4Grr1ATCFCUruwc3+gDHFRWxwFI06CyQpUDIe9OmJ0SpnrHtTHkKWxs8UIn7BZBtDLiEbga563lNQKCjiF4Yqn/Nvw8yMtCLmTH9YplcLLsijCtmhL4QCLSzdZpSpCHAuABLWNFKyeOrnbjE3/Cw5gJUXD8UVPiF9J+1WytorWCIkIXZ19dbQNr/k99+R7/GBWKcpwPpw99AePY6yHho9B8ykPuxnQ9fAYrHUmUeS0aJGGtlwqzjPaEKa2+mOB58Ga3+k5UK8xpaowiOLw2w0YAhgEkG/OCaUiY1XgUPbEEwpkB9fkv1QKZ/THvq5dsR4+nbdMQ4Z/b6ONuA6fVGrrUuzoKHTEPPNTZ2QGrxh/ViqjHHNXUr8p+NQQ/zKzR7lY4OTG55y34YHqpBjdXczi3UKQJzNGimoYtR+VI06M66jtXooK7SGj4GnaTLAgqdSASpwjAuIefGtTfOXRoO/qlGQPi4kLeoaZjeVe4Jg7lzGZUoXyw/lALICDI73MncIVWck7ZW5JtxFRKE8RDAwTIqQC+Aa2tKJK7Ysosz+kCQIdCIoG9uYw6KIyKBMPbfEzPd9Tk5JlyJ0G+gnHwnTO3epHrB1PX+gUEbijlihl6wx3JXAk+sFJ8tlvMCQJB0JfmLSS/ZSQmBMg66l6as1ja3NBLS6JYRQFSrtPGyl31VQbZ4byJEgOor2E+w1gOQbkK6lOF5jncoC1JRDsSWIgBKpslV1dyTHpCE9g2gYgFUAlQZIRMXsIwdZnyWmYAEHp6YR3UbJGlchlM5U7UVw5/vzREAKNtgXEnEkmxXhHjBGjnQHCsRptiCUZvxKntH/mUKMPdpLLlTpCpDyc98kBAhgMXUAVaO+aqw1TGrhKZEzZG35CmTAqbU3BwqVBzpEW584Y32QfvxxeihAVdQRN9gQDVpsGYoRXsX72Hkde+8HqZ5eVFGiaih4lqfnUEMZQTjJJmt7m0tokIJcoTJV975t9LrIQr3Bjd8iSW/Cyfh0EkDAcIOJppQkPB0+W5RyQsV2TJgeIAToR0RUc9YnvG3pqnoUM5TOT01VQflxzNE9S51SripKc1gKuAZIdz5RqKXGBIL0QUmAVHdQAHUF+NhhKEIx9uhjGq9QJ//BRdqWycEwpx51YtVqIRDo25yrrTFzjI8avEAbgKDtKMsDxbGwOZgQe45tl46TYnjWO2dvRUmZGQJyAN8YhfZNZgvZ3NmjTBG7Og0l4MnQxS+H2WrbHugtn8aomire5GHvBWqJoSygdpdx3Nd7+E1PLzcAunpgJeMgwUBN4YpLse7roASn0njgZiqNEMKhInf7fv1K1GoFkDPeOWLrJz/I3RCAg9RqYP+uqlq8HW6HZZBCby5R18tqT7Uge2npeSdWctCJgKVoQaJcYcNEKvK/slFFVM7pQ1HwSb0eZMnbMBmn7Efugv3+uOE1BNXJigPEnjWZV1lzy2F7RUTMzT0Dymn04ZNuhFGkobZ81hCBPQnCuHM56ZZ1AFZbpQYiSTKQzVpBLa9glQBIGffhuIOoA49wumW3hIdLcGyxsbE+RkdTXpWqDpmsENIjeExdoAQdv8Qh2fkdxDR2eYq3u3/MDMCb4rJ4L2VwCq7C49gwTaVKheMs5cNp2Y3udOVFOhfZzz5jvr7hYa9EKDyUwXzkEzemAulIYJePwjBKiDfzDjr4Uanp6GG6OCLnd1rW0zTokYQ3W/+pIkNK5KMFkJKarz8nS3YAVbrUCAnqM6jcre3wIXquSt35iQEqdfNYtL1nDyKgTHcMyW365jFkNiJ7SFtpMsQeaVliFNJFVIxgbkhMSqDsINSr2rSUXQTkAuWwo404jhm1YqKzcU/cJHF+xItNB1qcidZBPpQqOYmYU1bf02EOS3drp73xGh3MtU/tJDbCyWanoxF8p7E6zPaKA/OgscajV2O1a9Ai+s5L9FGD2anBhT0NKKhhEWNYiXrbb0z18qBQKEMEg/rKfaIDt05FeCBFqUrS0CmyFJbQBhEwVCD+47AUE07UtgXrwOCK2SFrEEBEK6M7vy4gBPk8rJQM/n+Txn4v3b8dG21drPw59dZdPvpdcZeBGS7S7eAuQ9+mIvAqZktLYTIrdJv2KWN8bYtCi5kBAHsxQ2o/UplOKdKZcOsYWEIIMbflUjOie8CouSznUfN5r2O3u7YgmLOfjGOLnlfU+iPZcUWiu/Um74RhuIQKMIv9b+/QIutiwbc/vaLcwhXa0c+Iyx2sb2ZaaawQZmlSRcRoiOHFqBryQIu10Hhauu8qOOu22QUN23WrjKcUMF0GBIPbRuzrhUE0zw/UKhor9kxsQHSa9RIz5OA+e68dt6pieFaKCIyIj9OMs3j1TQmTGEEWijMbSxZr9JcNdEPokWNtpOAZV1LLmPesipqcmdzJR4xe+oxlXigHBoKqkjQQCki92UmK2mE0lY8z1kx70EsQrJWTDEawu4mkyBgCR6z4DZB8LGlIXm/9xkTpw0E7uVQsPJ01TkDiZU9e6WwzmYToxFvokXQT7+wB8rNESaYUa1iIzt1ykMzXCVd3NiIDTyxuoIMMYmh7a4KqhVDUz1XUJMjXZA3dwt/HDLJV+HNl2LxRWCjFsKKzZl58JkTDDIQdMF24N7TSyYlEE5RKWEyMJdl6gT2QLlHGRYQQAQPWrrXL8OJY5WI4VdDrcAYTr8syTuUR9GS1aGEfIAP2zUA1o9zKI9uIw7UKFteoosa7boBCDd64cjMWp1yU9xBLjGTggD8sL+rMJiRNI/aiYLJeyoMXrPR50CjvnmMVSKYByxTTjCMpsVZz9/qNUpTllsgQMFYhPs7Vi0MXw6L+hpOFB1gkfQs5SoO1yGW3Ri4sYDwe4CBaBelKuPTUoQro7Ckt+Eb0wuLft4Z2KOrhkCz+wbyTZLWsWbaKIyrPAdWPJrDIvLUX2CVAKsg3icY1TbfgKCgwIcdrfZriPnOgJNRNzA4QZJNRkzakqfsCgQy9OxLwIN/wwG57CoChE/+rDLP2Jq6hpDXTeXkAZDKSm4YZc63FmQLCkbJErapvhVQf0CC83bo2v2rVY+doGrgDPlMW7kgjljy8OxZjDWDgi9Mj8qFfS85B7NeeFYXuFiIhCD6Rw20Bc0DSYriZp9FLIpF77mRENlu+YmJCmyNRQrsdAvxiFGL4CD45we6S7qcn2KiHh7IGoTAfh2s9gVmL0MHhK/5Imi8b4tJko09iwMz6pSOz1MzGtMeUl1sq/qG9NUmexOj2qy5q2PcwtUJB8L9dZGbK6gDRMbH7jhFGGgkRLYZkezjT8DihmDsDV+BFoRiiW6ZmxyqQlC4IA6rNOdmEchFoGMq/d1nIcPZVAZGP3TaiOSequpd124i0CXVIGA1dccSjB03kzz6xL8rt+Cc0l0jGKZrsTgBTPMxGn1ReH6EzCob4R0W630XnePbV/MrZpRkkiPmVYJ1HU5ZES0LhEIYbIH3KV4GihfjLL3wN/YHpD9Aq0NdQYICIYEwX5V0lLfbkEUCHBVIBIpFsiRNBOoubt+ZbGZRAFdHG2ybfnaDBQBh7QogEdoDhbkWJTuDqoUjY6ak0bjep6cV+/FwdTUqbaOuaWjWPIje2lwSjyNieArT6aijDKiNMljVbX1T49kg0yXcOwnM0EiQlRAzwEITBzOQ0iWPvkUJPpaMbYkCaQHP/pgX9l9W2K0Im/wUcfSq0ATQKCaYU5t9rfnTNfsdGgMM+NinLhb5NPmbIECp1GCA86jCFgl2gIFpcawTY6GKjQPlWiuVUFOdKG8zB6Qin0s12jPZnzuScyXJGqFEIW2Pe84F9bhhu60AVCnDuVA+W3cnKNRNV3oHUNEFORrCqSXTHeyMyxZOoKPBWJpXUNOEdXRDGiRspaWX8T1q6vL5qHgtqqqjM/q5xNnPMvVbXhIxkwNu4DVVm5U9tCw50AgkTGnOTX3IcvjYwOCCsoS6WhjfQ0rIBNQcZrzKK14Q54ssTNPxQVlYXZ6TlhsV07CID6ucjmEpN88s0W586LZBAFa4VE7jhYJovCRAvhfsMONe70ieyQxFasJGWtBxceqlvk5c6KVbUQgIZ/fIB0IO7F24p/PRaBLHbzGDZ06JwbC1LsRiFFusfdlCbjp5PEpJZ7XljpPkZyUj8t+aG0xvG0GQYbJ5UCcgNyXThx9V0kfGsIZwhW83/rZxgQaId9ektPMoAWJLFJtyog0lWRLiujNQ0ozaah3OoAW+LiLWPiD0FqhiamBTo26zBFiwodmIvbIesoHN7Q1X+Fh3YoRJniNNQvjyxj2+IYqa5y7SdPEtOib7rWd1Bb29FS55m45wQB/ynAwP5kZVeosVidiw3cuQ6YxDsUqbMyAHAfb0E0gA4f9fUYxE+nM7hM5JbDoOlSh0OAD8TF23uodTO7jUHhCxgFUG3nixqNWFxY7Y6siUCf+EbBbma/lXS4Jy3QUe1XJSbdqesiiJJA3hJpe5zrFR3YIcDMeMMnDOfTcgrBzJ2QDCHxYMp4DvxTDrRUxBrZkjxWgqaayuwoBxNLmqsqIdZALSaupHPAmFhXVS7wYpil04tCK7NSkJXZhR5XEXufe7H3gYS9ZPPG/366mvh4hoBcAI8wCVdvqEtU0S/MSC/EcPNUsT5JEVWECPMy1AyFcQIO+0QNRoB1qQKWiOgxNoktLHCzmx8eprFWrIyhdXzWhFVeRT8Gcy5gnDPL5LG3bhQie9u2MMY2fG5ivK4drgfUY2izFF3IYQkTGRFXGn47Cn6zzbQ8ujrkBluGKMa9XTkADAs2OxNelMZCHjINO7OzsU5dw9m5lIyxPEsELvbEr0x/jBFGCqlpERk8No5KDVpqLtPxTwbxERC6xj3ZUNxPUoRaOHo0Akn0rlnM1/epR5R4LbinpX0g6gba7mrsETWWq4xJMvahAQN2jW32uZhNFFhgEADaooyJuNEPcUkJvXNKU44ND/67OLrym3Lu9xJ6mPsrLgyfIAVzv3CXvln8efhmagsQbCiP8YrTlB7rC8hklB7gaZYonvR8/TAWWxfCCq3vbAvqlIkoCKDkhqV6e7dI2YarFaONLjasEBBiBth46Tb9fHlc760h6ByFKatgJ8OT3sh6SOJfeNuN0imVohrqhhTdCHxrun0J7Q0JZmQ5YxDCWxhvhBbUj02RlNFeTpIwzgYu2HI3e9SInmZ0UCcnNLdigYFUCzrh0Ak8BAnoFKcQDH9ygbry55jBEY/fbvEvUPzsn/Q0OAbuliWoFKiNe14VP0g0OlgzJ5GUiqS9A1NEQr0RRcNYpxS11QksSBA74gFkNb80P0SlHCOr6KH0KE+pSC44bZ5xgb6J1BCKhKzvXr3P1NzbW0egQ/yiniDqioywIHwJhQ90ApvxGuSemjH9aVbnA6EOFEoHmKAl6+QWoc2OvVDm1+zIvhDAIwxR9wNJw7MM5ZiEbEcyDFQj44SMRUnEswC+QVFbNLcKL9avcCZH4EpCHtOBJlkkfl2dUIRvDszNfQDMwWg0tQEArDlpxCwe4KaGb09iomnj6KU9kT332BKvTv/pFmDouDWxuB3cskeN+qw7cjCF+o8Vj1uEysVSFQZAHEEVO9KUO9DAX1RFsyRlw4lcHwG7lF68gTHucw98vBPzqjkiq0FDBopgTWQBtUYqRICMc/mrqlG5BxkiAjgrmFVyPHnWNLtUYqFtifebJm+DLXYKvYZer6cThBDeKkLKxNQljjxXCzV2HvmBiDsE245XXq4JDF2lVk8nE4o+unagv/oG/wWAs8sriFkLBZZc+vyODrrJq+MN/wEraOXOsog45plqxscXlMbPm02VAiztG46l8xTrP50x7AMqLLLDDORn4h01a6SaxiAIe1769MkvU3gsNmTwqKTvtDf8DHz3zHFXelkFtwvH9AyM176SjnoE6Y6aWVA0sMQYvnFAmVlahjvDCSd4jELNEk3zmJUMNSsoBJGlEdokT5EFS1M9nlaQTtHUd1AmoVUCLuzQTtNK8BFuiGgxVR6fgqgYTJ5rrS7lW4DT7tFKCvzQmnM1nt5Oq0HXWDLmKTIYS3zghVHAMS/V7OkJ+dJ01NfzAwVLZN6pwFzXp5NpCsebNEEDcLRGYjCchA6XqAvNILcZMZSfKoQ0+LqnvxBFfX8a1q8EBIjac4n+pNQQHPqUpoi11v2hbIz4WlZ+cnRAyoL7ljsmnB1kOFiXyK8BiIPPKQtXnGk99APrTH3368QcfzXh/K6NCxnCyoIievXNBn9RA71vlZZkTkbUZvi2ReYIFVeaJFEk/+EcksBHBmf5RE46Fu8x2uNrDTlfkfnBabUggtfQm36PCKdVU1jW+69EAAgp5CkuNEsM6YTY0RDz0NCJdbDKkYhRLHndsjeWgltDQEHzTAjwqoWYIqQYCeVAF5xjUoJgJJQjRBQhqqqaVOspLfubIB+4y1rhVzkuxKJPdzS+i4Akr9R3OiZO2tWoq15dywGGlsJEBwdHdaRmrb25V4WB5/k5mZr94MM/2w3CRdcoMQl9RxyR0vEsSbnrUCwfS9OIwyAjs7rpHreAGE3V4FYoFn05Sskk0mddDe4fI+uTHrLYxd94ZVtOCENiWYn5+kUDlGeynpGk+/frDTz/+7LMfbq6veTMrueQtPOOZaV/nU49/PTnOIMt2MXn1vE/cy/LPxNwsG6Dez07Gom+xtgHpfZrGNpFIjjjlaI1CygEA5+IendHH+fm+920hCf0IdlLSVSI1EOPPbppsauUBRrcAhInm6mMmpoDpnDKV0mC0TSkwQmcUBQQsBpbgiRlrVNZKOSDKcTxElVorBK3B4ix7oQ4d1Yog0KgCdgPovIAHH1/2BlA5JlfbVFCgMvhGBSDuAmK7c6tF/Ej1qD44Za4S0DQc7GIn0ym3bjgCQblhUGoNolbuKiVySMlrm/kuQX1+7ClwcIwZFaDhyafuAjI0Ce1+qTVVQwKmacSyqty33IVYxYLuxzZDCT4CcyOJsfAKFKCiSdceSV9x9/yUj4FGnneCaa2GemB9YjQ384Nf/vznP/3xR4/flyeiBAQUDVFJdpowDHWAKjkbTplhQd237XrhDEQJrtBZ3xaj41B03kKV8ULsEW+a9Y1r+2GB5TMLidsQEqIAAoBg57jMQuMJjjBIHmMiMFpirm2E4YW+dKoLlw649qWdAtoSoWFALfAOm8Bx0uV60crRuIHvnNSbfX67UzDdilASJeQ5x66PBjkQ9fXYcuJySbksXdxcI6w5HFRwVEPKHf1OqyzvW8k+tZbJRku5MC3cioZIOKpgOQ/rlN57bpDuICGjv3QL2eYH1hsWV1e5Zm/8xmojfGm8KDOiU4pIspWzTYgMbBReQr8mVm3emgnuagsrkPOCXXFVfVocwlop1Czo12MvtaezbLeJVvI73iRuNqAuj5nXRTESiPJolSdJ5odzn336+Ac/+NT3eax/YVHolzeO8hnqiZOgKNC2XQQaPGC+ayBXhtHUxd45/Te/mBABCoRUwz4Hq9vi15wgirOTNquI4uCj0N2yJjEbgLgEX7m2ztkgamRVVAl+KVQNGWTGqqlPUZwThnMHfPxiqH5VprU0smxYZhLOIUZRWr3UBEpbCAPrrt9IJUFrHAEIKgTg3YqCS3D05pZWfdhEpJU6FNddhTCHFQjaOko/5Lcy1BkgoaeH2qqc8cuzgWpq0g1LluAlrNZRazCZSSater/36irGUSZUsMXsk07NjuGTGO7Ys5DboDVROkIvfs2LCy/zaju3QGYzYsZv03hBT19qsokqcAuAqwYTegARypxHGOxYpNDcq2deEtpBODaY0NR14lNY9FPg/slHH37y4ePPPv3E956Us00UCjTnIF9PlwVFWALBa8/B2WSOXwPLbfVWKRtPRzNzSzBAlyHnMCrkQQya7Z29yNs6GvW3iVvYW5pa7ysXhzHw0ZKgfrfii1pehb6VjaQoptNoQz4jnHSiWxRDK0rWMoCoTt1SSE5GldFWOu1OFkPaRLXhbEmDlsGd4D2esXmqcmsMOGTWXNaLOqGqutAEzs61AgomDQRzPK4c6RY56oCmYUklYFkjz7W6VD8S9b4CdqpdWGlhzjOIK4rU2DRobrC8sppw2gNCeZwpKMHHTV1bDKV0Hpp2aT4MJVQ41zWZHxzs0DYEIdPDjPmqjvy+t5vmlSqZusrqMEOoYDKhFipm8vndqA8vliXMWfXjQ218hTfFkcDjiWsTKbZBBiK2BmMPAnk1K6vafvBo62c/+dGPf/ipV/gZ0kYSvWQKkKZbYQqmRx+SGouJCfcZAAP6alJ6EA1xvZb/1JZYKCZCj7JbS7r1Sogxghmw7CussYgSOR/lNKS1CupkbwlLQ35Wzs0JxhGSQQxjDEWXJvAowrMptF+xotPIrPIX0HCiph+VHUZxd8F0cfuTQ8MldggOQKmgF+gp0dahslsOYO+PiLAyhy1R5WqSjb407K79FoDEKCpAW0kFgrDDgSS0AAGGyLuhEcNnQR8murD9BUzsFcBBDA5C18om2uYgVZYPDjZikGxMlLBTkmlIVuhtyk+fPQNEl9GMspp8d/V7NjnrCzxZtuNJSEIT46KCfGMwhOuU4kdGxWolh9dHpuzGC1QZlAyBrLNl2R5RRm+PKGKREvb8zsJg/OOf/+SzH3764eP3F2xeyDojTiaSolHCcF3YOW5nGV4RG1NZtyYSn0KLUM8nKlU4cfNuZ0dtWqkPv9IzbJJdyygnO5cowTi04R2CSREQJ0SiIXdnC7kx07zm96XJcdsQObkUvmQhEgsAN374DjqjrS3qJAesW36VOLojvyqDpkRf5kYYDTEjEoXGmkssc7hLXzWHCWxbIZyogEa4NSgVIJyxVEdhchswKWhQ5O3cLZcqMzRGB4NA8RAOaf3G4+SVJ5mujhfm10cbMFzxSsh4hzzZTKX0C5QJEJ9dzMnTiITqN0nj8pLKQVCfTzANmzC/rChe91a++KkIvziMQORAjKvSl3P8PJRMjz1KZLZ/fCTJTHldGovmDiwI1mEXnsD86DJPCHqrs4F6foKlhqJpPg4LG1itjCXvm/Ad40cPt370ox/+9LMfrqwtxX/Wy0UxkFjycBPR3wUDLBcrG/aWFZAFiWGm42IX57AXZukVN7VHg4BEISEafmjtSRULrVA1CmpsY5oRRoTgcmoMEtGWecwD+KqhVkTvi2+xtXdiUxlf7nINVDA5bvJm24x+w6XwyS5WTbDAwgJJZhiGfRY4pyytgABPFa5jufJNbJfgAK4Q/lipDnKcuKWtS1wmDAg7UeKWy67s3Il6uAKOCo2wrg1o83z9mTogkETFwn6pjq3oUZ3JKd9B8a6FY0m1eqCURtJdXVApc3i+ij1QiBF5TZmXR3qOw9CPi8+6W9KJXJNV/9pngWxgj+opGsg74NZDDkWUAHdN1f2jGj7c4rMGPoLH6FDH4/19OCGWDxLz6BRkyJAI5iCth7fXVGChKVTxwWwiK8KeqrQb7R/8vT/9+Z/8iUSBrxgzXZSEQpFZ1AobQYkEIykWWlAOpYjDbsp45ETY0VwctOkbjzzgVTuPb7huVldtUyTGDFUwY1GU3HE8X1skHv5BH+oAInSj0NhdIkmkBWO6RTfE6ZiiJghNFZgAmlnSnp7q854VRhxOidmyXJ+dFPt7sTRkqSHb6xxMOEdCtSzoEsloM2h0oRr9UK25CZPY63oBBsodrT1dodqmBHXqa6t+H5rEa5Vj9YD1oi8n2bE+xJOsymuofo2EpKQxkluIcTJxJsCTvPJJXw0KAj4g7ZFsvahrO4C5FVED7hF9mNuIO+Op61LljKUSks2KenEOPSzVUHfOnehaCWjkR1fA3TvYh6zeyZIsyBzqylXAfwoKE78whxwIDtB4AjvH2CKvLDQLQtonH370y5/99Mef/cAXstWPGk1Zekn8x8qiyAm255aMDB8CxTIY4LNSbYJVAzxf9PaJcz3hLmqPfEaGFtTrK0whlNtRLoyHNDVCDFB0HFyPhsWKZFNskky6VNndjnwRL8zHa5R0T7dcrtSOjlVWrr6auOOEngEFsls8tbtmEnTLCSBd093mEWsnSRvT5aNZ9cFc5Wp2c63aCJGMp5tTSClJOvYvhkrNnPWl3thYaory0XCZZMZhq491g6Ny94jvbpud2XlRhdmFhxC4EXOyBTNXMdoVrBioAAKCOk7EgBFHauVIuG/ps0aIOtmQ6nsQhlLGeZm0Mq739umPanQr2gxdgoCVExwjlzz6Vt+wNP1jdZJ6ybtbM8Xx3SgnSGR1MMpoEfehi5JXjikq4q2HNns/fLj5489+uLq4BGF4Aq5DxptkIeZALI5RILFFeELP7LqrfBDmsspRtaqW7oDAVtetkBDSHrqt2kaeaEvOznBmwJkr/WmQBRxKGjefiXcUoX4x1kyT54CTQvQ7Gss+0RFO+dUceVop11dfwkEJUh3qKFdHfXfbjFM+JdDjLRmCs4ueviW+Vqcb6rcDQVpGZVwi0YwVUyCmOXwke/xnZ7OD+KmIPSuEbaYGTrcKT+72OWlClUkCNIc6uET2dZUQAq3O9QDxxsSlVshxJK9Vj3zxmAJn8ki0UcBVhhLGaluyzLjStbaOpsv4VCIGAJyx07AGQtYesMUT51yx0SDwl+NiqwxpAElna3Nz9/DAnkMMQamOEGugQEm/FMY3N7T96MP3P/7kI1vu3K/w1ktQ8rmgMC5W02QZAXkJNLdoGqktONDABBYHi12KNRUpgTZsrZgyOtlsKapWiirNUAgJjg4J7Nc+jC/OZMswSH7l9OJURJkn5cuDxJiWfjRHri762bpE9zRAIVBOqKye+sApheUl44Pg0TTfSr+CTXhrRXg4Dr5LJzA8PDrQL72TwoWqtm412oCr49wvTwxpDdEM+NxotGrrI/bXc5sIdLgFIPWFKjQ0Rqgmoo2M+DvNBtBdmOgoKxNlg0v5WNlkPXSnW6ZNTXWas4UMKxV/aoriXYH4zP8wURRNv6hr3FChle5gTWPAcukWaICoqcRljVDKkGAA7ZovLo0ePnwguVXLIlnY9pS3ysip3vNCIhkJlNI/EPQBMrBoAUcmf+vh5ieffvRwayseUyjtlXHVNVsEgobiKU3gEAxLEJjh3F10+cVnF1HBMi56b4kkSu3MpxNV3cA4v8X3bGRGnfImD+qAGusOgKITdsfz3J7I8Dq5GDPrI2FHN1HZyXCQhQV7Tcncm/jzzkeGMi8hFt9FIDDzvbzdnV3dqQlyyKh0ZQIz9jaO8lB9habT9lyoEyNRMTVsYcKGWp6SvqdF8vgGKDvkt0deRk9AOiI5NGrvglo0m0Aw5Py6ZBeJAff9IpngMUcramnJoYAkdkGacoxq/Q7okoGaSpBFqz2rbK2f80GXqbpwziIJ/nkvVQUA3L35is1xFvVnRSOA2G+ILqOG/RDj0X/0cdswgUsKaeeF6eGs1w95fZzvOpfaHRB3fbYlb1UBx8wMjTb5i+TGXhzt08DewIkPXmw2N8s+/eTHP3jwYCs2O3O4+C5ZrnCDmWdoUuZz3QrCuCYtoee04E8JlYhTQotzQAEJBHCMImOGraLXxdZYQczFKWqEZT0WFWIxLrjEL7D0gUTlXiYm8MT4I7PChMj1X5lx6qgV+Zm8lMXOLBLGBKM5YyKaMbTIptXCL7Rctrx1pCTntbvcZTjLAprgQLznHfWKxPnFRbh5eseoFGhgE7WIFtUuaoSAaQoCOBAuRWZ+QUYgEu5/DRUqrE64WZNK56pp6NJ5V3YOf78Ofd2DVdPR5DjBQ7gHURCMHo+kkrrE48S0WCSLsOaGCZUmjg7j7gf1pm7M1wve6gK7sERz2mB6A33CVK6kUutXa+tbKjNX4GxubiqvOEFyx7dn4yhmRrEa4IQiHwOeOBVImfYsr85/LHf++L311TVdAwJthz/OERyVieZUhKNtbZPEASIjTeOcZGHF4EPV4rQBJCsKN/glaolupNMsjCSxQtmmh96bhrSyGuGdli0bzZw4NLjnJjx6xAe5u8CTpqOqlGnOpMPgq0zMrZHQ3F3IQYA2M79IMD4EWdSOCQJKXzjirjqneJT3CsUbeqGtZc71jXUmtqSSJ/KceM0nW0K9VMNc5FARJfKztuE279oU6RpwB0IwACGak7F/lI+Fg57m7qqjoQqauHQCsb5UR19w69DHXbf8asiKJF89kTeq+6McEHkuAwK5dJyVzRvWIsRKNV1diCmEEqYp5AJJyuoW4HeoBpzwzuXxCYUTnHmgMkeWQLa38V8vTuBm3koKeDuakUPmT1A3zliXB5+dPj46ePBw8+c//+Xj999LTFkRQjFjki0JnrUoF2yyXyOfDKLdEEWGdxmKsItXYaCmsDqvTfRSMRJQXI8xr29wjHAozdAAToOjUYqwenyFZ6H0Hl7grcUctSvrxLyH4CoPVrYRJVjZHBcJL4zmvYAPwR4Aogq6gQEe+a1xc5sIVh8UvmzSs1VYlh1k7EGS/hTNXRIgTJjF+CwubMyPvbmRao7mx0wek0TXw3qPtPcuroz7vK6SaPWOZp/1FFSAoJrBpyYVxXF8ITD0ds3+BU1N5S7dIic44A4kDQlMogXwd6mQSqnpBFGh4m4bjBMNW9ssJZf/ysQNPmo6dFGpCgUpoTawo0z2UoGKZywsBBo9dQBs+Ciwk1hzJdBAj3mOx1xE5eJxwhQ2qoM0zyPt7exGi+vVm/WediuwHrljAk1gx3/vz3724UcfrK+vmuHrT3d0AEthUu5Ofi1/jVSUt3Io4XTU9DgM9cRMojIMfe2dKmVxqfQ4rrOGn0gehOAsKNTMsMQUmWWELXuH9oVJqU0mroyu5DA0NyQT0NRcHX8dSEWwA1Aqd+wjtomYrmUzXNo6oTIZ+FVBNSeO9GqOVhlR3XeJIRJnULG1wWTkGTcGCNwgWn5E/wScWL74C6XsaNNcTqj1wF23FK6urpntM13YrTsvVozp667LkXU1+LcI9QITvw561liBiQSb4uvSLjTbEtNpC7iwSkCdahU2tJ0DUF/GNjjqNNXq9GAwUnQNgkEUAdC2wbjCyvhZo1rzZqy7qunaIXikwgZHE44DXrr7g08/ZQsmpNZnB9SL9xoKMBcSwDj4FekjD1gIxbY21rcevP/LX/78/fceGsqwbXap4yxo1IYw3XGzIjrdFeZRDtKkGMEtMUiSZ1nImvPIl9lbFqznbDENa2Nuw+18ReZIc1RkizcIzVPuw2sgPb/BxdRtjM6wQx15a2/cZq6Vr/Oke4eGjvgy70GsDK9AqQRWcRhk7XCvN4BbOYRenABlrn4liiAgKxbXgODszWC9k4NQztGAX/Fv9PI8yUKrV7rPx2cEWu6SrCVgqSx+/PLihMHHVs1pYcXBkRZQCDTg2sIxLS4Vghatq4GBKaGiTEVGMCYn7SXiyYPahRLYVkWS7teqGxJGNyEqWAm32XsZ71Yj6KkArF/8pHkoCgk1ON2FakMAzYkKsNJdCTS4RWQIlvdieShgHptTSzXUZTelqSrrohXS/GpCgmgBQd824X7yyQf//r/776zmhWTGRVQK/pTLxi7VMIcg/CoDVpyRZwtrOcjABx8F8FXHBAitVB3DxNIJYLJcOGOE0Hh59TIRV7aio5QlS6GMX3MHbahyzqned6YQNn47niiRzMnSIgwl6C5V5YwnbDAqRvgpT0rz49q8WS4TE0CWV1eXlletquq7uYDp6CnszSlinwHBQxEiFdQpIfIXyktyKXHOAhIwYRMMOJhir5R+YD59actXsIWEJn7xuuUkpMVK4nS0z1LficppWH4QNHUq9iJUhdlFoz5FpbhUhxEwRcd6pBnOmkbNIqvoojGA0fifgtJXzaHhXP1WXCfID49KFE7g4DyRR96VeusBU2Jv5P6BtEKWphhj+lXOVx1TXqcokxc1GpV0LxjSw4awbJpcW1v6j//hf7DkuSmhHtWYs5iS9Xtxk5EJKv3An5ZFoyFsmDjLtjmIIRwaKlBtyPJXzsOrCgBi8PK03KV5OL8zHI3jL0QpRbhqM//pP/pHz58///qbr/fyqY/bQAdQuHaasVmPzmaNzjDRq/NlQxqDw0PPwtqsB1VqwULEeUtebywvM4f0V+5IE2OIKMhA10fHeziCGNlESJCdhg4y0K9v2pQSJI4W/6EHdyOrmsSp3xQ28VUzToeEDZ/KTMX2wBPCUEJL6PT+DMOxnuFRgijNG05pNhWJAa/KuGlYdj+xJX0GPasmWa/th4imM+LzKmRAw33TDhWjo3dgAcu+g5BZPULJgShdo0hNxUfHee2x5Rxul+l18PYqGL0EhS5tQ3kIDDIU2qncimJ1mCgV5qhKjTG8NNeWqdzcWP0H/+DvPdhc16P6+tJWEIldVKwvGSIdeTjC5npA1Mzdii9VcEunt70XCoXwbTW9E7YKuNNNSBFA9Q0A5TOfffLhn/z4h8cn/7aP2Hg1yDffPPnmu6eSCN7VhGME42iSnGiW6Q1/z9lW6lIJIdKk5eWlh48exg6a0POkJSeMVgETUeVQE6pzldTJzpx6DkyJiDMbm2qqrxeItpLhiFZKWgzKI7o6gG3uRLp3YZyYneyzCVagGUtCX2mkNJjzmB/7f7EKTBRFQqxM8Y6XZZvcZiGwTHN1SR9qRWB8sY40nL5Kia5hBRHhoEva4LcxVycdJT6JzbPEzAa7gKTX7lJtld2lQ0Y4VL2rXUOFRiUsyvIFdQd6gS29Cs6E6w+t+NnPfszxWiBdWliECW8oECMRVbktJK0trvzi5z+vF6jQv8yfWtehBKauUUiHdBpZMkhlLPUIMUKJKarpDlDp2CCs4E9zoJrbtJOb4in0aPZFGWiVrALrmnJP6VhdkLEczk0vjNcebKz94mc/PvbymZcvn3z33dNnL6gZg3x84AnGeHoj1G+yGd68s8StLeTZ/KlJm/kNHUSWOfQkangNobYBTprLRKxCHv+hCilLijXqUinvEjHMgzpG9C+VSuUIHgpZbiudiNg07HMcUR4WJ/LIa/hFSNxWYoLJDEqcImEg1DR4VeaL1PEO3oYA1Gg4X+ob857gKhuKLCGkglZuwVwXLmEImYAqU9pUNA6NoWrogq+aOEbHkkDO8zxCJKF3znkNaJCMOt2FXw1d/hsP8EWARrEntz7+5LH3r3rVnjy7vX48xHC8wE5E10PypFXk9997ZFS+ef1yc+u9xg0axKFaLy7xegwetqAP+TbMDUaZegNCOcykTY5sIfEOBqoWVNuk1dfI4Y9qFoQ2bO/vsnKcLGW98KWcmCEuazCzuLTAgWOkKWSGxPX1wnDww08+/vSjDy2AAfHy5avvvn32XfTsGWfLsHsdg2BE4CyCRoY+oK5m9+2y+M6zkG42IvZgmxQC5bnTpEn947Npiubuu/IXee42l5sSYEnIucISVUIW8I22exH2XTW7d5a0xd86ne5K5+rJMxNWup5xCaAUGBbyDrfhRWlzDwyDpHtUIcKozLuxBHKXuAssOOxuiw33/aeE5LDbuMIQQUJZ9gRIxZWMePW5gkayrElkFvTLOjQhSvrojpBcR7R5c+OBqsqVvHnz2pD2tCDqODGzdiP1wdYWrRJDs0Ura1viZpigMZmaqzyionXmBKdMS2Yr9oKpCRMCCfleORbjeHvAStBCe0Oe40a8YUNKjAgxI9OgBUTAaZdQVKIOLi0v2sAxYLyIW0hkttDWhEbP+kLOaLj0yUc/+uQTFTDJ92Rev3r14tUrnpKk9/PW7oQmoKmgS8NHObINbN3Vv9grnMBZvICQ6Um0ACq1NxyX+QsNyM8vaH5JKFTUQPGrYQtYIQBK+rJVxKUTJcYNUmGiWqPhvNsaRt278lsg9eyUvgrz+AT62v0qxGJHdP4mTlM58eiCYsVu3JnPqJFndfPKriOPJ7EBZdry+C7ca0QFHf87h7mT9BgtCpK5d3tCmAq6ZokwV5Vnr8SKrjFOCY8pTgGKPsmWwpvqiItoMH/44ME6rTKV2dvbtTgtsTSqqSLk7XDCHL++gQCamExbGhOrmZ1U9SJC3xFqt1A8hJ5LfLPAhBHYSyaiXr1jF0EpQY7RDjLcqa9LcRROTj77/b/Q0HX06U4/gHUe1aiBFgWJcZK5x1mPst54QePu7s7XT7797tkLMT6F5aajixCtCN0gz4zQoKjsl+FONmBGeFnpiGaQHE4pkWqSt8Ig9WPdyzCgyokmZNnVWuoK1b7XANUQqVobPCd44bxP1HRXEzSD44RwkNA4aGtS3AkXgxfVBKCtcripjCzMwTLM4MYohZdjZI5W3oy1K58WpaT7WUPAx/KV/QsHmPfhjFpVdjvwMvbJkzJ1lVutYsl0HsIhzHD6tbfWPj4ipOVPnz6FoSVCdGlrZdmEW7djb1hwOTv1yccfPNrcsJzIqW1tPdCKtTQKROyawAo5+Ix7JEuZ7DKz1Dsl7M3TD+fWWhEXn1tq5I9CnhFK2sIK6riPRYxIK4lySFIsIIVGSMY6hYkmNEO82np1m1F16TaTTZXHNgHXIqghwzJEDfKO4bnl+eF7Dx+IVexdfPXq9ddPnrx+/Xp3b59+xghNJAYCx3Zkv8BCq9U/HWYFMH5BISScxIJlOSwu5taSVbkKOAJD9d1CgxOFDVCJo2/prnVISatFE+wSdCV+ManGRuLu9FlGUfToEnTMFhIRWBCgZPEL2YlsJdT7P0nUNEFwhAq9p2NONq/3lU4ZYE7G4vc16Q4xveCjIWeLdvXomujINcRihYVzA49+G2OooA1Wz01EFLoFR8XCWxNMzWmbEyI0AiGCIhKXvx7O2fyztLK8YkXPObNEF+hQVLB8NBKxGh+UOHTkklHgfNpFipku5yy9ePdGnkkmZnQyhLro2MCclconkV8ZdiQQZFhxJ18jDYak4zDBjl4ZhZI16NQZDNTWsfiWTI0sRBIKRqhvCUQdNe/ihavNjZX1teUf/+gHXIJ55bMXL589ffby9StvlaE2QJGZnrTSa0nw1vEhTyFtqFtEeyEJacHBXl4jUaKknGfYoTk4TiKJOxMVkgrhklbWvFVQeH8pXMDTroM7t21Pz02LUEcfMY6WoEjNzHLzWKWvWOSTBQ56ldxRNiE52PAAzpC5e4wdEIrlzS25eXe4jcYmE6oOri+Jxxz5RYuGtIe0nJhNr6+v0wUihzxlAomNUrNBsaka+eYILelWaIE2LbRgMeW5mqxuefz9/NSbgDMjH175Lo9saj15hr1qAu5XQz060dyoIxirZrTWYqsJqo1B7zLVYDtsuV5JeGGh3api6SKK6JzZortFCQVLCC/Dh4khuF7L626LtfdFTHq3vDbuaQOc4YUHHTA1Nqi1jZQwEgT1p7BmBjy8rUWRnQ/5DWZ9I+VHn3364x9/RjM8KPb2bfZ0bL/b/va773a2d7ydbdJYqBmcLhhP7MvYrywDoDQrUZg8teeiKmR21UZIK+f9q8U9EFjhFAGo1pJ1yyU8eVs2XGJQOV0ppwY81xyX7X0E2bcXl+Zzv7FJpv0MVfUPAFWJYaXF9IAy0yqmRUDqgZbQXx4r9RytcOnW//CsSS+isgdO4DvL7MjkMUgW7MbzI+Vm1WbTECNdegBl/RK2X/z3C75eUAoHsZQ6pCB2ARDflKhsDKCaqpGl9M/E1dTCeH62QkkmNB6wZjlEaaYGJg8HqCbOdY1iOu8EB4yOheEI+vMV1yvRC71Ub2p3mrbCimWgk1arcFiwD06PErdgBRkoQQbaKmiejX+4T+CsIzbr1T39xZDVwi2qFGqvJYKFebQBv9Sh/G6lWsZ/ttZ6WWgxbrA2N/tga5Pk8FpU++zZs2+fPn3y9Cnby7loiKcgUCUC9NcIIxbwMysBsMySS/26m945g+KUS32FsIpqdaFETSUl5/oBOOuW1xdH4aNbkI8e5YsMvtt2wCbZPqGwCI26x5rEJOsOHcYKYSepgxwcMKehJI2M7og8utv93apU6rPOtHFhceTRUwbJdjC+iTYhN0slUiTxdckMw0EvKtNrD2jkHWj1qU7AgvBd4ls1+7VtXkAgadl7Jd3AhgFuWNI24zK7sIREg8HG+rq2OFwZUAuUMT/xo1nQZEG8CzEWSxcwN6akrhHONgvICF1bBNW+8yQgdMf4uIsX796+RTsNbok4h63FjEwYEeaNm0PBXKJSByW7tVue+gMoq2NZc46hE9sSJKAI0B8BwIA+OrGeosu21QqhApZyzFJTScYZ1CtqF8IhYmXVw+I//exHP2DCt9+9e/7i5fMXL7788ktPBxVmAq4bS6zkNnlj9doL9QwJ+1WzLkXxwZRDAxYNgj2gWYxJz2rXa9bwlLqogzVYGa+ft5jmv9ih2h1qCNYyZlnsemwchnBLJBAS2pMFa/8rwAEjR1zM0kjWUQszENECBU3QW2Zbv46iNMEZK7a8YkfP+sry8tqyZ+GlACxjYIsEfbZSYT8M8cov+OZgJY8InUp7zd7ZUV5+SQuGHgQfjjzwc3pyeHa0f5O34iQvuLW1hcyvvvpqcWm8vramcMiEDO1ktD5jPwLLnfRQSV/sb3XS5tt8xtZHL9hL/cI3oYSQqJ4gchdASoDPeQ9Wpc51ROiUwy0l8EOvknQn712v2i9WHzBb2sbO5VMzo4XxAvEbXDjHtk2++OqvtNQlEBgUDatYjGYoNCZ0gB2Y6NcldnRnLjE5IV4FsxF8GRi0qUDYei3I4f6tWnTIW/Oy7Z3tz3//h88//9xbslnKmIyI1F+zDB96yJqgvnIkga7npJQATPazaGbtYaW+dBEDxHSbUCcFWU4u9oZpyeSr0j4lnnRxpw38D8QESGiDOYELwQ0PEyjhnU7dNdXSqeUEPKDtSfLcupIg4mB05sejB5KWH9kzvloWbtrLX92ihS0eEIAHWQkqbrkhko8/nZCvTjxAyOUZYVhXWSILAvCYHXr0fHv7HTjUXTz0+ee/A//x4/fNq7BCVArhhfnRn/zkR8uS8Yt2iXjqzhJktpUDC44DWKYhvQhpQnIeYVfe6EE1hjP612lng8LjbpwJKSTAVY2IKIVRSsNAQA5BE3fbHfQYuEyvu3ZcTj753T9vslWlrQhzwDvK2Pm7u3hZoUMzso41KVdoU4PmFWBm2kmfXKqgppPmF26qDGMBv0LEdBcoNp6ePX363bffWUriLjXXVnvDlGcFhHNSX1TEj2jGjQpcRUeo4iVwX9ft1lSmlQV7ktRK96gVPbzlbOxRrFEMEo7wT749Yw40rMeU3bSwC0gfOnWEUiN1MBzN5rVNyZob7DXbMIglimyae7CxoVq4pn7RrkmPLgxxTiT4gLex91n5xkBGLjaYIJsVuZWUWFI5oGEXCC5B8C2/V2/eClsTmN+91fibb76xwruxaYPx8vxcorTF+fGf/eJn0t2UCXV0CKudQEAXAOItP0N8xKHE3S5XR48Q6H4VOrpQE+VFRaIEbUO82UDWhfIsAoBdU5PdnZ1GHnytJr/7/M+VIthw0hKUGjwwYb0yfdBeY9bAFLdxgmsH3UZ5uFncV1Mb6PoNalJB5yxwEhumqTjPjZQRSYQEY5Qbf7AEXBe8lmUKBuzzP3zx7NlTuJGSx7kFEZmcRZmyJFJqxOMlA1khUAIio1p3sKoj6hMr5R/vkxRZ+Gsza2KN/J8MCxc88pId8ypbGJKvzttfksAp5E0zGhbY1JLBYcbcAph0H8hCrq9LL5Gzjq2043IzCiuQBhrqjEyFhgmxioSwziJqs1RXct+3jKolrFKCbLqEfURQ7xOAA1BffPX1q7dvHr73SMxmPoACLhYuUqBPvvnaY6r2wNg08vEH7/8f/sHft8hOt0deWpuxk+dpYaOEfSICRfQVfCetRmUEb7eLtarBE+YOJ4SOBHVEVJxdt8VVNDpsYcX2lrg6MGewOT0lLinWX+hJ+9IkGwrSMXXy60BYWYtoA/GnvUA7n9wdGIIq6N6tiKvGpToqKDf9IirljCfu4xH50giVsa9RTDUN7lb94AojYbWx+Pvf/f6vf/2bf/rP//zN9rbBAgDLTOwSEKU3GYKJTXJke1CcV4IY7ISIuMWvMo+d0KrENFF+pCc0rmXUJC/EtakGICwoR17IQmIJ22MzVKZmahiXBhVl2vQ0y+1DeQbrfPPUOwxQ6rF0rCBI9bWlWFgn9A6etk3S4LLizSi/RKI+C4iNmujLhTrKRds6N+PBkJRfnH/97RMv015ZzXZalUV/mQpAMN9JOPVxoe23r+aHg3/4H/0Hj7bW0cWbiZUpFkuRmKrCFfGi4WFwe6rCKIW8GxLwpKAjYHXtFz7QUKjrPsgLwljc1VhN5YVn9C9Sq61s0YqiOp1i3NMv/6oJ4xGaEvUwGnSTy/TkVVVFALHqr+i3DlTS9ILk/QOA9KSJzogi9N5lBABMYQX4cGFGoGirqXQJDXNoqwK1Rk9PFFwaX8DYyPqXf/m//k///3+KrdaR2EjV3C2lgdc0D+VPOoyh8T+nE4UqDQrMwuXWoOa8rlXEAgoNTcvxWAMr44ZimTFz1vwkb4VSu51sRjUuuTxDAmSaIIajKKHCa65Usu8JddrMzHgSBgLwJGw1oXqL3oTgF6FD3HBLWzJ3F5dUo/fwIjwcUMd5Hw1BCR3FQOb81bu3piTe1EBXzCci7Owfg0QCKWms9x9uvffe5sJYhvNmxky90pgqgAB4Xmhd+QXQGrFbQU9Pjb2cLLtDfbciz3/H8CSxjrLIjiboy4nJqYat/QYbSl12BW2dqwYZwyzj483Tv60YjV3JRBfxWgbRWm+m4IwT5FRlhBhwFaI3NclsGwA0WbL57hIn7MFp1MF3jgAAqRJ5pe51nnlS7lR9v81l/eZuZcn5ZCeq+CcZ9sUXX/zmN7/5m7/5G+wu5QGSGcOC4GwWCVtdKGm3iB8MBjwL3v2P4lvTm7uXmYjoKBM22AvSx3aPyREsMk5QEgBhkx51wUmizuswM83GHxutDvchz+SJfBgqw5Li0g+dBlpFJG7pW+wEzYRTJne1DYt+hYcV+hgSRpRzHTlASGeVn1MOMmjE5vVXDDQI7kJDTX7q+Zu3XtZCNDIa4vWlxfEvf/mz5YWxtKk5CecFjuYkrju0UMOWRdhXZoYaZbQPTLbiXrBFNS5byBHyhyPJM6JUSDVbNzQEB8L0SImTBnVPhbuh7tU3f61vBzLBdUAdOzRLwskYpK1Zw8lTXHRfTQzVErUK1dRE30iVS5bMNvO5Lyxhp+MoXO2thqKImoOFkEMroNRXExB1Mm7qcLf0+ALXFPDRv/vd7/7qr/7qxYsX3LJhbzWlvZitzyIJJoQ1KqgZBEaAUAzYPpQ7AQd1eoEG5Ft1hgvzXsRKOcHUu5pQggz3pybe9bnm8hd8hzqsp4w5aCybKAeBmKM7ZDQVmMsojmpawGmaInBYO3ltGn3lzpJ1g7Lz1CxNAkFfQJFQA1F+zzdyZSRaeDgAGXbU5MWCtOTWO7sD9nZszPn5z3/yZ7/8+YiOYOZt6Jm1YUTBFljd+dW3Kgr9AqWErDuy6E414SC4Ul5LBf3CjbZhGoVWX6G2DlzK/LFWV10SKBJcTu48/02ii9iVbOvrztRQIkRATHIK8TDxwcChH3IaY7o6mrjlxC1c6HNGrsxppm8Db5u5ezBGk+qbACp9MjlpQCDSRB3e7oIGFPUl2j6A1VephFPOZfrLL7/6m7/5tSmkzQQVXFsRr9T53SNDOMguqQ1gpsjlkiAGbQzCMk/hoat7VM3mI2i4ZUBBRrXmu+batvJhBTQQSHtUoNnRS/mek5MXz18Y4kJF1blOMRhokeL0tFyUl4WC5sEH5MiM4yCMzOVopJGgFYnjyW2TWpiHIQ7omgY73IUboSqHAzi4BCZG6cUpUGy+iJK6G142qExP3kinwSqx593cnP9VDR8A19bRvG2hFFGJeJ2oA7JDjZYdu96YyDp78lUFYKHUCuOcw/br0BxYjBK8/wuoq+pR0gaKpACtaF1VhpQdIAaEQUIdFUDUCgjnflsSAVfsaFxBYGYvzi4khaEIaWBVFsdo15NQ6Kqsod/cqtGjmkswXWoFTt/16zKimZp6/frNb3/7+RdffkkkzBW/TpWxmNVsDKkOhE0pQXMgRC/IJCQnDIBC8ZPZjoAoLjwfuEtGQHN3Uac5cgxQxDqooyZKIvWJPMpHRdLF3R5o2MYnDgcsiC1rGqIukGt7hSmtQJ2qCn3s/l9aWsBSYRznbdg0M3WtC6lzjVrwLvEfTL2oHwbVzAAHXKqDS85pv5qQkdPa3X57dLBn+Yg5LCMXHVXNr7Z+1dSdE7/Y4uRek7giOuOSS2mTTHuadVo5gUYiyqkp9lKJA2SFSgCn76A1FZPf/v5/MfgwDjEw8+syxq1icG3Uyz6ysmGyjaA3JQgDFEk42H1DNApUu1bcAo2wLwEuX0nkcpd4YTuadJK/4GiYguKjk0YUYUE0ehkdclcXoEEs2Oc5i6TZ7OZ98+Ytv7C3J6I9UhKmZYZgEemgqQUQBNQ6oOcScKPQJQxBgz9GdpbIkrNwDRx1NN/1lSkfqBJ41xSpsy0YFXWphIL1vnJqMfMEAJS2TUtJ/YaTohbuitrrJWSJOOGoDlOSx28XLIxg6ZRPLtriYJ8IZunaoIa4E91RPiTA3KFEF/dMo4I6bfOGRdA2OT7w3mGkzkYJQPZPBV/Kil+rJIInN4IGZvnqW+0ITe06zDrKckcQKsBT19W7KCIewDhklNjFHpkgY0W8YYlYEyhoK44SY/3qvhTLGi4pRt5lY9xlaJlZzazxQVfLcD/7WRORuIRB96GyhqCrSZ9wiI5IV5sIIdF0zFzX+49EMzBTs9COFWTEMJE8EAmyaAll+gLdfLsnOE2thjqlE+6qbDpF7588eSJJ8fLFG0LBIpEQ4C0DrUBmImB136nm2uodwg4Wm5TF8xRZX2bj+oWGF4iY/AtW4EAdbVrf94XPo3xy4uHDR4nromcnOupoiaQduoabgSDi1ouleNKFs0IE+mX8GEvshQFVgBUGWrwy8EZD/toIsiBzAslwsvxJ+x1M9snI6vRU/NB3SU1ykobxR3KsMR0Gt1i3p+TGmddVSIzZOmLhZWHeS44hjGpmvlyKx6ISSBGK3vAEfCcl0Mxviu1+Mu/RI4yTlz46xFLagi7QjOQWCkLomdE4+fQPfw4ughWph2ztW7H4bfBgQFTK/eoeFBXU1x5Jfav6joK765bmbJ4Iw4kK2NQ4dX3VQFMTln1Oq2w9SeBQT0cpp5MZI6VtMA49HE9ltDVBbTEisxIn7CIEbCz66ssnNlDjS29HoxM6UrlxBlx951hGDNoZ5Rqq4HCpgvqmcm3SIb+6umLoQhsuTA6bSe8Cv3DjhQ0Vy8xQIvh6m99tpKgjVKtJG8G0cky+b96+IYB4wdoQp9M8MVBfyAaQvrKt8hdkj3s3V+dbmxuAUDgizWd2hSJGVK0ft6NHBT0G304gzOL68Ok6b2T3aG/00q2aw2RcTc3cWlOrJjZa0Gz5MBogkhKuOMiIsOgxZDBcc5fOS3Xs7EsFlKrghOGgqfTVOSRVw1i/KkDe+eTeq9+j3zU06CnxyQUYsiEj2hqh8j6q6kk1nSmpwkzoXCp36EATDFWi3IBTBxJuKferV3UQAFElLtUhRUkyCLh1q9bw4Ajsxqnx6hc0d+ErxSEAgDm7go8guGM4sg9gFuTEXh4AMUsSjDG07B5rAQ0VVM6DeDWphidaCAYQ51QHMi7dVYJwVDhHQmK4s7Pe5RIzLD4rh05RKjKe5oa//fZbKBkK7mqLHGjDGYRit20gsYKL80vEDHL36EQ+HFYGBjMJGgnxDO26mUMWktkz+5UKFmYJmowuo8U1b0Cn2XV9xd+RUj6gNi3RcHF6PGnj61msoLv5Ono+t+mri/bqrBhUU7Uujo00zDSLzm1ubJVHCs810TUGqMny6ofDTm/1kRX0hGod0s7xvLgc21UgxIRv3j9Ti+uATL588tdYQNLSLUZwgct8gVKIPJzQLSYUltiEFSqDgON+YezXEUDJpoyVAGXwgqOyVqGkzCnJqUNsfoFVSFfohGpcilLNGVj9wlUtM0e3dOpSZQcISnStxIkz6qULrpbZ1xwapW1X3uzz9Onz16/eyfGrDyVoay77XOdR1gyVPIieBx9qlhO5IheOQjugiF9HGoKgdzhXBX9v6Jl+hVDgIBkaEOWSaaj6NMEJsHwTYsmgk15e5yR1jsnaAkWb1zbWQHACporO47Y8fJzFBn1WbiL7bfLJ7YTwl3mrwsjHqjvhpKisxdEhQRg2PhMxub6yRL4Hu++8SoMvtj0WozSUh9Iv9KTq8IrVxFv9Zt4TLCc5WeoZR1bTRre6viZqqpDLyiBjHdtpvh9ia6bvJIFTrRopUXPyxTf/G2Hgjp01dx2bk2e5UW2/IOoMlzXAYoUtP505h4RLt3AHHJfqu+y26rukA40WXFU2DzjYP4Ac5rrUkAw0jKTLH4EDAQNZ8yavYUJPfZrR9RX2kWCuvifVMY3elaus2t/+5vO3b9+VFI+4D5Iz3twyBC203PLrOoOeuUKjJjESc0lV6xommjhBQrMbtk24ysp1pI7KTY5PCZvaUFYrPGRop5tb3M3u3q5OGafGE6i19VU9am23AqKYNkjpC3WoLpg6inOBm5D57Zs3enQXLYwbI8tgkItLrJ4fz0mQriwtrPp/wbxY2tPSRSY9X3/11cunzw99CNfeGmaHo6r4FWQd0TAQJIWRiimGlTpogVvfhSraW/UxtluVpiazjdHI9EdlhxI5cPJnKSa/++IvAQLUqHUDkUJfXEADDooJNHDe0OlZKpfiw0D3mrQA3NKxyi2G5kKfg6lyW5SGKSnMIrC33VZDBy7LraAhCeta/QWE5NTRSkctRYVYCR8u26Qmgo07yzLCMbWoJRpGh/uEp0SCHWCSXiIYesK2w9DeGvUFWmIzYpOY4VbIAAnFUAMmTIcSwvXVNgbOKlhUgQ9MFPpVoTDPdM+BTIX6hTAhxT7QldEwO8MQZggdn7IybJjphW2ASnZ3t/M4nbz/cGgXVwVzh8XkjGpJDYwhGpB0pBx88QCSDYvw3FcRZN0HM5try+8/fLBo69va6unJkaWRLBxLa/v8zPmFHXDPnj559fLVrq283m4nrrpdUWAmLmzZBZlPpLYwSeRhDBgE+GwT33i8vi4/l8Ul1fDfUVyP6+cZkwFKjIUVcWJsKllPfvV3/1wlZ+QWxoB3N8lEiQMljlYOvYKuPuYqcaLEuV+SDp13+RWc1UoFheFy7QPRVspUB+pDMX1xhSU/uKqmMtHSj8wKb6JSaMSdhixQhadqulZiW+P8aB7NngUATSHMwQEWGrrIZb1Zivy8vN4DkgaTaIanBkQSgS8Tko+GYl7o20wBYf6OAoU0MBk5CNc7hXHsOGR6VL8OiOmrqdBWF7CFap+jvW2ejpg8vLZLjPraeEMtfGpP1NUzKUhiC0UnGIClogw51OEQmLrY3FyX6jS1bIurRKSoMnxsvNIRCDbL+JSbTYZzYQ8MvRYbfRY5siOUraRjngs52t9/+/L18+dPn3/3dOfNO85eR8YbxByiD3EI/Cm6xxURixsUTB13dQQg6Osbmyxls1dhSxaqWBEu0ktPiVKbL37zT12q2oxDW7Sp9MO5W841IwzzCOd0igobrz2a1dEZ6C1OSGji0gFmWFNLkvAgCZVVAAQS+E4MtKHLFToHszG2TJwwq+oraYAwVEIMKjuspcAfKCGhcs3VxHeYGCHyGi4VIh4aviAs0rT3ywQAo8DGTAYSDv5yOuGAN1LcJtZJJBPVqL4dO0kHZBjTc4/4OmG9hBbKPQFuSqEWzWAD9GgEC+ehx5oK/jFqb8/7+MfhdcWmEPbKJ9yHaofAWmWj4nHCbZKy3QhzPPKFKAzxiR0VdAqUw8ADB5NXV1b393eV/+9N3QtzVtd1BmB9ICQkEDe7ae3MNG3//z9oZzpJ04zTGhvfsIShDg4xIAlbF2QJBLjPu95PTI7lwz57r73ue+3rOR88//jB7Y8/+o1h/Y1bvtKblfou0HAUgSZOY7RzemoPFJPGpGaC5uyOJ/345Em+An10ILYbJZkc0JVWq7tEOr9WN6f/pnnQAEXnHSopPYOBvPV9nuSP+4L0C6s0Fk0ZiD+6/yfVKOK9V2ICr5F2ZnYUwTziIJcC6cKiNDDiAVNRdQhr/rqOHKaCQb60ImCYlkkvMusHqnNZiigAhrRL1DMgyTGZHE8D0yruHmkWmOplwB1aRYARciGUo/Sj/TKTSDnWMrbLwYy5GJo/SXq5DT/wuItVc4w1+xXeJ/BZVPEDKis3AIqTTg8Ojkb6DGVs4+pEKEQVpCGhFn2JlS1pQfDo6ET0xZh4yTMU6b9m3mkFwLsZPtd7whrQ64DWNtYctMIwtTOdpQcLqFpUGxVuCSvUscLsg2UdJB1eRkvrt+/4QFrOzAl79IxhJd4D8z1fFDUDOXTKTqrTs506v+Xl1baff9o9+vnw6ZMnP73w5n5+jmEWXnIaOy5ipcomxKXLpiws5ZEsNQ2p+6lImDnW1c2r0DKQdrn4y062dGqA6k41GnGpTBKI8OdR2hEIDmUEY8gtKpAh4k+vVySquJifv6voakWYJeChoPf5ckqLJcgDlbpKpbUAR8blc0137JGw8hQMjEdFkChqJip1X1SiuMRX4X36uZy5yD6dR5daICVUKVpIpCFkdHEWwIiSG0gS5Tp7dXiQT7rZUdZO2zRnXJCArSY2agmeIUE/6sqE2SCPLBxX4Gc2HwrJwVTfSsiMdkblOkRrEvnZoTORwEakyBfRfM5+Jhyij7UP44GfDw9oiYAoWu28dW3L1zecb9VpOlaNH+2dql1VEW8AiQeWozMhLQdW1ta9xzObufbzVwQOnyfe39vdf767++zZ3v6us8H+jEUSjPOZ2UzqNUV+oyHBSTRGh8tcUpSF2V4dYRCFffH99ic4AEBsNUni8ijNnC48UVMVR9kSunwhAV5KV1TVq0JBTKXhqqK6tDuwBiRoR7/ZesPcNKx1pVyEF6IurZ3ZRdG2xRUOo6L8uq/q0KKOJQxDRQBFSEiA5ExQlQpmqlbwBdA1qGiOArVS1ZFT5JJ2gZcyeONy5Tya8psSo4HCuNuPYuZ5lR61M92xgytCiFE2J75566a+hudhiRnhoRxs43MY68fiHY7w3WKvuOVDt/jhymIVTpjH4JLLZsyfVj1vT82HrXS4tq14Z1b2U5QXDrQYDuX3drz96Q2LO3d8g4ynpQ/VfIyrSEoD7vihSWpHBSpLYnjLltlM+fkBullOy5Qop6+Pjw6dxXixt/fs6ZMX+y+0QpKmLWZIIE4FGlpXTuzk+GHEEHTkZEzQWSGHQEAWFUiPUpbdHFFw4MIrHTWBD2pCxCO+3aVVZwP5LOQRwqKS8EgkZpAAzwNg4EzSaEEr4QJgpqa3QksptDBAq5YcjwBaq7RU9AgVhACQ5goklEYUZnfP4XusSzqo3AG4ihYJORACzssqF2NwLhj75RXk5WxXlYAMtxLUx5MwcOE0tgsNjr1ZfMgGrvjxmzcy3DU/kO/rljSieOYrNIaH4Z87itl4t9f0ztsd1sPKPKfXRUnDzOnA82bNCZUw5sNglxfGOToiHVZW1bOjmIEKujCjFVWDHNujWJ3DIITzCQYijjkP0ZrD1433fd/k5PB47+mzF7vP91/ss44xVrSkNPLFoNSeMJkPnmXGgKp/E7Gwiz98oNcIATuS8jHkrj4W8QeLBFtikerpi1rlgFHdvXYiPJhINp7qUfCUhqFIuIJHXq9XVQQ/uhQkBwlIYK5hgMl30Yu6JSTTY5mRJionEgC0JJBaniPK7QGHIGYzxlFUMSFxkVFOqvO2Kc2AV94UUZbQpfcCQEB32lSFQohTfqTxMGxgUgtJ2Avb53n/TPv++eDgOL52pIvhdnjGOL/x5Spzt8ODA98ku33nQ6sQGhoqZqnUDi1t+Fo09RhoWAKoNkxJzIQMgOwdUa8JhN+Bp8lcjjNsWt/yPm1cRE8qjlBsjHXV5EaQWcnLPN2x0fxGq/jJJYDlZ1EWNt11FNBaH3H+VAlxtCOxCGa0Ca7ZHAhk+/nvxe5ethuNyRJksp7ljsk0QK3arBB52jFSg52lY5gJD3pxalIkQVSUwqiWNzMRAkBEQgDAKL3WcgfG4eSrwrTMoFQCmFruWPRo4mNmXQBVYFO35pepCuTo1qh4gBBMNlr8HzdNqFOlh99VxDsBhk/vyuUDBGUpOQ7oTTDWbxniFj/MqPAligApyo9fpZnhR04FUb0aAIuiUrZHTal0GcaYJXEA4We67Epk/4dC56BUXmWOk+3vG0frcQzUNJRbt++E8XnbrFENKsMJbzQSkJtzLMXoUoV7gFeMASh8xZuHGiHmjw9/toJhjdSUH7f+4RMcK0dhV7NMwBxeQsQ2nPpCEzo5bIFh3K5ftYKT91OmU8vE9t28nWYAhWK8hLDOVepGhANrgT5i6133wwMDMvNKL00bwPA5b+DxYB16DPF459NaDkPTd2ZuhV0CYEKaNW1paUmMQWtywGOdTrGFP+2pykWVGzr/Zi9I64euLR4YZakFTCJ4hJAVi2mnGmTR1oTs17kVBuSo4qJ0j5SS5jtbT7qhaATD9udmQCrMJBqk94tpNZvy6Q5/qUvEKhm/L5dbB9IuZKJ1lJ5uKA3AHWRdhDlxIoeKgRFckXRL/x4zDPgknHaL7qCaAZb3gdd0qgYfObdtMg9M0yKpUwleq+VuwhxNwhkOR12I4oS9NQpyy0WdzrVqFrB0gjFTAeuuwMRFa08ZPvixrhlfcizw+sT8IsUM3jMIugj/kGtmzs1SKrWF8w7v0mYTq6KEnDbLjwOwFJiZ515TxL1oDHWvmgmfBlCmNkb8WouDaMcHR/vPn/sS0WL73n+pHz2YqKdBkC76zXJFt6nzZSwb79n0IAnClRBzIIkaVrTRcWRg5jXYMryjP2pSCyQDMAZg6uuYVI41LislEvC445U6UndChfu04OVgDlEFEE6v5d/sJ5AZxeoCfgDNURgt5iu0xmfcOF3ejMTfpPuf8R8M0HFePRdUgDxOrWWXjQFXVDkvGmBGmghgeIbq5Rx1pMnIV7QxCd4ARqL5JGIV/8erdTz50g73iPv6B3VDMo6iH9SnSYhqMiPcGOP65hblZdAw5yyEPaMrpYnBrrhXBj3Xt4zI/VxApjhYxRVmMOyuubrLH0WNpcTa1+d2cjApc/IztEBRXdJ1Xhm0N26UE8wyJZGhIilsjtCCJ44dDV25mKtBC/tHBz+dnBwvfnhwl/ogPX3zWkwwGE4Pkh97vnT2MutdVM/zqIKdbLPK0RcZl+CJdhDgf3atvC/saIce108AyCclhkQ75mIYJGSS0z3z6bM4aLQzwSCZFyvmFYMAFXI+WKoPjTv2kh8P0+Nwsfw6fDojmOMEeXsucRFaGKCCmSLw7FIno7G0odDFFSXSkRyYGbX4QarlEUCZcSemq14FQI40Euoip0gmn9D7QCIHgBwYoPLo7vKoinzsa8ceKzVgzEMiASCDMjHstQ3Hl3Y/Tc1Ojl8qEmO0PfgBq4hzbjWjAyh9fuiKFXNUQJJLE6040n0Ut0jNYyhCXX7rCQ/0Me6epghy1MWsOadkfKZ9iKwyXapzAxFM63AjxSydLCc3RUghgm8awTf3/oADg02Gq0siRmsRcr6JDZQkeJdQubLhmwpmgLyamQtnze5BTtob8aTpM97YWKyGENNGFYlF4xDaNHVTMkmkoS/RlM4Yv0StPiiCXCY3ilJm54HMMuQLxbWiihKcL4vuXl3K5yCoOMds3JVSChHoHZi67khIiKBIe1RamwEGptSdODKBqV4ShRxVxBs4iDV0NiO/gQZxCqZuOJyI3lGESMM2qruU4oUOhQRcUDVIDLiP7TNR0IPpnkQ6e50+vm9o+Py5F1f3ErGOjrma5gleWIMt/cCVVXMCgqfLsW0wPOOnfkZG461q1aYxI4qG1GVWjQGjL9wilwHMZXOLG9jjdgKNDhQGJCwriDgS+nR34zD5uEXCJUe89JcWw0u4ynfbfyYhDSoTM4lUIT26MORK68zX+kJeY+LhPJ+aPI5I2dxARnuVQ/5RniFrdAeJxkdUjgiGnSTEA0ISCWL46ZmE6srCK/wU7yGCObnmgypkyOfqcxQCPNb5SBQ4/uEeFc8Vd+dDeY07l8FW/Ukh/OoCBoNtObWiBAGbhgfDciRc7yGJJg0DbCUXMJHJVPSS16M3HB/Aqyrqjmi5AR4Thr3ItbRQ1ghc41g6HQpJ1xl60/OOtyWsuuSzNw/wHPFPz8zH9p7t/vD4sQ8QSwLGD8jcacN7FHN1TxMPMCBNFQ050Z5P6mcUEOTyk57q6inlJdWYKOORj5BdgMQtbFApDfMbVvtjrzIZrZ6f+0iTQZuZVSr++NevxbqgHjvBi0BC8czgqE9lSNWko2ofGZmKyhnmKL3qYJbzHB14ZdYKD/hWRCk6ml1PJMBbUeTH8jHqEYkixyg1OAnOxOrSCo4rADDA0cVcSunEfy7I6VBXCGHA8sWeHGguvCpcGcMS8LvLr2gSqgAuJ0phc5dTAZGGXA6F4FDdkB0PCJghpi+9zrzHrFu+3tmH29QFjBPwElRHWK3Inwwr+8UJQJUSAscK0mlUY78qGd0YW7OcbjcSOX4Snb05sp7hq7B7u492dvZ8TvHgQBjzkRVvtwqThjWO1ScxyoeN0bVPB0f1lBiQjE9GwpzjCDPvchyB+TBqNSxL9BONiFwD4Q42NdyJX6ODkSaHMNb8dLVf3v3PHhG0vRBNze/wqkAeSnGHlGrclaItEZvNEYNqDYcyeafHrMjPbw6O4jrd6LnpDPOpjObhAWklkU75II3TdTPlV5sGtRoDDyEhkQZbTC5BNqiwlCtOlhEXWwOWkAzY/PiCjj5dfAYWGR5JgKMFAKiQwr2PqHN6NiOgTMCalsQH//Ah9CpqhgA0YjCqAzB3gBa5bBPMxMpAMPoR5+Ynx6QBs5M7nnm+ZnX9+hYGORaKvZTStpmbvpvFSQwtMbDHM7pbF5asBcyeffJnFUZmVDmhytkrx02Nx37a3d/e2f78s899rdRUEWE4abjhh3rxM1/D4lQWtpb9oA6VTwThu3yvAFp3LwHATlLMG5NUUUjThkxMgnfnfHphOabqpu0TNzMlWtz/7PcMAPXW7ZtURh00iBUCWHGxjKuOC145PMDiEwABAIucCSTsVTcOOFZerR3nM57BmUOifpqCXtSxfy7HpAZz2KrHSEDLjeDBQHnwTbnrW/Zfu+GRCIyHuA/1+/Up3QqLchQv/M6hLs41Gwlsfx0bb30+cGZq2qg1PBVpxMWE8qGiYhfSgF1Iu+PHHYfwY5La1q/laEC6odMsf9BXnCS/r5JVlQhuRjlOUFSAI8W8yEoQdFVCFFppF+Tj+YQNPhRNBmX5NKY4CUwmVKKIFoVh3KoFrWCnDzN8VAqzkMDYUe8MME5PT1QMxYvO9PnTZ14wefTw0ddffPXXJ08ODw7zQr6Oyv70zfwMFr/Z8ElcQd6LJ/O1JtgwTy1QEVLXiZamW+N6xA9m0MUnWh5pUq12sqIyl/CoiAUyeAfBUXraq6rhUmRuGnZidPxk9Baxp5nQO1uigZXyRIOcj6UrnsE7F6QvXssF2VMgwQTgcJz4EdV7ZDGPErC1VOzg7UzoC4KwGWw57aHxEbLNAgZp2ufGbI1bORigGjwYGfAAOdpDbVO/pKb44vwITIdEYIhZNeEBw8SJx6hPQXZnLaYbI76Nov10m4m82QY+nSlQC7d13HRk0Xbma3BWHI8cnBfmOT/b7GxSfgxCLdGMOP4zEvc7jJBgNSI49dVz/RM56EdNEsUWsxkgQhCb5sCzJU4AjKUSaBMkTbNmBxAVYDT/499+/Pb+/a/u3fM5sufPnwHWmRJHgxc4LHThQ9BNAFouayUIUYLLoIunkWxCmgxgl/SwGp4dATygPjR1ZchmXoluDjM+/r/PcWPSAQKXqtJsbDMdVpdMBFL6pVBKGjAOkZHBBdKEevDuxDYrUF2YNksykL/z4QcY5uKk1GjqhTSOkJkQIVUcmcJyGeDo5gnaMY6ZAbBa6uOBol2Mh7RlC1SsS2mnUACj2UGb9RRqCmYukoPIyfeYNje/ECZrcy0tRw6i8KPFL6m74tMJhK/fZSogcBrwUhmZr6zn5KrOzhQDJ7gy/+ZVgrGhlVbCk2DQEl6+pPqrmHSk8MpVo2AK0Jr1uWf0iZbqmjhd4QEtF340P0JhWAwrD8DKoVrGT3bjDDYoR2n0NoKDUQVO4aStS+OhvEhtaWDAfJmOkz3YebDtTd+dB14AMUDLDHqWJ3L2Zt03B/L2joFqPuk8g13iuwT+zDdBesd9bAd/T7yRFXW+QWaOVVngXNz/6o9UVm3WYNilZVEKWzw0ayLrzoCbGC+32woAHRpBMQGMgqYprPptMRyYJ8KAJ6iAYRsuVdSdSJ9a9FUMkxnPkGimROQZe7tTX5be5mDxIOTHy0UE8sevM8mg7WXXYPkMfhgur3PmdKNkBFOWdCtaP5bAqP7q5BTpAkCuseqbFdRCraW0oR5jYNR1Ry9qyVeKsiLFFjTF3oB1XcbRXhd2zJVdK7gQUbbhVN0oogk4VceDi99oDLZH1FKqop07+SXHpyGnaqK5rOBoCaRLTe3QSVHvwjvXajqcVba0ChjgUV5sEqR2t4rhtPKjRw99e/L7Rw+fPvmbwEwWvsUPr235AvyWkKb7jBdk6SFzpoz9feQ4/bXMTJXsHrGwf5BzZyyl0ouHO/9Dqj5LyNKMMDE2ThuKUrJ9ko6GGB6BYc5jEVlZIS3q8rU2OsAB4eVIgEGtalJrrohKt3C6oqABViRNTfCI06q0U2BFeExV3KWxyhuUgsSMi87cSepQXjnP8t3kC7+8PKXsBO5txqFQyYGHExDMb5+7kGY8d0awMYYCNsjVRDGokjApSEyjIpmKuNJtyEHHo3+o1updxwMlxGZG5QzBoSssQpULgBwVoa0rV+doIR2Rc3DZi8TXOCMAYADUVVGpC58gPVK40jYACyoz0HBewyavMUiWFVEBnC5rpnVimT03zP+0v/do58G9zz7b+fZbH1SHAUtgUDE39HEwK1QiNpasVmZLLP5jl8pZZI5rpF9Xcx4wg3eRVUxYfPv1f0PkASyOyyuVSXhFka6TGEkK0PZBDEpXkajyPWJakQqGPCSUA0m8GvG8PQcPya2tZTRaJLyHciiFOqrEFomrtkVpQ1UaM9qRr80ABIYQ/O6t2Lru1JcwMa9aKAITMCtaOeGUPhgPBoWQ8HRBzrc71cGwQ5w1DzGxK0A7kQRDnGxeGgOmrhOb3MJLVOTyCFi/nzH1TObZb/rGGFtFAGwDCldCvlkXwXHh7oLWPJ7/gaE9d6yignlahcGQV8JdNhNW5HA7+560oRYwpGuy2kImAEgkgnCGAXLAG66K8IQC7xFMpFhkCX4mamf5ds/q6ov9PZOJv3z//aPvHt69+6mXy9OQxopiinDIv7eu38wiltHYrKYKOpldZniW6IhJ7cff4uH2/6azyEc1YjCOYuprMZ21rWpoLgJs3GWucoYtMovzZAPviuW0xTlMTWOGdVCJvvyXYDOEpU09XXxIohp/P2ana/kuCT5HTQhFGwkxokiUi4LIL58IIOMBF5t3qiytex4A9HTEBl6GqMC1HkqGj05Tfc7fSYuH1G3kYy0xjs7/fGdW2zBWu9irAU9Y140tH2+xR/YLuszGf1GPXWeNzdBoVibDPMHdHSn2iZHGDFrCfEhMxHWHEJ7KS2RV4EyQmMO6IAle7yQ4l1XFo1pVFDDewCCqYEOmIbZ01au1izSQAEOinqTUS0HS8rHtrpZiOaT2SNdOMJudGGlpHDog47lnT58+fPjdvbt3H2w/2Nt9DglNspoW5b1EOsnHJ/y0TqyTRQpzSJbVOTrUs9j55s+kQsD7z+PlmdNStxUX86JK4rN9uCEtRqHg1NIdeIrSBnUkjwPnP+WgMgBiS9OUejH4SlgMzQzcxCp38DRCTRJ6dGOUKUKPmGnmqkANj61+Y2mP8PNR5MLwtJW1OVeUMe+8givT0lFRZJ8JasjnPVjI2SnYxPONrqQkpEGKGDB1lQKj6OxK+ZGZsat2q5Ashj4UnUiQNiB+Z8IhAECiVIebQPtr5jFIANAUYaPq0m3CvYTcVQQJRkPlxKjIGYAww/bQApBDD2F1liGqbkERIUV0hXlgkNTnCmnYDqd0OJ/hJjDOCoz/yVRab3Mo3OkXfUXipW+M39iyqeC8tCDmC+pffvHFw51tDqD6BDI4clTVdc3vDN68KaYYhBF08eVnf5A7PMWNGmZQAi3IqKbZCRXlg3DMQ4ZoeSJPRiOzLAsAxyoSmHbc5UAosznSWIeQJHJco7WorJnRkZNAE1p+9VvwAwZVsSEqoblkhD+HehneLF11FWHkTwOwxC894gQ8oSv9T5Tu0YVPmXiQSX2ikBw9IFQy3dlGTntSOZXO4IwQTN7YQPVCRRw9oSGti2iOw2iipvEcWsjOYEu3O03UMCVz4wEjDs5RKcWqThGeXcxMw9ZRDYXLjCIXRWEYFb5GP+TBmwRNVqvqYlt1+LV8/tRaMgU5ft98pKOOsS/8ag363KBSCzaeTCrbf7G1Zeq19LO4f7G7a4Xsm2++3t6+//jxD8c+BrYcLmetQYvauuGdi63F/S//pL6sOq/KUCOPRtSlp866XI7mkcFGTQfINq3Yo82nUkXakbOGIYmEq/k0IqcyVB75LgAeCTawPojtc5KG7eLLQruxeAYGAEbca4OEI7XmiIF8RPmZ6X16sYlAwSZzBmQWIzhcxJmlRU0oPXtOaizX4jGPMVXCTeK5wVw+vD5imqNtAKBZHgDGZSQRRc9MHnXXyfGJSThtMI5gLabYMTZmzTp8Pm3CWbLoxQvtpbT3wTNWiaw6yEG4DLrNDFFvBxlIDCjW/OvCRgJJVZ3pfwI+YP6FNz5oHpLOffaVRQSiIaEiT+qWn5EBJnUObcxKUWR6CkFFV4hVqHRMscp0svI5ucaFtGNOBlVpaIbU+cHUpz7g+cP3tpR2LC0BiF3inTlnktly2J2Dz7bwoMMKdo0utXN7BexsDKGOV+xis5WVm1tZ9nVREO7di0dFVoTKo0uRR5gAAK57Sdsw0YAQYmkwjTrgvYEpneY43yquRlQECV6RxgSMPxr8wiaH788PBsT26DISTRlb+twOB9IeRNkU+akfXx/x4dE33vFfs7Z0yWDTz5sTimCmFDEj61rXtw1iZKl29AAhtAhBO9HXuq2tNBYnkc99+42Jt1a6OKKZC5acCK+puKtgBoMJkZd0HSVO6RysxU/1BjnM8oG5V2PVqiDaMGZUMB3TiSp1QYahMz2RBTK608icrGQX313gxZCwSX7aI79MFkL4QYUOpbmYJVc6V+SuiHVKEYCobWXQMenA+plj3zI1105/pZKhs7cjM5bq0FnD+d2//ts//+5fsIcxo7HvHux8+/U3jx5sHx8fJmLBiJuSd0fSBTQ7rLMQ4t0Uj+mbLn7NEYt1FEqnFBgkZErAQCMeaUoOVcIGxiXT5VU2UUeP/PZ13gXNgN4bEDMHBIMTosLDsKYk4L12wp8swWsl2tZg+lVfw0tEJQB6FW7hXXLtZGaaeaNX46NNX2oEgFVsjPoSyfQRMvFZS4tPHrEOoMgnzsab8Y8i71fFI3NmRyxLGJlP6XFw63sunExjgEd17ruxeU3wSPiel8/auuhEcLKlQbpMPOd7PpxZk04cnXGC6ljChkvLgZzaO6eW0HdzVgBbG5t2Wh2l8RUQkHKcdIYWIV0tzRDNK0vTvURq+ajDhoqE3jlKfr/Kk0/wZ5hBRXyKsSSMMcSGdDcz/aquPKFCq9RS3tyliUyBmOchaB0fHuw9exZfC5kJ+HK7dVMHt3Re3fklawt3Yf1i+gYSQvTUJRh4eGViHQ0JOS3CjcwyJAIjZ+/IqXOZfqDEiDejk/wC+TICkRxaUQRuJ7e5jrUWvmj5weq2ro0Y8PDGs5MzI2jdv24Idf5nIKV9h8kZNsUvpgHgAZMV0879tNuM3BFS5OIB7izOMXBOs6RIviPnee0krzfm9LqvcB0f+k0b8OZrtQEeqi6f1tNO1jY76orURknWI5AutvGSTCrhR8/pyPyaw8WFEEhyMSvvF4Hw4c/LTVSE/snbRBcWES2NkcVLHUN9OoMtS9lXstfpckLQib0SBV9jtVOiBL6DNxZy59MWco0LJcBDQ33upixKXTwNt1ShYs09allOSPFDqBW/w4SPDmGN9+988Jt/+njx6Sf/QUHhUY1Z9nTX2PRwPDYqybVsvrUE+SVoU4GEtotA2zTsSjFEGHjkv69SYHyUA6M8H8X3Ud5yDFgR7zEi8Z+POfFZdfur0hSHE6oxZ8ZqHbdSCQMlAQ/xcCW/DKCIljRa8jGm6PSXrBTg2fKKVjRjqURfYy+jSlJE/Gkk/MpEj1rVlQPbmC9hGEUXbOmV3raD9iNNt3HIkOCVZpNn1kfYDBdG4litjQVz3TE8ADCDc2sKEkiTtH7MibkuAumfZjghhGg2SJh04U3w1Fw28tGs7DziUCMkrWmdAB+fmK90jGNFH20GiPp0E/siKmq5UQuvHfPpl9K9YAP/EMo3AukIDHvtLio4MMxTDgxQkhFjVZ090w2NRAE+yMwnJ5Tns+mUSAy9j3+5M0HUBFn9tj7UaMisMSSwGL4nSCjFCmJUQ5vVGj4kAJRF7NtzpeWIMUtK+j9sIZpBj2OQxtOIOkE6Czyo00jrooUuoh5VVwVXMqXdAXImRVFuvsV4pu/ARjbCLmtkDgXl+CxzUo66WGov70gTnMBG0lXvbMEmftjlgzkszcYR87soyk+MaauEtROSGMAJ9IyWGdKPrFgZsuJFaoZlBo4dl4BtfsQAP8GYGJkldaNbEgnnq95em7PqSuupQ02bSUdALuf9YBUHjQN4PRSQUiyHJu/cl7+KwKGZD7ccbsbK6QEF8ozg835EBiruNa4kY9VqkPBIaUyCkQ8MVwi12fROLkzKjAJnCEQQUVbNxRd3f485Nc7zuUGtBGmqTucItFcsPYMAqKVlUndxlRjJcVDadbi0kekZKQuxqHM2YZQCU1QwmSCLhA9RgUckfH2BiCBhJiEMdRp4XESV7649qAue2dwj1ajeIzBFG5vGs8Gpv1WKbvOJYAAvHwkVwZJa2tW4AnlHUUKLmOSbWfBogbWfNP20OoQwcPnZ+c5hOpEGHq+tajlKAU/wyCDGbDqrPra6feNPECOXwDFjUJyTUQiHFp+MTQoJLguJUjYCL415dwqE2QVeqQSitO0RgDVL5BqK5IOvqtXyY7wIyZGfx8EpAQlB6kzVKgxyClZ7lhz88lV0r11oQ/X6X44S8RAH9EE7U2gJQhm8oria0LnjEg1MgIHCJR8YgUHKd4FR6lGRBGI0AgavcpBHDxJFHulLEcwu3y7Al1G2OOWtN7Kf5+2A0UuWni7bsjKZcsKCb6neImnYcKLf9JKulpdNqyvpf6sO+N+nTU90GUoz4r6Yl5QB4zHzA2MIIrA16hbWsUo0PAsqsFlc8GoAttUlF+bpGoCQJmeOfthQ2yAYiqbhil6fnHvPSxepgYKBkDZcGQ9h4OranAOCMq2Lh6EiLIltFjY4ruEmTeJQFYxFliynRTTBTxTM37u4dfXpnurTemWqgqh4bz0Qfly5ACRUj+3gYRcwGFBEnBh1ekNFSFfP0i5MAZAwHIBZEwbpEWbiVBXg5bi7wnO8Jft0q75wi/5QypSh1TzSo0tCBeJh2gXjYIj34A9q9LCInoQ7k6gCSc1fYGhVlKlWgZtOPydQ+G5dtldpLUOEYi4q5zxmfzPIoUURKkShpRSdjVd+8ZbTUstRUbpskOABRwumylbmRqeiExHiNNNIdIiA0cebgTlH5WSauE5C0JK4df0WP+noBEJuBKFLLY+shZn4/4IRMa6nWA4YcGRaRUa0xCdgZZhZyKFr7HssLMvKFAKbIjD2esnFPJivqtXViWOpqzPXtq4R4eToZUjMEmhmKn+3wulAAMhqG1qsghfzoOW1utEo8FUWS1GhKJJiADaQGHCpi7rJtWEADOyoIqdiF6XEBwybuo2O4KVdCAGAX460HjensBVQDT9W35gbhBx3j/xBkQwth7IQw4oEzUoAQKZ3mb3IrAh2CazU2zzKVF1DgtPA9NX565PT9HFmf+/WEtvECD4iUFgTcsRg00+3bWwgpEh1usA0k6MyfDpXJvuq9UFtFLeQVzZOAJgeQdKddOZw4w30Isc0k2BR9+zCSmSbzMxo1bsDW1RvrocED/UbR7zBNzJogGObWfi7siGSzrwvP1AQ+5GCxpDDofFaJyUmp0S2XmrmHxWNzfywq9hDAlXoR8dZ6+JheKRnmNIwsB3TXt2QHuVk9moMBxJE9Pnr/E7E6yy4WCkwh0RlcPrpKD9itWmV1TTI1gm7CQtpNvPGhy4HEsjh0fskniu2vTjLHyR88/aaiQLmAzD9WHmQY7qjou1NsVsp5lEnOLrThFZen76y0re498m/44Y9lIGTro4QdsmEUWDBw1guZOB1URPz0g8P5HeaPgDtKAt6E8CUKqKjzPL8qsfBAQ4++vgjAFaliYprvOMMXfaI6n2ewCJvln/ykzLysUsY7QZOekFXAjDGPDZhcgdGTh/VKvOAidoWZulIvksmT8WPIkMWjkVAIU0CJwiNgBnY2smvg8KPY2AmAT5MbPJVTNO3p/fHZPqJOAtPyjeSAeBHkYTVS74IMxjxT2jEJ5+oOxqG0+3oPJNo8wk9Mlrq4kt165Nswup8XV2Dcfqhq9FtVuxAOgGQCHax6IM0DcAW3Y73SHsrMVEhPzOTE4goklGQxkxaXTrik2S+fff02VMnZW7dvsW/DDQgxjzhsow3PSPqtEeNCEk3nhmSQig/juHHaaSgRi92ncFs70SSWRYBICntZC0wCaW6JRCii1KuZX9HCzORGybzunp2FjrEw7Xm8+uWJSlzBrNnM09la1c3DI9KAn7CW1zgoJCriG+8SSjiQ9HC/BhOGQMsIfLLn1g9v0Qyaxaq1DCKyIKQKINJV0WjVkSVeua++kqSWt2ZGJ2wxxY0bUwsxTZoATYQ5J2WQ1cSL+I36tKmNA5xqdcbD8tQEi1UFHFT/Y6BfJxs1vKdyRLvRKCQyWXAFDcCSczpT9N16uvwACHf9GfMIMxgQqjg/6rZveAWIImPeZ0vPsvwBeasBPngataiZwzDnMZruOUoPFs/z+o6Px/UXL5DSnXr6x//9reMjB9eBScS2CAUtISKladJ9V7l6C3onFCUX90udr74Y7Q/vhIpJ3R5bAITSicOJx5IQ6S06Pje1Y1NkE6xoTqdS6bE4YZzzCEFuUYvMKsOW12ewQ2q8GqlVHUOMJN/XwL2M9thHRgZ3LGrLrrOqgkwSGTtfLwNG/IhceeCGMCf8EA2FmqOZWilEGoD4OipEyu1orOLWYWmDMYX6XAYv9DFs4FJyUXv1rG8IwwIMrkGxpY4R8tFNPgFqvAwJpSJAWmmdYTXthoBxTTDE7SU1u34BFZFRKiqc9wSGQP0A4afyVE66wum67FLSM4uE3dxxRyr+UANMznLJIfGqJEnM4EgE1uIK9N1eBSHYJaj9/eSqReZRKlEZWqcqRWDmM3AJmbj1joIljQCAMNk3IQFpKkL3fGazCf4g7Jo0jqWOlgnCaVgmi2R9KiOmjJdHisA8ugNirighprxdTU7W9Tc3AakKmRGxPgZXg4JA5KUBadmx9W2nPQYB5KDM28o8ZCsbvObi+lJOUFolJVf1XYZfml22BjW0jNCXl+8dTvfuJYWS+SD0ZdhFHnjLXNR7gIDtDTLeYUZzcDpYZMm8WBlkXfYVTTtgpxVsBL8Zk++KtQNFm+qXfIlKos8ma5SHWxEIzi/wapNG1oSErDh0eE2Tum/LKavLCzwylRr7KFePqnKBNQuPau13CCNMOO5yGU9KQ1MF0ko4z+BHB6k4Ymj6TMS0zO/gQokTqQNm4jPwGPExNeoi/wGQ2f2OjmJY4/nv6xkRokQhICRBmAOzflskXE4VCCkPfDEE30ZkQ5xiJYq7a95AsdmWZd8AP8PnPlv6SBNTWkAAAAASUVORK5CYII=", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Set these paramters and query your database\n", - "query = \"Animals but preferably a gray cat\"\n", - "\n", - "retrieve_image(query)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The sample dataset also contains images from other domains such as ecommerce products and stock charts. Let's try a couple of queries to see how multimodal search can be useful in these domains." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here we send a query about ecommerce products, such as \"Nike shoes\", and return the top 2 images that match the query." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [], - "source": [ - "def retrieve_image_top_k(query, top_k=2):\n", - " size = (200, 200)\n", - "\n", - " # Convert the query into embeddings\n", - " query_emb = co.embed(\n", - " texts=[query],\n", - " model=\"embed-multilingual-v3.0\",\n", - " input_type=\"search_query\",\n", - " embedding_types=[\"float\"],\n", - " ).embeddings.float\n", - "\n", - " # Retrieve the initial results from your vector db\n", - " res = index.knn_query(query_emb, k=top_k)\n", - " doc_index = res[0][0]\n", - " doc_scores = res[1][0]\n", - "\n", - " # For the full list of images grabbed:\n", - " print(\"-\" * 100)\n", - " print(\"All top k images:\")\n", - " for x in range(0, len(doc_index)):\n", - " print(f\"Ranking of Relevance:{x+1} with a distance of: {doc_scores[x]:.2f}\")\n", - " img = Image.open(file_paths[doc_index[x]])\n", - " img_resized = img.resize(size)\n", - " display(img_resized)" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "----------------------------------------------------------------------------------------------------\n", - "All top k images:\n", - "Ranking of Relevance:1 with a distance of: 0.34\n" - ] - }, - { - "data": { - "image/jpeg": "/9j/4AAQSkZJRgABAQAAAQABAAD/2wBDAAgGBgcGBQgHBwcJCQgKDBQNDAsLDBkSEw8UHRofHh0aHBwgJC4nICIsIxwcKDcpLDAxNDQ0Hyc5PTgyPC4zNDL/2wBDAQkJCQwLDBgNDRgyIRwhMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjL/wAARCADIAMgDASIAAhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXGx8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEAAwEBAQEBAQEBAQAAAAAAAAECAwQFBgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSExBhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElKU1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwDmpNWjuGZ1YSOzbQhGRTJrf7TG6EjJADBSevpUNxbRxMZYlYA/fVcZI7kAd6v2ggEMbrHIxZiSzAA4xxzWaRbMYtJBbzW1w5nlQEJu6rnsPQVTuLd4QJZT5YK5GRWxrMKSqs0bKrrzIAew4BqhqI+3Wwt2U+ZgbCDTsIqWl0xkMvRFxnHerfmRrcCSBW2uclh1WqMVlctbNMUVSvDqDg/jU9pM0bq64G3jBFJod0b9leJNbN8/mEDamOMmteF47uBDfb7e5XjLHjFcVLeQW8xkZ9rMdwwMZPtSDxBubiTGB95jms232KUUeh/ZQRGHVWgz8xB/WsTxVEkNmFUK+WzkdVFU7DxSskDW8x3OVxvB4qO6vInsz827scnk0ufoUomRPPJFYrBGSp3ZHqKktNanEqJcTMFXoaiunWTbNHFiPG0c9azpCJZNoz7CjlugvqdrdajY6hZGJmBkUcNWbMltdw26RDaysA5B6iue8p42GWOM9K0Z7iVUQLEsOOQRyTSSaG7G1run2lpbQvEME8HJ61z6TFpAmeM9avtr5mtPs95bK5A4YGpPDi6Xc3covnVAoyoJxWztJ6GS03M/zzG7IjFQepBoTUFgAj3u5znb2Ndbqd94dt4vKihifj7wFcVqV9ZSXkZs4doXk981m7bJlq+7RcaO5uQ0xTah/hx0qqZjFmPbyO9bljq9vcRhJMIQMViauIUvj5TBlPPFPyAkgljaRVdvnY4xjArSv0u7ZI7aCWN1k+YhBkrWHFGZFKsCG/hrsfDWiCLN1IcuffNAjLs7WS1u0n1C0kki6cjitizbRd87TRlVByit6e1b2sQvcaFMsf3lG7FZ3h/SrG7sRJcfvnJ5UnpSejAwbTWJLK8drN2EeeEbuKK2df0WwtI43tgIWL7TuPFFJIq6KFtZmzOyQjknGGwNpHOT+Ap1wXhglMIiaRjwFXGRn1P9Kqyvb34QSo5Rj99HwVPv65q3bwLZvJJdncmMKu/cuOecda2TT1Rk01oyuLczKluYH8xXy5I+7weM+lNGnIZY3jOFViGHRuPf1FXZX/0OMRibzGHCPklmI6H+fNV70SW+lGDymMpYNnOcNnrn0qiTOv7CaCeRky4mAcjPesmBLiW9MEMDmQfwj0rqYY4iIWnZlIGVkxg59Mf1qSa3t7EyXUELtIMAlBnHfmiw7nNXFrHEjR38JVl6DHIqvDYWzRYVlcZ9Oa2tUMuqz+fuBVI+Aqnr9KzrdGhn2zRPGD0JGAaljHf2dGRu2hVIxxTYtOVmECSckEhnbgVYF5J/qvL4J+9Ujx/KJfLD7T0pNXGnYxx5tvJ5bnKqcZ7VIyJ53mE/L6irU8811Kx8mNY+BtHakl+z+XtYgEelQy0P+zm4CrakSSdSPSmN5m4hxgjgg1Ek0tiwuIGUZ4wTUi3oW7FxcKCc5x2pK1gd7kc1uHRmwSQKrKkbR4Q7XPXcK6HUNYsP3bxQo+R8yL1FZkrQagm5VCEe1DY0jIeFuVDZ9aWK1QHcxJNXYrbypdo+ctwKdfWj2hUPkMRkihIGyqtsDMqsdoPfNX10ySZT5UTOB3Fb/h7wxb3tnHdXrl93KoD0rb1GC00SxeS0GHxwpPWqcbak899DholZH5b7vBBHStXT72SBw6SEY7dqZe6ZNFpDahO/lzSnOwelYljcur+XIpCk8NSYI9UtrqKfT2ffkFOQK5C11EWd+bmNMRqSCAeorWs2NnpreYMiRcAZrlbqPyhKqkqx6DtULUrY0Na1M6zFugIWNOTk8g0VW0Swju2mW5k2nZ8ozjNFPYWhnwySOmYIJWbGQFXPTvVqz1M2k7RSO6FowpUAA9c100QeSMxwWaJDtwQMAD0x9PpVW/W0mDQT2iMijfHxn6475z+daKCWzJcr7ojt5471nDkq/Hz9yPb3qxE81ohWaXcF6ls5xng8+vtVCa0je0RrebyMJg7SME98jt696iKX8rNbyk3ITaxkTq6jsPTpT1YlY1nuI7lY/MTzA2SHwcAZ/SpzbmAqS2WVMAY+8Ko2zOVDyF4hgnbjJX2HY0+4nZl82NjIvbjkYxn6VpcgiuH8pY5lgkZU+ZlQYO7/AAq9dX9ldWX2d4dszLlUfs1VcSvJtcBBvyG3ZPPpVsiOT5W+YgcHimI5tkaJ8SJsz2NRS3YgyzA7OldQlnbT/I+WBPBxWRqWhTbC0JDxq3K/xCpaGmYsskcTCdGJDdVoaaGaIBYNuTy2aseQjERzRkBfWptOit5rhgUG0dF9azlpsaR1KVtZLcy+SULk+hqhcqfOkiEbbUODntW1cKsN5+63R8ckGqkNpPMs7IchTkk9TS3K2M2OCNMGMnJ61ahYA4K9adBHOZHVLZ5VAySik7R6nHSmsRE4PalYZadsMu3h+1MmdrucCZ2ZwMUwxmYhifcGpLeNoz5itz0zQI1tK8Qvplo0DRmVFPyc9Kz5tYkvrtZbouE3ZCjoBRNa44Jxu5JFVvJMfy54HeluOyNPWdZS7s0iR9/bjtWAhkxt6Z71el0+SJBIMFWGeDVFslDGTg+oppCbLH9q30EQhaTzIx93J5Fbo0w3enpeG6y5GXB6Cudt7QGM7yzHsKv2zTQQlYpDtbqhotYW5NHCqzLliyq2GK88UVF506QtHnC5zgCis7mlkdHdCU4CyT5IwBGvyj8e5+lTW1krWM0ZSYSnOGZtwx6fQ5q6lxHNHua62YbgFd2APXB6Vm3upvBbEwkszuWDR5+79B2rq03OezKVzJdqwBtljyMkBACD0xzlSPalglez2tEjAOfkMjADtkH361bvL+L7NHul3fdbcU5GeorA1EG0kQw3JlVuPKucYK98fSloM3EuY5zLcPbuhx0B6c8nFWIGkjR1zCiykDzCCcNjp+Nc/bXLrIkU7I8gc7CnzAAdjxjHpWlbxYlIDN8xK5B6DtwO/vTixNWLcUEgPzMS6/e+bv8A0pzSCNgDbshUDkLkj8e4pRb/AGhpQjICPlXHyuo9xn6daiDz28ZiLK6IMBnOPqMCrsQTSXDJJ5kDlWxkFl4HqKs2VpqWq3sai3acKM/uwQAf9o9MVq+G/DE/iCb7QJnhsNoDuV5c/wCz/jXokllb6H4fnWzTbHbR8FjkseOSe9WoX3E5GBZeDNL+yoNSgS6uMZKsSFXPbjGa8y8e+HofDWrQtpzGO0uVLCEkkxsDggE845/nXfJrZhYSSTYDMBlj1J6VS8U26anHZySxebHMDEc/wP14+uf0qJQZomkjy6AJc2ssnn7Z052v3FdB4a+H2sa+0V5PK1lpz8+YT80i/wCyv9Tx9a9A8J/DPTNOY32pRG6nY5jhmGUiHuO5+tdfrEbf2FcyQggqoZQvHyqen5VUaHWRDq62RS0Wz03R7FbHTo9kMX3z/E57sx7mvn7W4gNVuty7A8rsFHYEnFeuaTrSvc3Fu68xlSQf41Pf+Y/CvLvFmmy6N4ivIp2LxufMgc/xRn7v+B9xWdWDNISRkWUM0nysxEft1Nba6WFCEXAX0DVVh8M+IP7JGrrY3K2AG7z9vG31x1x79KRJmhdVkk84N82RzWdraMZdntppGMf7tdoyCO9ZNyWRRyCa2726juIBIkflAJgc9TWDOvmW43Aj0NSykBvrVYlVXcysOR2FVchg2P1pIvKjlBbgHqcVdeSBlHlLlcdaAEsNzkKOua05beRScRsSOvFZltJsmV14reGpxLF05xjI9aTY0jPnn83C7QJMckUVCqb5fNbvRWbsWjo7WKG3SSGVmUgjJmfJLdTVNbZoFbYZWVWb5cDCgDt7e1a5jSeFl8tc/ecxkKM9R261Ukh82OVACivyvlY64Hf1rpMSuVEgdGjdomGCf4CfoKzWsUN19mjibyVbJG8AuOnB6ZyRV2CC4tYljVZpLdQSxaLAYfnzj2q7LE4hBSeMW7KGZBjKke/bPSmTYxpVSwjkjii25Iwy5wc9SPSp5Z30+1jSCZj5hzy24E9NvH59atRiJrdTLtkSQ5DSgg++CarLaW810sTSRMu7EWw5GfQD8qEtRN6CRQxbhMxaCYqSHz95vTcf5Gu08M+H4NceKVvMEMBG8nILN3x+HequladGGRGCu46ttwPwr0TQYlhgwoxyTXVGly6sxdS+iOht4IrW3WKJFSNFwqqMACmyW63enS20nSaMqfxqWTHkfhTiCEGOwpjPF7q2kU3OnXYw6kxt6g+o/Qius+HQk1XTZJbwAyW83lsrJ/GvRhn2PWtbWvC0etahFcxTLBKflmJGdyjoR71u6Vpdto2mJaWq4VSXZu7MTkk05tWutxx7FswIB0qtujwYTjgY2nuKunkVmX0exxKpAJIyPft/Kog7vUJLTQ828WaDqOh6hFq2mwSXNiNyzLEu51Q8gEdeD3q8fD9j480qzWTiWMh4ZsdFP3lI9D+hrubS9RmClhv9j16f41fgtreGR5IoY0d+WKjGauVle4lfoRtaxLZvahAIguwLjjbjGK+WJ4jZarcWrq0bwytGwYYIIOK+r5Rz/vLXhHxl0yws9ftryACO5vImecA9SCAG/Hn8q5qiurm0XbQ5hYXlszhCw7EVnTkRfJuDDpj0qmuq31vAI45ht6e9RQSvPMBJhe5J71g3c0SsXCxe3CBAQD6c0sSjaAqY9c0yaJ4yGQkZ6e9SApgbmK8c5pARyxAH5TgVNbuRCy5HXinKYjGw+9xxVBXAjba3OelSykWpLgmQIuR60Uy3jOMyHA9aKmw7o7y6UyW7QxkRSH5dznGOOScHn/Oag02zMNuEkaSZw4CydPoB/ifenz77PZIjvJtO3Lg469/5VIdomIiBNyQzEbjheeMfX/GtuYzsZH9oP9peeMtHGrKpSRgOc5OP8881c1W6je0dhErnGducD8cUjNayTIxjhd1GWMi5I7n04qnq1lHcxyCOdIcAvGpJAJ6kc/j60/QRDaXEtxIphTbsHIY9B1xzVixljl8RxDyDE4Qh/lIB4+XnpVG3uZQSS6CJVKhph2znII7irEk121otzbKsjxYJlK5HByQPrx/k1UHZpkTV0eg+HrQ3IeQuFCMF6dzXV6a+xyh4IODXE6BrEUTBxzbXQDH/AGW7/lXWxymO+Kt3AIPZvcfUV6EtUca0Z1KtugAPoKtbRWWJvLtC54AGQSeMZrQtplnTcKxZuIU2PuHrzU69MdRQUBpqh0OFOR6GoZaBfuY9OKoakQsXRcsyjnqcA9+x5rRwRk5AB7d65/xBM0Nxag8RsG4PPPH51VNe8KWw5Yy/Qgkjjrj8sf5yfSta2iEEKoij5RgAYHFZ+nEP857dAf8AP+eT3rHt9cvo/irPoc8wNhLpq3FvHtAw4bDHPU96uoRHc65/m24ByDz+VeE/F7Q7288Tm8Qkw/Z0UAn7uM5x+Oa94YCRMEZrzfxpmXXyiJlliUNu6MOawktDVPU8FXRroIW3hgOw60mxlBUqQRXZ3dnDFLLLDJtyc49D6Vh37RtGkjL87nBx61ztI0TKMVyXiAbJK9KJGEsZycGmrFI2REhA9cd6tSQ29tFGGDGYffzUspFWAhRh1PsaFVDIcqRSNdw+YqyMQobqO1WZhEkoeCTfGemetFguSeaGTay/KVxmimxW81zIEjQnucdqKVh3O7uZIr2No0m8zDDaFwRnmqd09xBcSTJsl3DYW5wSMfNx26irFtDBBveFH8xxvXIHJ5H4VaRvIlPmiOOHb88SkDzCOD/jVEmTdyOLuOOW3dAfmcgZUenPvmlnt4ZoTG8IMyf6rj72fb17Yp7qRdfd3K2SrqRtX0yfSrEWwNHCFbeMneOPqaaBnG3sMqRtJDbMnPXbwoPb2I/WpbS9kNpbo7nDE5CvyyjqPb69a0bq3iuZpYXjEau52yFsZ56j3qK2a2t4zDLPumXKDqD/ALwPQ+nHpTvcTDw1qSLJJZsrJDI+YnbOA/pmvTtJumvLDaT/AKRaevUp/wDW/rXk1/aK1nD+8VHckYQkEAdOvU967bwnrapNHPKQWi/cXYznKngPXXRndcrOarCz5kepRSm40MOhwQpGcZxUEDugzHN5bnJ3Kq5Ud+q9CeuelSaMkf8AZU8cciyRF32MpyCKiiLqQhR8A5zuP5jGcn271quoLY3LLUFuAEkKrJ2w2Q49VOBn8KuN068e1cfb37HWbmzkdcqiTRjzcEqdwJzjg5H3eOtbtrfiLcsrOcn7zAnb/vLj5RUSgUae3A9veuDvRaWNtqlrBcTy3Kal58/nLt2+YCRs9Vxxn1BruEkEo3K6sP7wNZniWKJtEuXm+YIoZeOQcjBzUw0dh9Dnk8WaRot3Y6fqN2LaS6UmJ5FIjOOxboDzVPxEy2XxW8HaipGy6jmtGYHg5GV/Vqwm1rTJr2TQfF2mxRWFw+LK6fmKQdgW/gf8qfc/DjXLPV9EfRtSa90ezvUuEt7mQBrYZBYq38S4HQflVSdxJWPXuD7fSuF+IEJMdvPtAdZfLVh1KFc4P4iu4OASQcVzPjC3a50aV/KYeRiUOf4scEEfQ/pWdirnjus2rPaSNEwDA52r1rkLu98qGBzgEda9MOySEq0aF+zVwPi6wuN0Vz5CpaZ2mRR0PvWEkXFnV+FLvSL3T1jYxmbqQ3XNYXjJLZtTj8gqCB8xSuNj3wtm2cg+oOKkRLiSbezkseuT1qCixJaLLvKjOOppsSsgwhBx0WrkJZYXAQszdR2ApkVtuuEVGAZuxPFIZ0Hhe9Fqsklwq72OAO+KKxJLjyZzlSO2Vopagdu08D3ciDaPNjJkBwDjqcHp+NPSMfutiF4lUrhznC4Azn0qrAUitI4xKHlHzMpU/Lz39aWwlMiurXCGQuS3GN2Ov4VKki3Flv7JDFbviSQRE/JnjjPcHv8AlUHlGR/NCsgQKVA9P0q5JGrQ7zLHiPlywIJ5wRUV5bvPI0yhQJwIxtHAHYn1qrE3MtY457otNhG6BXG5eO4PT+VNWC0hutqiMh0YjzOBkDpyMYPX8Kti3Yb0mibyrZQC5U/NwCPY+nFOs1SVmSSBzw23c2MMP4c9DnPTjitF5kGK0csV8DOCzbBIWjJI249weo4zVGzu59N1T7WqZQ7/ADE3j7hOce9bim0VJJJrl4HRMGJB9zk8AdRz2qnqMYe2y6CPcMltpy/4Hp9e9NOzuhNX0PfPD9sLPSbWDuEG76nk/wA6ZsWImN9uEJGSwXp6HOR9azPAOrf2t4Xs5WOZYl8mTnPzLx/LB/Gta9Uw3xKHHmYIwcZP5j09+hrtiY+RyviW8XQfEeg6tJLi1dnsbhwjHAfkZ4PRl69TXXQNFGrmaQDA4z8yIT65wWPsa5fxrpi6t4P1C3+UOsXnRsw6FOR2B6Z5zwWxUPw68Sf2/wCGojcSKt5Z/uZSG25x0dh06cZPU02Pode/lStGkst1LuHKhQoIH8QG4bR9KlWW3ltDbTCeWCUMhLhcMmOTwenv1qvsJzGqM4Lfd+X5m64bnt7Y60HkllG/cwGdo+dh0HJ6D+nWpsO55zZRvZay/g3xJbre2FyzjT7mT5vNVedj/wC2B0Nd74T8Pp4atJ7WC/u7i0Z90EVw+7yFx91T1xWNqOiS6h4u0u/eaMWWn759v8byldqnPTGMmtyfUMkRxSBU6GTI5PouRhj+NXy3RNy9c3xkl2Qs6hP9Yy8kfgDnP0zWNrxm/sO9eKQpMIjyCScdSOepxnJ96niO8YwAUPzDAcRHpkgYbd9KneNZYniYNh1IKndwD6noSSfrxUNdAueU5dQrgqVXqO9RXcSXlpJBOuYJB0B+7UhtJLSaVVf/AFTFHDgjOKdD+73O4Cp61yXNrHmVzZS6PqLwyxsy9UbHUU+dVkkAilUnHODXca5pv9ox5iP7xFyAR1rhJIRbyvFJEY3U8gjkVDGixGxjzBO/GMgr3NVnjZXyGPtmnhwo3NjFDzCVCF4btmkkNsWO5UBo5VzkcH0oqnI6xEbssaKdhHpEciiQtGpJ9hyKqoQbyfZhC3Td0z60+BmEyvEcDuCKRgFLlVy7nK8jA9a4lozrZfLzpahpGjWMHKqV4UY689T3qez1BZIAZpGUKRuGOo/p1rFuVcujMWdSv3fQ+npUhjZVByUPGB6fhWiqNbmbgmaVws85itrWLbFJucSONo7YBB579qo39vLZDczzMYiZGCZZTu4yeKsSSsACxeSQRbAS2AGJ5PtxUZtryaC4a3uAkkgA3fxADt6E4raNS5m4WKnkOiO90uGb584GfUdP5e1I9ss1u8zvIz7Dgr3GeR7cGpXguD5R+cfLtkyeXHXjjj6UpmduV2qcFWCMSMehHSqT7ia7HR/DfWXt/EFxoaWAgtZQZY5CSGyoGc9iT7elepX9sbi3Dx8snVcnDr3H/wBftXz+Jp7DUoNQtZJDLC4deMYPfPqCOPxr3Pwx4htPEekRX9q2M8SRk8xsOoP9D3rppz0Mpx6ka4wykEnqR0J568YPJ74IIHNeK6TfyfD34jXVo4kNnJJ5bbvk3ITlH7cAnPoea92ubVbdvNjyIic7QOEPtjoOSen1ry74seGTeWEOu2cbO9qvlzhef3R6NgZHHJJHHNbN3V0Sj05GV1DffQjg8kMufcfxHtnp0NSArPI6mZC4/du4YEj1HTuTivnfR/iN4g0bTVsIJ4pIlGIzLHuZBjgA98dgenavZ/A9ne2XhiCW/eR768JupmkJJ3N90E4PQY+nehNPYGrFrW/PjeKQllj3bJMbuvUfMMYHvVe0lJCHfjnAIbGfZARhvr1rpZ7eG9tyrjIZcbsYP+e9c48EunzmNy5VidrryX9sY4/CtYvSxmy0MfNlo8Jz8xVki9yeGDVo2EHmbZiCI+oB6uf7xIJB79RUdtB5jJLM3K/dQNkL+OAT+NaKv82KzkM848aaYbLVHuAmIbpg2/ONrdx/KuZBluN6tKm1GzjONwr1fxVpw1PQpowm6RPnQc5yO349K8mQjaSAen3wM/nXLUik7m0G2iYSMo3hWbZzjiub1jSDfS/ahNiRuCrd66S2KiQK68NyGzxSTFQ7HaG2/cyM1HqUzz6fRbmRwm5VHuaiGnNGQmS8hO1QPWuwv4PtcEhX5ZGX93x0IqPw7orwu1xcTI8xGFUjO31x707ENhpHgZFkjuNRcSt97ywflFFdJe3kemeVbAO0sgIDN0BxnrRVaIVmzDxxvjZgAPmUmm7xswyBZOoPpTEmXyhIG4ZtmBzk/Sp1UPuWYBGHHXAP4V5mx3kbBntiWUE7sVLbyRmVC67jggkrnI/GkkwkOVOFJyBUEcwUkPEd2Rk07gXSC6llwQDyD2oVJFhZdnHXJ9f6VGoBkyowvtzVgTNBsd1GMdPUUgKqxRSTfv3ZUKfMQSTn1+vNMEAUGSKN/K+78wBOe9W3EUhLRjBHOCcGm+SQjEuV6DgHj61pGo+pLihkcafY0EoWQLkSHBUheCBznIx34FNtNRl8K6hNqmjy4YyDzbQ8RSoewwOo657VaW0jnDrJKyrxjBzyOcfSqd5E0iPbhcbh8xfnP5fSt41E9mZONtz1Xwl47sfFck8EcD200QB8uVgd4PXGOuK27myIVmgXfGc7ou/PXH8q+cZLa4s8XEc0kbx4kRo3IYEHrkcj/wCvXY6L8X7/AE0JHrEIvIFAzLGQsoOT+Dfoa2jVa3IcE9UdpB4O8Li/+2Lo9uJw5ckAkKerELkjjgYx7iuiO8ksqqrk5JIIG4987Ow6H8DWRYeMvCniIbotRiguWwMTfu3BHI4bg4/GptQms9Nsnurq5tTaoDukDJyO+Rt/i7gZ9q3VZMjkZuWkm5XXDDHIyMADsPY8Zx71FevAJIopmTM2QqkgFiPT3rxrVvi3eRag66G6JarkB5kDNIfXHA9hxnArG8R+Mr/xRoempePi4t7iSTzYxs7ALgDpjnmiVVJaCUNT3bbLakfMXh7P6fWraNv2spBH+favCvDvxH8TafKlpNJFfQjj/Ss7vT7w5/MGtK/+KWrun/Eu0m2s5dxDNJMXUY/2cDFZ+3K9mew3lxbpbsk81ujdcSOo/HnP8q8kuAm1/JURv5hyAeCM1w09vqerX73urXYeSVtz8nJ9vYf0rrYJWhZN4GwgEKpySKmcuawJWLeI1z8hIBzgmoA+85VyFPAzU8e12VTtIz83P6Uzyx50ihk2HkD0NSMgeNCRjkg8nPSprFNk8rj+7nLdCRTlQRyHawAdef8AGoZ0XyiyOCQ3Q9MUXFa5Q1e689lMjyfaXciNX4VUoqhq2o29qvzgvPgbVHTOcc0UXuFrGfJcRIVuI5DvJ3DH86stqEzMHmBkZuRg/wA/Si6061uLgsqGNUHl5jbg+4HrWNd2upR3XmRAzADdlSOce1c7gjdTOmS6BCucAt2FWYp13hjhR05wc1zcOpulmd9vPvA+YbfXpV+0u+Y51gkVsHIZcZxWfs32L50b4kjAkKrnJGMdKau3aCF3EdTnisubUGRfMeC5XfwCU4P/AAIcVYtNQhniQoEI/iG7p/8AXqXFrcaaexZfOMockHOO+DSxBsN5km0D1psyIfLkhkUMTnnr+FBDh5HLglxwCen0HakMnYKIw6EnnoOQaSQgI+45XOCDyAaqiN1cjGOASy5yOKlCuv8At5PUY9O9ACuttLAI1QBh0IJPHofzqjc+GV+zq6ogiY4aaNz0+h75rbiXT8RtgrcE4bqasu7wQm3WT5GO9RIOM+oNaRqPqQ4LocE2hzPLJAoKhULbnXHQ1nLb2zTKW8x4sKG3dAxx6f5xXfz2VzdWRjk+WDO9imB8/OPwrBi0tYNQikdZPKzukULgOBnt7VrF32M2miOKy0yG+EJs9oOTiReckcD6datXFjbJaFVyrbtqknPbOCOw5pFtpblbyaMCO2iG2IucNgd8dfxNCTPHE6HO/OGI6/WtUiGzJ+xywzNgE7CckHPGalEiSsRKCx/ven4elayxxkCFdwbHz+YON3t+NRJZQncpWQdwSRkN/hRyhzDLZTeRYL48sjBJwWAHb05rRLyPIpk4fAAGOfbGKge0zJ5iIyAjrtwMgZP1qdWlZRvPQfL3x/gKErCuWFnLS5+VgVw3GPx+tWEZ8MGVSMY471X3PJNGfK4z85j7+tW2VSSYmV0P3dykMKbBCLIxtlhO0qgO1hwQPf2qpcTRrbO6kHavzqrVoIEEefLXKnHPoetYmuyWVvYSTrJsn6KqjcG9RSGef6vqUlzfsEcmJG+Qkc0VnRuLm93SZAZug/lRTJ3PSbazaSNwWWQqxJkPT6f/AKqrPC1uzRxKAr5XL4w2M84/WtiQLdxxRtOmVbc7KSRjnj8hVNLi1jykbTukW4Dzfx5Geo/GpshpsZajEV06KrlP9aWO0qT3xjkf4UNdQxIs8UTsQdhRRvyT1YDt1+lOilkmiJUboZG3OoXaz98E/SrNlawzNvklKqgy65y+O2B6dv1poBYLg3TM8TbcRFFBTbxn8eayLrRoIMGFmikdvldMjH4d62SPs87RguqHlYyM5P8A9bNVLy+087/tIf7SpAjcDcuPcUOyWoK7ehiyXV9YyCK6jDsOVkU8EdM1O98Ag8xlYKpZlIyc/wBOlWrG1huopWMjSFm2qA+0AdwPesjVNKn0ZF80xm2ZcMY2JGfeolDT3S4y1940tJ1gvIIpHXDjaP6AVtIilVVCV5AY4yK5Owu4ZI8jCNwPlGMgdPxrobWXKHD/AHhXO0bpovBHjn2QpkMwJlTgj1+tXry7eURbmEjxHKc4z65/Ss6OQqSQN2eMjgirE00UkQwgR1Od3T8KQCNeid2aSAKmMYGevtUG4yD7zrEG4K92+tSWu1I3kciNwDsDMOfqKdbRnVZY7eNFQDcW2jbnHf26CmJhazPbSNOISyyHliMgccgDp/KnWdvGtk1xHJBHOswdIiuCB1PPuOKZHlroW6ZaX1C9MU+VWCN5sZSQHBfJJOfY960jUaIcExscfnzy7rUtjL5yc49vaoZEEaKrldrEZOeV9j+dXNscVtGWIPoh+8M+h/nUBZLmRI4MswUnyz1B/qa3hOL2MpRaB7ndYxwyIrFHyuBzjp1qOJCUV1cELzs2k49e1TW8DzlI1ZYyQcljwfele2kRGCuqyKxViO59c+lWSORG3gxqVViNvbB9jV23RBMyyKC+c7SaolJEdhPId6DPy8g8VZjzmJyN+OvzYI/GhoC3LaZZig46leR+VeceLdTBSaJHcFWKqR69M+1dj4n1uXTNDluLeTZNkKpIw33q8ku7ya5DNKS7NySetQO5Ws3UXCliBg5yaKrtwc0U7CTsesXVmkWycXQ2xf6sLw2T+Qz0qFIoBcBciQ4UMC2F35yQAf8A9VFFTF3VymrOxZM/lSsBDiFlYbFGct6DH+eKiSJ2VDvZGzhpGboAc59e/eiimIi1BlEj5JYqdgwSr+uRj3rCFxHJcFbuR08o4Jxkk/570UVnI1ibFpdWVpLJJDPItomX2uuDjHOAetayait/bCSKLzEdf3Y25XPofeiirgiJmVJo6XTtLHblJkbDbPf17d6Gs722naNomaNQMMRhiMdfTrRRVygnuRGTRaivImXyyxRs5IY5H41bSVGRiFGcZUehoorklFI6E2O8gyxiQxlQRjPr/wDr5pkUkkflKu62kjb5X5PygZ6DrRRU31sV0uSxTD7XG/7sTMflMZKg+/8AXj1qxeSLIqxx75CGJcnncRxnPtRRTaBEIKAu8rBc8j3NZsvlSziR4y0YI+dDj8AaKKEJmpbGVkPkJuGfuhufp7/WpLa5RZ5raTfFNGcPHJzg+oPcUUVtTm3ozOcVa5IluzNHvY7QuQDg5HXHHrT2jhSQFJMqW5UjpRRW5ked+LdQW/1IRrKfs0Knbz1Oetc9FEZtqKuWY4A9TRRSQmd94b8FwWsbS6jAs922SEHzCEDrkY5Pv05oooqxH//Z", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ranking of Relevance:2 with a distance of: 0.35\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "query = \"Nike shoes\"\n", - "\n", - "retrieve_image_top_k(query)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here is another query about stock charts." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "----------------------------------------------------------------------------------------------------\n", - "All top k images:\n", - "Ranking of Relevance:1 with a distance of: 0.33\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ranking of Relevance:2 with a distance of: 0.48\n" - ] - }, - { - "data": { - "image/jpeg": "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", - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "query = \"Cisco stock chart\"\n", - "\n", - "retrieve_image_top_k(query)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Conclusion\n", - "\n", - "In this tutorial, we've built a multimodal semantic search system that retrieves images based on textual queries. We've demonstrated:\n", - "\n", - "1. Loading and encoding a dataset of images\n", - "2. Creating and storing image embeddings\n", - "3. Building a vector index for efficient search\n", - "4. Querying the index with natural language\n", - "\n", - "This system showcases the power of combining textual and visual modalities, enabling more intuitive and contextually relevant image retrieval. We've seen its effectiveness across diverse queries, from specific objects to abstract concepts.\n", - "\n", - "\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Multimodal_Semantic_Search.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Vanilla_RAG.ipynb b/notebooks/Vanilla_RAG.ipynb index 2f85039c..4426fe1b 100644 --- a/notebooks/Vanilla_RAG.ipynb +++ b/notebooks/Vanilla_RAG.ipynb @@ -1,743 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "mz33G3t6gbOl" - }, - "source": [ - "# RAG\n", - "\n", - "Retrieval-Augmented Generation (RAG) is a technique that combines the strengths of pre-trained language models with the ability to retrieve information from a large corpus of documents. RAG **enables the language model to produce more informed, accurate, and contextually relevant answers** than by relying on its pre-trained knowledge alone.\n", - "\n", - "At Cohere, all RAG calls come with... **precise citations**! 🎉\n", - "The model cites which groups of words, in the RAG chunks, were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from and they help users gain visibility into the model reasoning. \n", - "\n", - "RAG consists of 3 steps:\n", - "- Step 1: Indexing and given a user query, retrieve the relevant chunks from the index\n", - "- Step 2: Optionally, rerank the retrieved chunks\n", - "- Step 3: Generate the model final answer with **precise citations**, given the retrieved and reranked chunks\n", - "\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nSB0pnt0gbOo" - }, - "source": [ - "## Step 0 - Imports & Getting some data\n", - "\n", - "In this example, we'll use a recent piece of text, that wasn't in the training data: the Wikipedia page of the movie \"Dune 2\". \n", - "\n", - "In practice, you would typically do RAG on much longer text, that doesn't fit in the context window of the model." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "H787BXXYvD0a", - "outputId": "04ef5e04-7760-4d40-deeb-663536b38f20" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m52.8/52.8 kB\u001b[0m \u001b[31m1.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m33.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ], - "source": [ - "# we'll use Cohere to cover all building blocks of RAG\n", - "# TODO: upgrade to \"cohere>5\"\n", - "%pip install \"cohere<5\" --quiet" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "rACbepFGgbOo" - }, - "outputs": [], - "source": [ - "import cohere\n", - "API_KEY = \"...\" # fill in your Cohere API key here\n", - "co = cohere.Client(API_KEY)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "QdvbqfFrgbOq", - "outputId": "3882c95c-46bf-4dcc-99a2-453b3c2fc7c4" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - " Preparing metadata (setup.py) ... \u001b[?25l\u001b[?25hdone\n", - " Building wheel for wikipedia (setup.py) ... \u001b[?25l\u001b[?25hdone\n" - ] - } - ], - "source": [ - "# we'll get some wikipedia data\n", - "!pip install wikipedia --quiet\n", - "import wikipedia" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "xP-bWt9XgbOq", - "outputId": "72276fb2-0d6b-415d-af74-452a013ae84b" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The text has roughly 5323 words.\n" - ] - } - ], - "source": [ - "# let's get the wikipedia article about Dune Part Two\n", - "article = wikipedia.page('Dune Part Two')\n", - "text = article.content\n", - "print(f\"The text has roughly {len(text.split())} words.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-1aJ7hKGgbOr" - }, - "source": [ - "## Step 1 - Indexing and given a user query, retrieve the relevant chunks from the index\n", - "\n", - "We index the document in a vector database. This requires getting the documents, chunking them, embedding, and indexing them in a vector database. Then we retrieved relevant results based on the users' query.\n", - "\n", - "### We split the document into chunks of roughly 512 words" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ZUph1JX41665", - "outputId": "6c63a93f-6999-47af-e704-d4a88727bc75" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m256.9/256.9 kB\u001b[0m \u001b[31m6.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m66.6/66.6 kB\u001b[0m \u001b[31m8.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m138.5/138.5 kB\u001b[0m \u001b[31m14.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ], - "source": [ - "# For chunking let's use langchain to help us split the text\n", - "%pip install -qU langchain-text-splitters --quiet\n", - "from langchain_text_splitters import RecursiveCharacterTextSplitter" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "uhXW7iHC1-Q6", - "outputId": "d68ac348-4b73-4c6a-a445-6c510bdb0881" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The text has been broken down in 91 chunks.\n" - ] - } - ], - "source": [ - "# Create basic configurations to chunk the text\n", - "text_splitter = RecursiveCharacterTextSplitter(\n", - " chunk_size=512,\n", - " chunk_overlap=50,\n", - " length_function=len,\n", - " is_separator_regex=False,\n", - ")\n", - "\n", - "# Split the text into chunks with some overlap\n", - "chunks_ = text_splitter.create_documents([text])\n", - "chunks = [c.page_content for c in chunks_]\n", - "print(f\"The text has been broken down in {len(chunks)} chunks.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "P8g0sE2hgbOs" - }, - "source": [ - "### Embed every text chunk\n", - "\n", - "Cohere embeddings are state-of-the-art." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "KEarMPEqgbOs", - "outputId": "7da0e06d-f637-4470-8e01-6de8249be64b" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "We just computed 91 embeddings.\n" - ] - } - ], - "source": [ - "# Because the texts being embedded are the chunks we are searching over, we set the input type as search_doc\n", - "model=\"embed-english-v3.0\"\n", - "response = co.embed(\n", - " texts= chunks,\n", - " model=model,\n", - " input_type=\"search_document\",\n", - " embedding_types=['float']\n", - ")\n", - "embeddings = response.embeddings.float\n", - "print(f\"We just computed {len(embeddings)} embeddings.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "HM6vKeypgbOs" - }, - "source": [ - "### Store the embeddings in a vector database\n", - "\n", - "We use the simplest vector database ever: a python dictionary using `np.array()`." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "sdW7M8HLvB-9" - }, - "outputs": [], - "source": [ - "# We use the simplest vector database ever: a python dictionary\n", - "!pip install numpy --quiet" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "H2srFH-IgbOs" - }, - "outputs": [], - "source": [ - "import numpy as np\n", - "vector_database = {i: np.array(embedding) for i, embedding in enumerate(embeddings)}\n", - "# { 0: array([...]), 1: array([...]), 2: array([...]), ..., 10: array([...]) }" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "q6NGVurZgbOs" - }, - "source": [ - "## Given a user query, retrieve the relevant chunks from the vector database\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "eC05yJQ7jlek" - }, - "source": [ - "### Define the user question" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Y2HTxspKgbOs" - }, - "outputs": [], - "source": [ - "query = \"Name everyone involved in writing the script, directing, and producing 'Dune: Part Two'?\"\n", - "\n", - "# Note: the relevant passage in the wikipedia page we're looking for is:\n", - "# \"[...] Dune: Part Two was originally scheduled to be released on October 20, 2023, but was delayed to November 17, 2023, before moving forward two weeks to November 3, 2023, to adjust to changes in release schedules from other studios. It was later postponed by over four months to March 15, 2024, due to the 2023 Hollywood labor disputes. After the strikes were resolved, the film moved once more up two weeks to March 1, 2024. [...]\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9oULg1tOjjOW" - }, - "source": [ - "### Embed the user question\n", - "\n", - "Cohere embeddings are state-of-the-art." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "yrUuS6vXgbOs", - "outputId": "0c64a930-f817-43c2-d775-1d9145cb304e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "query_embedding: [-0.068603516, -0.02947998, -0.06274414, -0.015449524, -0.033294678, 0.0056877136, -0.047210693, 0.04714966, -0.024871826, 0.008148193, 0.0770874, 0.023880005, -0.058685303, -0.052520752, 0.012832642, 0.024398804, 0.0053215027, 0.035491943, 0.02961731, -0.0069847107, 0.01083374, -0.0011358261, -0.002199173, 0.018417358, 0.027389526, -0.002691269, -0.026535034, 0.015197754, 0.024368286, 0.03729248, 0.0057754517, -0.02229309, -0.014694214, 0.019989014, -0.0036315918, -0.013793945, 0.02835083, 0.006011963, 0.011428833, 0.008682251, 0.046142578, -0.040039062, -0.032196045, -0.002653122, -0.012580872, -0.0041618347, 0.03111267, -0.016799927, 0.014801025, -0.00030636787, -0.033050537, 0.033966064, -0.016021729, -0.025009155, -0.007534027, -0.017074585, 0.008415222, -0.10620117, 0.019195557, -0.015686035, -0.0043182373, -0.045440674, 0.05404663, 0.030776978, -0.014129639, -0.01499939, -0.007286072, 0.009933472, 0.06390381, 0.02444458, -0.010345459, 0.041931152, 0.032989502, -0.04522705, 0.056610107, 0.0068893433, -0.008911133, 0.012489319, 0.01675415, 0.020065308, 0.018753052, 0.022659302, -0.051849365, -0.04925537, 0.046325684, -0.005268097, 0.0026874542, -0.036712646, 0.009437561, -0.0037841797, -0.01473999, -0.034179688, -0.0011606216, 0.05026245, 0.0020771027, -0.016021729, -0.0044898987, 0.04168701, -0.015205383, 0.019210815, -0.012374878, -0.031311035, 0.03111267, -0.040100098, -0.016479492, 0.020446777, 0.010192871, 0.0037841797, -0.0023765564, 0.015220642, -0.016571045, -0.006454468, 0.037384033, -0.044555664, -0.008262634, 0.019546509, 0.009460449, 0.014701843, 0.02658081, -0.02078247, 0.015571594, 0.013153076, -0.010375977, 0.047912598, 0.005393982, -0.007911682, -0.019378662, 0.023529053, -0.0033550262, -0.04598999, -0.0052871704, 0.040252686, 0.011375427, 0.01550293, -0.004508972, 0.006515503, 0.003370285, -0.022766113, 0.00062561035, -0.0007596016, -0.0015277863, 0.0149002075, 0.061401367, 8.261204e-05, 0.06359863, -0.01537323, 0.007446289, 0.018814087, 0.02507019, 0.024215698, 0.006122589, 0.005886078, -0.03829956, 0.029037476, 0.07720947, 0.016921997, 0.022109985, 0.005958557, 0.028793335, 0.019485474, 0.015174866, 0.026153564, 0.032318115, 0.034210205, 0.027145386, -0.019515991, -0.018661499, 0.020477295, 0.008598328, -0.06573486, -0.037109375, 0.04043579, 0.030471802, -0.0010843277, 0.009757996, 0.026947021, 0.037017822, -0.018234253, -0.0115356445, 0.099365234, 0.027816772, -0.019927979, 0.0020961761, 0.013198853, -0.019073486, 2.7656555e-05, 0.041259766, 0.029510498, -0.016204834, 0.028137207, 0.039489746, 0.034698486, -0.03918457, -0.029418945, 0.02041626, 0.0073432922, -0.018569946, -0.009849548, 0.002861023, 0.030319214, -0.012886047, 0.014671326, -0.035827637, 0.007247925, -0.027709961, -0.022079468, 0.0012960434, 0.015426636, -0.01725769, 0.01525116, 0.025360107, -0.0077400208, -0.039916992, 0.029037476, -0.011154175, 0.007736206, -0.041748047, 0.05343628, 0.007286072, 0.0435791, 0.034301758, -0.047210693, 0.03552246, -0.015327454, 0.029922485, -0.018859863, 0.013053894, -0.028060913, 0.07757568, -0.020462036, 0.070739746, -0.010223389, 0.03604126, 0.02758789, -0.023284912, 0.012184143, 0.029144287, 0.023880005, -0.019378662, -0.0051116943, 0.0048675537, 0.01864624, -0.04397583, -0.007598877, 0.0713501, 0.0115737915, 0.002922058, 0.011619568, 0.017364502, 0.031921387, -0.0019664764, -0.008575439, 0.003484726, -0.09466553, 0.03475952, 0.026611328, -0.039520264, -0.0104522705, -0.005443573, -0.008392334, 0.012908936, 0.0043792725, -0.002456665, -0.028396606, -0.02027893, -0.0005569458, 0.027786255, 0.03427124, -0.0062332153, -0.018203735, 0.019241333, 0.07244873, -0.0028057098, 0.01234436, -0.0018787384, -0.027496338, 0.0015287399, -0.004032135, -0.013748169, -0.01878357, 0.0018053055, -0.01159668, 0.028213501, 0.004776001, 0.042388916, 0.0024280548, 0.017471313, -0.038085938, 0.026321411, 0.02973938, 0.06213379, 0.006401062, 0.036102295, -0.028121948, -0.00869751, -0.016693115, 0.029190063, 0.016784668, -0.008628845, 0.0039634705, -0.0035381317, 0.019500732, 0.025009155, -0.04547119, -0.003572464, 0.05215454, 0.067871094, -0.04257202, -0.02293396, -0.027175903, 0.05340576, 0.019226074, 0.039978027, 0.056121826, -0.028320312, -0.020217896, -0.035003662, 0.03225708, 0.028656006, 0.062347412, 0.12915039, -0.0137786865, 0.0022201538, -0.057434082, -0.04397583, -0.049865723, -0.013160706, -0.03353882, 0.006427765, -0.014823914, -0.008201599, -0.036346436, -0.037353516, -0.010528564, -0.015930176, -0.027572632, 0.0074272156, 0.004547119, -0.024414062, -0.018859863, -0.020095825, 0.029632568, -0.00067043304, -0.044036865, -0.0043411255, -0.005256653, -0.019195557, 0.022262573, -0.00020956993, -0.013877869, -0.011108398, -0.020324707, -0.015808105, -0.025039673, -0.009498596, 0.05090332, 0.0046195984, -0.017150879, 0.04309082, -0.029067993, 0.002670288, -0.00026249886, -0.032409668, -0.053100586, 0.012481689, -0.014633179, 0.0013475418, -0.034332275, 0.038330078, 0.014892578, -0.046936035, 0.021591187, -0.020385742, -0.0052604675, 0.02796936, 0.0014333725, 0.012077332, -0.0118255615, -0.005569458, 0.008491516, 0.009841919, 0.0031318665, -0.003408432, -0.007144928, 0.040374756, -0.0038928986, 0.005279541, -0.008415222, 0.031707764, 0.0140686035, -0.015029907, -0.02810669, -0.0078125, -0.030853271, -0.03201294, 0.021316528, -0.036193848, -0.0423584, 0.0072784424, 0.014801025, 0.0019607544, -0.012367249, -0.009056091, -0.021438599, -0.02645874, 0.038726807, -0.007549286, 0.0049591064, 0.019012451, 0.017791748, -0.009185791, 0.04006958, 0.003107071, -0.0075302124, -0.010375977, -0.009246826, -0.02130127, -0.0056762695, -0.0076789856, 0.010009766, -0.010536194, 0.041107178, 0.0021133423, 0.029891968, 0.01626587, 0.042236328, -0.02784729, -0.032836914, 0.0317688, 0.045715332, 0.000116825104, 0.028030396, 0.007205963, 0.012512207, -0.035583496, -0.048034668, -0.023529053, -0.04953003, 0.0345459, -0.048339844, -0.060272217, -0.004512787, 0.04425049, 0.0076141357, 0.029510498, 0.007396698, 0.003353119, -0.038726807, 0.07183838, -0.026901245, -0.023529053, -0.038085938, 0.068725586, 0.018096924, -0.013534546, 0.05883789, -0.016113281, 0.017944336, 0.041046143, 0.022918701, 0.036499023, 0.015296936, -0.04916382, 0.0075683594, -0.011390686, 0.009735107, -0.0070152283, 0.003129959, -0.032562256, 0.0003478527, -0.0036640167, -0.006893158, -0.016098022, -0.034332275, 0.037750244, -0.010269165, 0.016494751, -0.02394104, 0.03753662, -0.022644043, -0.0008234978, 0.001001358, -0.048217773, 0.04989624, 0.0078125, 0.0044937134, 0.027038574, 0.04736328, -0.02973938, -0.011726379, 0.01348114, 0.021408081, 0.00844574, -0.03741455, -0.015686035, -0.040893555, 0.001452446, -0.025405884, 0.07348633, 0.038238525, -0.019958496, 0.023071289, -0.016403198, -0.08105469, 0.0071029663, -0.019088745, 5.8174133e-05, -0.005569458, 0.01399231, 0.02255249, 0.011222839, 0.00028824806, 0.0066184998, 0.0017499924, -0.009864807, -0.0115737915, 0.053100586, 0.0065231323, 0.001865387, -0.026428223, 0.03692627, 0.025390625, 0.022613525, 0.018722534, 0.007675171, -0.03439331, 0.041625977, -0.01789856, -0.041046143, 0.0051460266, 0.04144287, 0.048553467, 0.054595947, -0.01108551, -0.033935547, -0.026275635, -0.0118255615, -0.021362305, -0.009841919, -0.00724411, 0.028900146, 0.009887695, -0.023803711, 0.016311646, 0.018798828, -0.03668213, 0.046844482, 0.010696411, -0.014717102, -0.008110046, -0.004589081, -0.0028076172, -0.050811768, -0.017196655, -0.03491211, 0.0074005127, -0.038909912, 0.032440186, -0.034362793, -0.008682251, 0.032928467, -0.04626465, -0.009666443, 0.018951416, 0.031951904, -0.003791809, 0.02015686, -0.05532837, -0.005683899, -0.00054216385, -0.0034332275, 0.008659363, 0.02130127, -0.038879395, -0.0033397675, -0.03866577, -0.0049934387, 0.017944336, 0.001496315, 0.019485474, -0.004348755, 0.00046491623, 0.0007157326, 0.035614014, -0.027694702, 0.03692627, -0.008491516, 0.0524292, -0.016662598, -0.0017795563, -0.021575928, -0.018753052, -0.049346924, -0.06652832, 0.04272461, 0.03186035, 0.0011978149, 0.03463745, 0.024002075, 0.02607727, 0.020446777, 0.0256958, 0.026855469, 0.0074005127, -0.067993164, 0.017944336, -0.0039482117, 0.05496216, -0.041412354, 0.014175415, 0.02444458, -0.026412964, 0.057403564, -0.026779175, 0.023254395, 0.03945923, 0.033569336, -0.030258179, -0.039093018, -0.036468506, 0.017105103, 0.009635925, 0.025497437, 0.04156494, -0.02571106, -0.0010414124, -0.005630493, -0.016448975, -0.026733398, 0.001326561, -0.042022705, 0.0012521744, -0.041259766, -0.12182617, -0.03857422, 0.12548828, -0.005947113, -0.020736694, -0.0033855438, 0.03778076, -0.033813477, 0.038970947, 0.003921509, 0.011810303, 0.031982422, -0.032562256, -0.002653122, -0.025009155, -0.03805542, -0.016998291, 0.018173218, 0.0158844, 0.0011739731, 0.048217773, -0.020401001, 0.044708252, -0.017318726, 0.014457703, -0.041809082, 0.010543823, 0.041931152, 0.076293945, -0.054779053, 0.060272217, -0.046936035, 0.02949524, 0.00554657, 0.041534424, -0.013046265, -0.056152344, 0.010406494, 0.02973938, -0.023727417, -0.022476196, -0.024734497, -0.013168335, 0.060424805, 0.011787415, 0.018997192, -0.043426514, -0.00077724457, -0.010154724, 0.017150879, -0.01171875, -0.022476196, 0.0034255981, -0.0026454926, 0.004837036, -0.0043296814, 0.02619934, -0.021560669, -0.039733887, -0.022415161, -0.06817627, -0.023223877, -0.018585205, -0.015319824, 0.012588501, 0.0064353943, -0.013748169, 0.043304443, 0.002626419, -0.029373169, -0.016784668, -0.026184082, 0.05847168, 0.034179688, 0.03842163, -0.05493164, -0.017486572, 0.016540527, 0.03164673, 0.089904785, 0.013534546, -0.07684326, -0.024108887, 0.07434082, 0.030395508, 0.007091522, 0.07373047, 0.012527466, -0.010856628, -0.01828003, -0.045196533, 0.00065279007, -0.0637207, 0.010726929, 0.023880005, -0.0030708313, -0.012298584, 0.027236938, -0.04928589, 0.023071289, 0.008674622, -0.023529053, -0.015838623, -0.010543823, 0.012168884, 0.014854431, -0.05834961, -0.06088257, -0.012313843, 0.035461426, 0.02027893, 0.019348145, -0.014602661, -0.02104187, -0.0309906, 0.001405716, -0.019973755, -0.00157547, -0.003944397, 0.0009326935, -0.02078247, -0.015731812, -0.044433594, 0.03390503, 0.057159424, 0.018585205, -0.023895264, -0.0057029724, 0.0049552917, 0.013412476, 0.022399902, 0.010154724, 0.0519104, 0.06591797, 0.018341064, 0.012161255, -0.05810547, -0.043304443, -0.031173706, 0.0023860931, -0.003944397, 0.11425781, -0.031036377, 0.019989014, -0.038635254, -0.025939941, 0.035064697, 0.041168213, 0.03161621, -0.069885254, -0.04537964, 0.028945923, -0.023162842, 0.019226074, -0.028442383, 0.015594482, -0.019256592, -0.0046463013, 0.034240723, 0.009124756, 0.05718994, 0.031219482, 0.02154541, 0.009590149, 0.00076818466, 0.04849243, -0.029129028, -0.03375244, -0.023391724, -0.028381348, -0.029708862, -0.0132369995, 0.010353088, 0.020263672, -0.030807495, 0.01007843, -0.03704834, 0.023376465, -0.03665161, 0.03741455, 0.015144348, 0.057281494, 0.03137207, 0.048431396, 0.021194458, 0.008110046, -0.03540039, -0.015312195, 0.022384644, 0.0065956116, 0.008056641, 0.0018348694, -0.009246826, 0.030380249, 0.0003862381, 0.0051841736, 0.04486084, 0.017807007, 0.0026130676, 0.07977295, 0.05419922, 0.062194824, 0.02633667, 0.024841309, -0.041625977, -0.005897522, 0.04031372, -0.055908203, 0.0026226044, -0.05340576, -0.05496216, 0.011474609, -0.006954193, -0.013122559, 0.019714355, -0.07159424, 0.031173706, 0.0034255981, -0.0034103394, 0.0440979, 0.011779785, -0.007827759, -0.03173828, -0.020950317, -0.030166626, -0.035308838, 0.030792236, 0.04525757, -0.028701782, -0.011100769, -0.02331543, -0.0357666, -0.025680542, 0.0011911392, 0.01940918, 0.05706787, 0.028381348, 0.007133484, -0.07733154, -0.007686615, 0.03869629, 0.0066833496, 0.008842468, 0.03439331, -0.014282227, 0.0357666, -0.004737854, -0.039794922, -0.0070381165, 0.02670288, 0.0107421875, 0.016189575, -0.06555176, -0.0138549805, 0.0008363724, -0.016693115, 0.006904602, -0.020263672, -0.030426025, 0.008453369, -0.046173096, -0.01802063, -0.013595581, -0.0044288635, -0.0039978027, -0.0044898987, 0.0007619858, 0.003921509, 0.0053977966, 0.020385742, -0.012329102, -0.023803711, -0.0057525635, 0.038330078, -0.014549255, -0.06298828, -0.047607422, 0.039245605, -0.06781006, -0.035217285, -0.009056091, 0.019927979, -0.003932953, -0.020309448, -0.017044067, 0.018127441, -8.624792e-05, -0.043182373, 0.009590149, 0.035308838, 0.031951904, 0.0011615753, -0.042022705, 0.079956055, 0.026687622, 0.013542175, -0.0074157715, -0.00983429, -0.0022563934, 0.07373047, 0.059387207, 0.03488159, 0.0071372986, -0.06427002, -0.0546875, -0.02482605, 0.11071777, -0.021072388, 0.01626587, -0.049713135, 0.061553955, -0.016860962, 0.051971436, -0.012962341, -0.0011711121, -0.014198303, -0.0061149597, -0.005836487, 0.00022387505, -0.027618408, 0.019836426, 0.009933472, 0.02368164, -0.020309448, -0.0049591064, -0.008628845, -0.03253174, -0.017684937, 0.02468872, -0.0023498535, 0.01448822, 0.061920166, 0.031707764, -0.0026416779, -0.040985107, -0.06335449, -0.036071777, 0.05404663, -0.0044136047, -0.0146102905, -0.0033416748, 0.028671265, -0.012771606, -0.0016565323, -0.0038909912, -0.02407837, -0.009857178, 0.0014467239, -0.008720398, -0.006011963, 0.032073975, -0.033325195, 0.014862061, -0.017227173, -0.018753052, -0.0060424805, 0.022567749, -0.017654419, -0.017562866, -0.07244873, -0.0881958, 0.050476074, 0.02609253, -0.032409668, 0.07458496, 0.009399414, 0.009117126, -0.031051636, -0.03451538, -0.004219055, -0.05718994, 0.020080566, -0.025421143, -0.010948181, 0.06341553, -0.009231567, -0.021697998, -0.009719849, 0.012802124, -0.020370483, 0.0034389496, 0.018859863, -0.025680542, 0.0013141632, 0.068603516, -0.021026611, 0.021881104, -0.0395813, -0.0019073486, 0.0056037903, -0.032348633]\n" - ] - } - ], - "source": [ - "# Because the text being embedded is the search query, we set the input type as search_query\n", - "response = co.embed(\n", - " texts=[query],\n", - " model=model,\n", - " input_type=\"search_query\",\n", - " embedding_types=['float']\n", - ")\n", - "query_embedding = response.embeddings.float[0]\n", - "print(\"query_embedding: \", query_embedding)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8K8B87CGgbOt" - }, - "source": [ - "### Retrieve the most relevant chunks from the vector database\n", - "\n", - "We use cosine similarity to find the most similar chunks" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "nik3es32gbOt", - "outputId": "a1c30024-52e1-42c7-8836-a2c590559aca" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "similarity scores: [0.6953257882233425, 0.3713410510180273, 0.46501499776898964, 0.5448546916785195, 0.4014738351361969, 0.3231420292334584, 0.3179003053384008, 0.42799691553367775, 0.18882594531435784, 0.36868801306504106, 0.3404040737300553, 0.3852837621219358, 0.2600249419491577, 0.3723244353775111, 0.3631492691137214, 0.47574774051439606, 0.40415422750911745, 0.4149923346201023, 0.5014741934381444, 0.3549433331883204, 0.32072714802512714, 0.14770872479410424, 0.585277816615252, 0.6999636953772764, 0.7722295084104617, 0.4895347049465806, 0.5170096485954725, 0.7137817366881455, 0.5224900699612323, 0.5914632581598285, 0.2657897083381463, 0.6462342489537262, 0.6317222315431096, 0.5982303530756702, 0.5138265091630297, 0.41385121172723643, 0.4293941094100836, 0.4173182546482015, 0.42621236706314475, 0.4428474375355954, 0.35058541576139896, 0.3578709652019502, 0.3930157841938308, 0.3564608202848675, 0.23016661533167404, 0.4933441863421645, 0.41037089239250985, 0.39993051898770193, 0.3119997063424595, 0.2677143729521374, 0.3700866951454496, 0.46727994925061545, 0.4393343280374425, 0.42111290117172434, 0.4485349189824285, 0.4710573736688592, 0.24169956903740436, 0.3840442910806355, 0.14284631817675886, 0.5381588054138154, 0.431113882725076, 0.5189547209048608, 0.3950667224233914, 0.32429768756510174, 0.4370358125161736, 0.18727062244331039, 0.5206375682478743, 0.5175737635701252, 0.5326043981628349, 0.45586923626994363, 0.21667338125532032, 0.16459878595959285, 0.22236726481673777, 0.5187259906958807, 0.2884444442338396, 0.286407544555338, 0.2313840178160818, 0.2057731158935257, 0.5973876998341746, 0.42904243401792086, 0.4081217538000544, 0.5330523063972133, 0.45080561486977405, 0.414703452285757, 0.2569028899107211, 0.5087916806929323, 0.14159076456040554, 0.46505779053352697, 0.556364222182839, 0.35464351181035236, 0.40174477023626]\n", - "Here are the indices of the top 10 chunks after retrieval: [24 27 23 0 31 32 33 78 29 22]\n", - "Here are the top 10 chunks after retrieval: \n", - "== stunt coordinator. Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\".In December 2020,\n", - "== that.\"On October 26, 2021, Legendary officially greenlit Dune: Part Two, with a spokesperson for the company stating, \"We would not have gotten to this point without the extraordinary vision of Denis and the amazing work of his talented crew, the writers, our stellar cast, our partners at Warner Bros., and of course the fans! Here's to more Dune.\" Production work had occurred back-to-back with the first film, as Villeneuve and his wife Lapointe immediately took a flight to Budapest in order to begin\n", - "== series. Villeneuve ultimately secured a two-film deal with Warner Bros. Pictures, in the same style as the two-part adaption of Stephen King's It in 2017 and 2019. In January 2019, Joe Walker was confirmed to be serving as the film's editor. Other crew included Brad Riker as supervising art director, Patrice Vermette as production designer, Paul Lambert as visual effects supervisor, Gerd Nefzer as special effects supervisor, and Thomas Struthers as stunt coordinator. Dune: Part Two was produced by\n", - "== Dune: Part Two is a 2024 American epic science fiction film directed and produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021) adapts the 1965 novel Dune by Frank Herbert and follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier Bardem reprise their roles from the first\n", - "== Eric Roth was hired to co-write the screenplay in April 2017 for the Dune films, and Jon Spaihts was later confirmed to be co-writing the script alongside Roth and Villeneuve. Game of Thrones language creator David Peterson was confirmed to be developing languages for the film in April 2019. Villeneuve and Peterson had created the Chakobsa language, which was used by actors on set. In November 2019, Spaihts stepped down as showrunner for Dune: Prophecy to focus on Dune: Part Two. In June 2020, Greig Fraser\n", - "== on Dune: Part Two. In June 2020, Greig Fraser said, \"It's a fully formed story in itself with places to go. It's a fully standalone epic film that people will get a lot out of when they see it\". Between the release of Dune and the confirmation of Dune: Part Two, Villeneuve started working the script in a way that production could begin immediately once the film was greenlit. By February 2021, Roth created a full treatment for the sequel, with writing beginning that August. He confirmed that Feyd-Rautha\n", - "== that August. He confirmed that Feyd-Rautha would appear in the film, and stated he will be a \"very important character\". In March 2022, Villeneuve had mostly finished writing the screenplay. Craig Mazin and Roth wrote additional literary material for the film.Villeneuve stated that the film would continue directly from the first, and specifically described it as being the \"second part.\" He described the film as being an \"epic war movie\", adding that while the first film was more \"contemplative\", the second\n", - "== On the review aggregator website Rotten Tomatoes, 93% of 378 critics' reviews are positive, with an average rating of 8.4/10. The website's consensus reads: \"Visually thrilling and narratively epic, Dune: Part Two continues Denis Villeneuve's adaptation of the beloved sci-fi series in spectacular form.\" Metacritic, which uses a weighted average, assigned the film a score of 79 out of 100, based on 62 critics, indicating \"generally favorable\" reviews. Audiences surveyed by CinemaScore gave the film an\n", - "== theatrical experience is at the very heart of the cinematic language for me.\" With Dune: Part Two being greenlit, Villeneuve said that his primary concern was to complete the filming as soon as possible, with the earliest he expected to start in the last quarter of 2022. However, he noted that production would be facilitated by the work already established on the first film, which would help expedite production.\n", - "== By November 2016, Legendary Pictures had obtained the film and TV rights for the Dune franchise, based on the eponymous 1965 novel by Frank Herbert. Vice chair of worldwide production for Legendary Mary Parent began discussing with Denis Villeneuve about directing a film adaptation, quickly hiring him after realizing his passion for Dune. By February 2018, Villeneuve was confirmed to be hired as director, and intended to adapt the novel as a two-part film series. Villeneuve ultimately secured a two-film\n" - ] - } - ], - "source": [ - "def cosine_similarity(a, b):\n", - " return np.dot(a, b) / (np.linalg.norm(a) * np.linalg.norm(b))\n", - "\n", - "# Calculate similarity between the user question & each chunk\n", - "similarities = [cosine_similarity(query_embedding, chunk) for chunk in embeddings]\n", - "print(\"similarity scores: \", similarities)\n", - "\n", - "# Get indices of the top 10 most similar chunks\n", - "sorted_indices = np.argsort(similarities)[::-1]\n", - "\n", - "# Keep only the top 10 indices\n", - "top_indices = sorted_indices[:10]\n", - "print(\"Here are the indices of the top 10 chunks after retrieval: \", top_indices)\n", - "\n", - "# Retrieve the top 10 most similar chunks\n", - "top_chunks_after_retrieval = [chunks[i] for i in top_indices]\n", - "print(\"Here are the top 10 chunks after retrieval: \")\n", - "for t in top_chunks_after_retrieval:\n", - " print(\"== \" + t)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qzcpds3VgbOt" - }, - "source": [ - "## Step 2 - Rerank the chunks retrieved from the vector database\n", - "\n", - "We rerank the 10 chunks retrieved from the vector database. Reranking boosts retrieval accuracy.\n", - "\n", - "Reranking lets us go from 10 chunks retrieved from the vector database, to the 3 most relevant chunks." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "2J4LywVygbOt", - "outputId": "7a4c89bf-fc5e-409f-9304-fce006b9d8bf" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here are the top 3 chunks after rerank: \n", - "== Dune: Part Two is a 2024 American epic science fiction film directed and produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021) adapts the 1965 novel Dune by Frank Herbert and follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier Bardem reprise their roles from the first\n", - "== stunt coordinator. Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\".In December 2020,\n", - "== series. Villeneuve ultimately secured a two-film deal with Warner Bros. Pictures, in the same style as the two-part adaption of Stephen King's It in 2017 and 2019. In January 2019, Joe Walker was confirmed to be serving as the film's editor. Other crew included Brad Riker as supervising art director, Patrice Vermette as production designer, Paul Lambert as visual effects supervisor, Gerd Nefzer as special effects supervisor, and Thomas Struthers as stunt coordinator. Dune: Part Two was produced by\n" - ] - } - ], - "source": [ - "response = co.rerank(\n", - " query=query,\n", - " documents=top_chunks_after_retrieval,\n", - " top_n=3,\n", - " model=\"rerank-english-v2.0\",\n", - ")\n", - "\n", - "top_chunks_after_rerank = [result.document['text'] for result in response]\n", - "print(\"Here are the top 3 chunks after rerank: \")\n", - "for t in top_chunks_after_rerank:\n", - " print(\"== \" + t)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "KuPL0VUXgbOt" - }, - "source": [ - "## Step 3 - Generate the model final answer, given the retrieved and reranked chunks" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "oCNXWH8GgbOt" - }, - "outputs": [], - "source": [ - "# preamble containing instructions about the task and the desired style for the output.\n", - "preamble = \"\"\"\n", - "## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "BevatShtgbOt", - "outputId": "af71f4a9-787a-4ee3-9598-20692fb3bf16" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final answer:\n", - "Here are the key crew members involved in 'Dune: Part Two':\n", - "\n", - "- Denis Villeneuve: director and producer\n", - "- Jon Spaihts: co-writer of the screenplay\n", - "- Mary Parent and Cale Boyter: producers \n", - "- Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Richard P. Rubinstein, John Harrison, Herbert W. Gain and Kevin J. Anderson: executive producers \n", - "- Joe Walker: editor\n", - "- Brad Riker: supervising art director\n", - "- Patrice Vermette: production designer\n", - "- Paul Lambert: visual effects supervisor\n", - "- Gerd Nefzer: special effects supervisor\n", - "- Thomas Struthers: stunt coordinator. \n", - "\n", - "A number of crew members from the first film returned for the sequel, which is set to be released in 2024.\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Vanilla_RAG.ipynb." + ] } - ], - "source": [ - "# retrieved documents\n", - "documents = [\n", - " {\"title\": \"chunk 0\", \"snippet\": top_chunks_after_rerank[0]},\n", - " {\"title\": \"chunk 1\", \"snippet\": top_chunks_after_rerank[1]},\n", - " {\"title\": \"chunk 2\", \"snippet\": top_chunks_after_rerank[2]},\n", - " ]\n", - "\n", - "# get model response\n", - "response = co.chat(\n", - " message=query,\n", - " documents=documents,\n", - " preamble=preamble,\n", - " model=\"command-r\",\n", - " temperature=0.3\n", - ")\n", - "\n", - "print(\"Final answer:\")\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "20wcn-EjlXZd" - }, - "source": [ - "Note: this is indeed the answer you'd expect, and here was the passage of text in wikipedia explaining it!\n", - "\n", - "\" [...] Dune: Part Two was originally scheduled to be released on October 20, 2023, but was delayed to November 17, 2023, before moving forward two weeks to November 3, 2023, to adjust to changes in release schedules from other studios. It was later postponed by over four months to March 15, 2024, due to the 2023 Hollywood labor disputes. After the strikes were resolved, the film moved once more up two weeks to March 1, 2024. [...]\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RoSVDXSsgbOt" - }, - "source": [ - "## Bonus: Citations come for free with Cohere! 🎉\n", - "\n", - "At Cohere, all RAG calls come with... precise citations! 🎉\n", - "The model cites which groups of words, in the RAG chunks, were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from. \n", - "They help users gain visibility into the model reasoning, and sanity check the final model generation. \n", - "These citations are optional — you can decide to ignore them.\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "BVTuQdmDgbOt", - "outputId": "f843b262-d8bb-45ba-cbfb-9915da104eda" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Citations that support the final answer:\n", - "{'start': 63, 'end': 79, 'text': 'Denis Villeneuve', 'document_ids': ['doc_0']}\n", - "{'start': 81, 'end': 102, 'text': 'director and producer', 'document_ids': ['doc_0']}\n", - "{'start': 105, 'end': 116, 'text': 'Jon Spaihts', 'document_ids': ['doc_0']}\n", - "{'start': 118, 'end': 145, 'text': 'co-writer of the screenplay', 'document_ids': ['doc_0']}\n", - "{'start': 148, 'end': 159, 'text': 'Mary Parent', 'document_ids': ['doc_1']}\n", - "{'start': 164, 'end': 175, 'text': 'Cale Boyter', 'document_ids': ['doc_1']}\n", - "{'start': 177, 'end': 186, 'text': 'producers', 'document_ids': ['doc_1']}\n", - "{'start': 190, 'end': 204, 'text': 'Tanya Lapointe', 'document_ids': ['doc_1']}\n", - "{'start': 206, 'end': 219, 'text': 'Brian Herbert', 'document_ids': ['doc_1']}\n", - "{'start': 221, 'end': 234, 'text': 'Byron Merritt', 'document_ids': ['doc_1']}\n", - "{'start': 236, 'end': 247, 'text': 'Kim Herbert', 'document_ids': ['doc_1']}\n", - "{'start': 249, 'end': 260, 'text': 'Thomas Tull', 'document_ids': ['doc_1']}\n", - "{'start': 262, 'end': 283, 'text': 'Richard P. Rubinstein', 'document_ids': ['doc_1']}\n", - "{'start': 285, 'end': 298, 'text': 'John Harrison', 'document_ids': ['doc_1']}\n", - "{'start': 300, 'end': 315, 'text': 'Herbert W. Gain', 'document_ids': ['doc_1']}\n", - "{'start': 320, 'end': 337, 'text': 'Kevin J. Anderson', 'document_ids': ['doc_1']}\n", - "{'start': 339, 'end': 358, 'text': 'executive producers', 'document_ids': ['doc_1']}\n", - "{'start': 362, 'end': 372, 'text': 'Joe Walker', 'document_ids': ['doc_2']}\n", - "{'start': 374, 'end': 380, 'text': 'editor', 'document_ids': ['doc_2']}\n", - "{'start': 383, 'end': 393, 'text': 'Brad Riker', 'document_ids': ['doc_2']}\n", - "{'start': 395, 'end': 419, 'text': 'supervising art director', 'document_ids': ['doc_2']}\n", - "{'start': 422, 'end': 438, 'text': 'Patrice Vermette', 'document_ids': ['doc_2']}\n", - "{'start': 440, 'end': 459, 'text': 'production designer', 'document_ids': ['doc_2']}\n", - "{'start': 462, 'end': 474, 'text': 'Paul Lambert', 'document_ids': ['doc_2']}\n", - "{'start': 476, 'end': 501, 'text': 'visual effects supervisor', 'document_ids': ['doc_2']}\n", - "{'start': 504, 'end': 515, 'text': 'Gerd Nefzer', 'document_ids': ['doc_2']}\n", - "{'start': 517, 'end': 543, 'text': 'special effects supervisor', 'document_ids': ['doc_2']}\n", - "{'start': 546, 'end': 562, 'text': 'Thomas Struthers', 'document_ids': ['doc_2']}\n", - "{'start': 564, 'end': 582, 'text': 'stunt coordinator.', 'document_ids': ['doc_2']}\n", - "{'start': 686, 'end': 691, 'text': '2024.', 'document_ids': ['doc_0']}\n" - ] - } - ], - "source": [ - "print(\"Citations that support the final answer:\")\n", - "for cite in response.citations:\n", - " print(cite)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "IueXaIJggbOu", - "outputId": "c816af51-74be-42c9-e94e-9820bbf95f79" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here are the key crew members involved in 'Dune: Part Two':\n", - "\n", - "- **Denis Villeneuve**[1]: **director and producer**[1]\n", - "- **Jon Spaihts**[1]: **co-writer of the screenplay**[1]\n", - "- **Mary Parent**[2] and **Cale Boyter**[2]: **producers**[2] \n", - "- **Tanya Lapointe**[2], **Brian Herbert**[2], **Byron Merritt**[2], **Kim Herbert**[2], **Thomas Tull**[2], **Richard P. Rubinstein**[2], **John Harrison**[2], **Herbert W. Gain**[2] and **Kevin J. Anderson**[2]: **executive producers**[2] \n", - "- **Joe Walker**[3]: **editor**[3]\n", - "- **Brad Riker**[3]: **supervising art director**[3]\n", - "- **Patrice Vermette**[3]: **production designer**[3]\n", - "- **Paul Lambert**[3]: **visual effects supervisor**[3]\n", - "- **Gerd Nefzer**[3]: **special effects supervisor**[3]\n", - "- **Thomas Struthers**[3]: **stunt coordinator.**[3] \n", - "\n", - "A number of crew members from the first film returned for the sequel, which is set to be released in **2024.**[1]\n", - "\n", - "[1] source: \"Dune: Part Two is a 2024 American epic science fiction film directed and produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021) adapts the 1965 novel Dune by Frank Herbert and follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier Bardem reprise their roles from the first\"\n", - "[2] source: \"stunt coordinator. Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\".In December 2020,\"\n", - "[3] source: \"series. Villeneuve ultimately secured a two-film deal with Warner Bros. Pictures, in the same style as the two-part adaption of Stephen King's It in 2017 and 2019. In January 2019, Joe Walker was confirmed to be serving as the film's editor. Other crew included Brad Riker as supervising art director, Patrice Vermette as production designer, Paul Lambert as visual effects supervisor, Gerd Nefzer as special effects supervisor, and Thomas Struthers as stunt coordinator. Dune: Part Two was produced by\"\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "def insert_citations_in_order(text, citations):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - " offset = 0\n", - " document_id_to_number = {}\n", - " citation_number = 0\n", - " modified_citations = []\n", - "\n", - " # Process citations, assigning numbers based on unique document_ids\n", - " for citation in citations:\n", - " citation_numbers = []\n", - " for document_id in sorted(citation[\"document_ids\"]):\n", - " if document_id not in document_id_to_number:\n", - " citation_number += 1 # Increment for a new document_id\n", - " document_id_to_number[document_id] = citation_number\n", - " citation_numbers.append(document_id_to_number[document_id])\n", - "\n", - " # Adjust start/end with offset\n", - " start, end = citation['start'] + offset, citation['end'] + offset\n", - " placeholder = ''.join([f'[{number}]' for number in citation_numbers])\n", - " # Bold the cited text and append the placeholder\n", - " modification = f'**{text[start:end]}**{placeholder}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " # Prepare citations for listing at the bottom, ensuring unique document_ids are listed once\n", - " unique_citations = {number: doc_id for doc_id, number in document_id_to_number.items()}\n", - " citation_list = '\\n'.join([f'[{doc_id}] source: \"{documents[doc_id - 1][\"snippet\"]}\"' for doc_id, number in sorted(unique_citations.items(), key=lambda item: item[1])])\n", - " text_with_citations = f'{text}\\n\\n{citation_list}'\n", - "\n", - " return text_with_citations\n", - "\n", - "\n", - "print(insert_citations_in_order(response.text, response.citations))\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Kp4c_HkYIEn_" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "hackathon_docs_3", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Vanilla_RAG_v2.ipynb b/notebooks/Vanilla_RAG_v2.ipynb index e480cb37..1ef3bb1a 100644 --- a/notebooks/Vanilla_RAG_v2.ipynb +++ b/notebooks/Vanilla_RAG_v2.ipynb @@ -1,723 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "mz33G3t6gbOl" - }, - "source": [ - "# RAG\n", - "\n", - "Retrieval-Augmented Generation (RAG) is a technique that combines the strengths of pre-trained language models with the ability to retrieve information from a large corpus of documents. RAG **enables the language model to produce more informed, accurate, and contextually relevant answers** than by relying on its pre-trained knowledge alone.\n", - "\n", - "At Cohere, all RAG calls come with... **precise citations**! 🎉\n", - "The model cites which groups of words, in the RAG chunks, were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from and they help users gain visibility into the model reasoning. \n", - "\n", - "RAG consists of 3 steps:\n", - "- Step 1: Indexing and given a user query, retrieve the relevant chunks from the index\n", - "- Step 2: Optionally, rerank the retrieved chunks\n", - "- Step 3: Generate the model final answer with **precise citations**, given the retrieved and reranked chunks\n", - "\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nSB0pnt0gbOo" - }, - "source": [ - "## Step 0 - Imports & Getting some data\n", - "\n", - "In this example, we'll use a recent piece of text, that wasn't in the training data: the Wikipedia page of the movie \"Dune 2\". \n", - "\n", - "In practice, you would typically do RAG on much longer text, that doesn't fit in the context window of the model." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "rACbepFGgbOo" - }, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import cohere\n", - "\n", - "co = cohere.ClientV2(api_key=\"YOUR_COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "QdvbqfFrgbOq", - "outputId": "3882c95c-46bf-4dcc-99a2-453b3c2fc7c4" - }, - "outputs": [], - "source": [ - "# we'll get some wikipedia data\n", - "# ! pip install wikipedia -qq\n", - "\n", - "import wikipedia" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "xP-bWt9XgbOq", - "outputId": "72276fb2-0d6b-415d-af74-452a013ae84b" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The text has roughly 5896 words.\n" - ] - } - ], - "source": [ - "# let's get the wikipedia article about Dune Part Two\n", - "article = wikipedia.page('Dune Part Two')\n", - "text = article.content\n", - "print(f\"The text has roughly {len(text.split())} words.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-1aJ7hKGgbOr" - }, - "source": [ - "## Step 1 - Indexing and given a user query, retrieve the relevant chunks from the index\n", - "\n", - "We index the document in a vector database. This requires getting the documents, chunking them, embedding, and indexing them in a vector database. Then we retrieved relevant results based on the users' query.\n", - "\n", - "### We split the document into chunks of roughly 512 words" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ZUph1JX41665", - "outputId": "6c63a93f-6999-47af-e704-d4a88727bc75" - }, - "outputs": [], - "source": [ - "# For chunking let's use langchain to help us split the text\n", - "! pip install -qU langchain-text-splitters -qq\n", - "\n", - "from langchain_text_splitters import RecursiveCharacterTextSplitter" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "uhXW7iHC1-Q6", - "outputId": "d68ac348-4b73-4c6a-a445-6c510bdb0881" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The text has been broken down in 108 chunks.\n" - ] - } - ], - "source": [ - "# Create basic configurations to chunk the text\n", - "text_splitter = RecursiveCharacterTextSplitter(\n", - " chunk_size=512,\n", - " chunk_overlap=50,\n", - " length_function=len,\n", - " is_separator_regex=False,\n", - ")\n", - "\n", - "# Split the text into chunks with some overlap\n", - "chunks_ = text_splitter.create_documents([text])\n", - "chunks = [c.page_content for c in chunks_]\n", - "print(f\"The text has been broken down in {len(chunks)} chunks.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "P8g0sE2hgbOs" - }, - "source": [ - "### Embed every text chunk\n", - "\n", - "Cohere embeddings are state-of-the-art." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "KEarMPEqgbOs", - "outputId": "7da0e06d-f637-4470-8e01-6de8249be64b" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "We just computed 108 embeddings.\n" - ] - } - ], - "source": [ - "# Because the texts being embedded are the chunks we are searching over, we set the input type as search_doc\n", - "model = \"embed-english-v3.0\"\n", - "\n", - "def batch_embed(texts, batch_size=96):\n", - " all_embeddings = []\n", - " for i in range(0, len(texts), batch_size):\n", - " batch = texts[i:i+batch_size]\n", - " response = co.embed(\n", - " texts=batch,\n", - " model=model,\n", - " input_type=\"search_document\",\n", - " embedding_types=['float']\n", - " )\n", - " all_embeddings.extend(response.embeddings.float)\n", - " return all_embeddings\n", - "\n", - "embeddings = batch_embed(chunks)\n", - "print(f\"We just computed {len(embeddings)} embeddings.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "HM6vKeypgbOs" - }, - "source": [ - "### Store the embeddings in a vector database\n", - "\n", - "We use the simplest vector database ever: a python dictionary using `np.array()`." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "sdW7M8HLvB-9" - }, - "outputs": [], - "source": [ - "# We use the simplest vector database ever: a python dictionary\n", - "! pip install numpy -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "id": "H2srFH-IgbOs" - }, - "outputs": [], - "source": [ - "import numpy as np\n", - "vector_database = {i: np.array(embedding) for i, embedding in enumerate(embeddings)}\n", - "# { 0: array([...]), 1: array([...]), 2: array([...]), ..., 10: array([...]) }" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "q6NGVurZgbOs" - }, - "source": [ - "## Given a user query, retrieve the relevant chunks from the vector database\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "eC05yJQ7jlek" - }, - "source": [ - "### Define the user question" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "Y2HTxspKgbOs" - }, - "outputs": [], - "source": [ - "query = \"Name everyone involved in writing the script, directing, and producing 'Dune: Part Two'?\"\n", - "\n", - "# Note: the relevant passage in the wikipedia page we're looking for is:\n", - "# \"[...] Dune: Part Two was originally scheduled to be released on October 20, 2023, but was delayed to November 17, 2023, before moving forward two weeks to November 3, 2023, to adjust to changes in release schedules from other studios. It was later postponed by over four months to March 15, 2024, due to the 2023 Hollywood labor disputes. After the strikes were resolved, the film moved once more up two weeks to March 1, 2024. [...]\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9oULg1tOjjOW" - }, - "source": [ - "### Embed the user question\n", - "\n", - "Cohere embeddings are state-of-the-art." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "yrUuS6vXgbOs", - "outputId": "0c64a930-f817-43c2-d775-1d9145cb304e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "query_embedding: [-0.068603516, -0.02947998, -0.06274414, -0.015449524, -0.033294678, 0.0056877136, -0.047210693, 0.04714966, -0.024871826, 0.008148193, '...']\n" - ] - } - ], - "source": [ - "# Because the text being embedded is the search query, we set the input type as search_query\n", - "response = co.embed(\n", - " texts=[query],\n", - " model=model,\n", - " input_type=\"search_query\",\n", - " embedding_types=['float']\n", - ")\n", - "query_embedding = response.embeddings.float[0]\n", - "print(\"query_embedding: \", query_embedding[:10] + [\"...\"])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8K8B87CGgbOt" - }, - "source": [ - "### Retrieve the most relevant chunks from the vector database\n", - "\n", - "We use cosine similarity to find the most similar chunks" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "nik3es32gbOt", - "outputId": "a1c30024-52e1-42c7-8836-a2c590559aca" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "similarity scores: [0.6880419226352857, 0.3923392545434105, 0.6386815248269733, 0.43618145115797535, 0.35780784367307705, 0.3923210354894715, 0.3406860631010847, 0.2957357005793907, 0.4255159555931599, 0.14503223489330883, 0.3997846789722547, 0.3743933002525113, 0.4083288113267294, 0.26194266616864986, 0.31982912150076953, 0.3531164824914523, 0.23203650717727414, 0.497148799385201, 0.34487158221487835, 0.28824423308146263, 0.5782290303802001, 0.5489319961327446, 0.778415400224538, 0.5236541350938922, 0.5481962732642285, 0.7135549552247115, 0.5205999648021981, 0.5872268968480292, 0.26489409375108064, 0.6410858426784221, 0.5367759890829636, 0.6816158875713925, 0.39144361423311, 0.4827300300834518, 0.4502725966061075, 0.2462873309174519, 0.443300705486635, 0.39120172781317253, 0.20620678706300988, 0.4371599199261209, 0.37572638119299867, 0.4614621126654143, 0.29906070488176123, 0.36477353501504023, 0.36024747243912564, 0.3929914083901088, 0.23114430973151348, 0.4646991530089856, 0.1187422018303958, 0.4217084543208151, 0.36510789830148477, 0.26769253214700306, 0.36598547319281477, 0.3792997473359336, 0.4596196436425069, 0.43869634271638114, 0.20089603894036867, 0.42191807980549356, 0.4387291730859993, 0.4488941445207048, 0.1299006327023146, 0.3832393275291005, 0.14269299802866453, 0.5386575762368317, 0.29891892602821285, 0.4128023121085502, 0.15622874884471297, 0.5037411178038066, 0.3403791753246366, 0.4518658626088099, 0.3588444212378783, 0.39024780342329135, 0.48874362388129355, 0.2970250944589432, 0.4890213355379532, 0.2601457789171118, 0.5098062623890619, 0.41745989801233, 0.18695460607524733, 0.5309005848922221, 0.5226886534429271, 0.5478914338259878, 0.5004863890173412, 0.1968363843203233, 0.4210806632601615, 0.5341083271502703, 0.28640413417722466, 0.31654174678868613, 0.21754347066657245, 0.46012838286736324, 0.14089744261122422, 0.20637564356989352, 0.5713764499847603, 0.3808494424653835, 0.5832486106225127, 0.41007417198464485, 0.2604390796614135, 0.3801380463864371, 0.2867894923131921, 0.3135170641699137, 0.3470364583530195, 0.21980436849993726, 0.1414052619528618, 0.468511284019416, 0.4496650446352944, 0.5239361429406763, 0.19838970836663622, 0.42025656379414894]\n", - "Here are the indices of the top 10 chunks after retrieval: [22 25 0 31 29 2 27 94 20 92]\n", - "Here are the top 10 chunks after retrieval: \n", - "== Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\".\n", - "== On October 26, 2021, Legendary officially greenlit Dune: Part Two, with a spokesperson for the company stating, \"We would not have gotten to this point without the extraordinary vision of Denis and the amazing work of his talented crew, the writers, our stellar cast, our partners at Warner Bros., and of course the fans! Here's to more Dune.\" Production work had occurred back-to-back with the first film, as Villeneuve and his wife Lapointe immediately took a flight to Budapest in order to begin\n", - "== Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier\n", - "== Between the release of Dune and the confirmation of Dune: Part Two, Villeneuve started working the script in a way that production could begin immediately once the film was greenlit. By February 2021, Roth created a full treatment for the sequel, with writing beginning that August. He confirmed that Feyd-Rautha would appear in the film, and stated he will be a \"very important character\". In March 2022, Villeneuve had mostly finished writing the screenplay. Craig Mazin and Roth wrote additional literary\n", - "== Eric Roth was hired to co-write the screenplay in April 2017 for the Dune films, and Jon Spaihts was later confirmed to be co-writing the script alongside Roth and Villeneuve. Game of Thrones language creator David Peterson was confirmed to be developing languages for the film in April 2019. Villeneuve and Peterson had created the Chakobsa language, which was used by actors on set. In November 2019, Spaihts stepped down as show-runner for Dune: Prophecy to focus on Dune: Part Two. In June 2020, Greig\n", - "== Development began after Legendary Entertainment acquired film and television rights for the Dune franchise in 2016. Villeneuve signed on as director in 2017, intending to make a two-part adaptation of the novel due to its complexity. Production contracts were only secured for the first film before the second film was greenlit by Legendary in October 2021, subject to the success of the first. Principal photography took place in Budapest, Italy, Jordan, and Abu Dhabi between July and December 2022.\n", - "== theatrical experience is at the very heart of the cinematic language for me\". With Dune: Part Two being greenlit, Villeneuve said that his primary concern was to complete the filming as soon as possible, with the earliest he expected to start in the last quarter of 2022. He noted that production would be expedited by the work already done for the first film.\n", - "== Richard Roeper, writing for the Chicago Sun-Times, gave the film three stars out of four, praising the technical and narrative aspects, saying, \"Even as we marvel at the stunning and immersive and Oscar-level cinematography, editing, score, visual effects, production design and sound in Denis Villeneuve's Dune: Part Two, we're reminded at every turn that this is an absolutely bat-bleep [sic] crazy story.\"\n", - "== In November 2016, Legendary Pictures obtained the film and TV rights for the Dune franchise, based on the eponymous 1965 novel by Frank Herbert. Vice chair of worldwide production for Legendary Mary Parent began discussing with Denis Villeneuve about directing a film adaptation, quickly hiring him after realizing his passion for Dune. In February 2018, Villeneuve was confirmed to be hired as director, and intended to adapt the novel as a two-part film series. Villeneuve ultimately secured a two-film deal\n", - "== The film \"largely received rave reviews from critics\", and was praised for its visual effects and cast performances. Some reviews considered it one of the greatest science fiction films ever made. On the review aggregator website Rotten Tomatoes, 92% of 442 critics' reviews are positive, with an average rating of 8.3/10. The website's consensus reads: \"Visually thrilling and narratively epic, Dune: Part Two continues Denis Villeneuve's adaptation of the beloved sci-fi series in spectacular form.\"\n" - ] - } - ], - "source": [ - "def cosine_similarity(a, b):\n", - " return np.dot(a, b) / (np.linalg.norm(a) * np.linalg.norm(b))\n", - "\n", - "# Calculate similarity between the user question & each chunk\n", - "similarities = [cosine_similarity(query_embedding, chunk) for chunk in embeddings]\n", - "print(\"similarity scores: \", similarities)\n", - "\n", - "# Get indices of the top 10 most similar chunks\n", - "sorted_indices = np.argsort(similarities)[::-1]\n", - "\n", - "# Keep only the top 10 indices\n", - "top_indices = sorted_indices[:10]\n", - "print(\"Here are the indices of the top 10 chunks after retrieval: \", top_indices)\n", - "\n", - "# Retrieve the top 10 most similar chunks\n", - "top_chunks_after_retrieval = [chunks[i] for i in top_indices]\n", - "print(\"Here are the top 10 chunks after retrieval: \")\n", - "for t in top_chunks_after_retrieval:\n", - " print(\"== \" + t)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qzcpds3VgbOt" - }, - "source": [ - "## Step 2 - Rerank the chunks retrieved from the vector database\n", - "\n", - "We rerank the 10 chunks retrieved from the vector database. Reranking boosts retrieval accuracy.\n", - "\n", - "Reranking lets us go from 10 chunks retrieved from the vector database, to the 3 most relevant chunks." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "2J4LywVygbOt", - "outputId": "7a4c89bf-fc5e-409f-9304-fce006b9d8bf" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here are the top 3 chunks after rerank: \n", - "== Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\".\n", - "== Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier\n", - "== On October 26, 2021, Legendary officially greenlit Dune: Part Two, with a spokesperson for the company stating, \"We would not have gotten to this point without the extraordinary vision of Denis and the amazing work of his talented crew, the writers, our stellar cast, our partners at Warner Bros., and of course the fans! Here's to more Dune.\" Production work had occurred back-to-back with the first film, as Villeneuve and his wife Lapointe immediately took a flight to Budapest in order to begin\n" - ] - } - ], - "source": [ - "response = co.rerank(\n", - " query=query,\n", - " documents=top_chunks_after_retrieval,\n", - " top_n=3,\n", - " model=\"rerank-english-v3.0\",\n", - ")\n", - "\n", - "# top_chunks_after_rerank = [result.document['text'] for result in response]\n", - "\n", - "top_chunks_after_rerank = [top_chunks_after_retrieval[result.index] for result in response.results]\n", - "\n", - "print(\"Here are the top 3 chunks after rerank: \")\n", - "for t in top_chunks_after_rerank:\n", - " print(\"== \" + t)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "KuPL0VUXgbOt" - }, - "source": [ - "## Step 3 - Generate the model final answer, given the retrieved and reranked chunks" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "id": "oCNXWH8GgbOt" - }, - "outputs": [], - "source": [ - "# preamble containing instructions about the task and the desired style for the output.\n", - "preamble = \"\"\"\n", - "## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "BevatShtgbOt", - "outputId": "af71f4a9-787a-4ee3-9598-20692fb3bf16" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final answer:\n", - "*Dune: Part Two* is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The film was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers. Kevin J. Anderson was the creative consultant.\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Vanilla_RAG_v2.ipynb." + ] } - ], - "source": [ - "# retrieved documents\n", - "documents = [\n", - " {\"data\": {\"title\": \"chunk 0\", \"snippet\": top_chunks_after_rerank[0]}},\n", - " {\"data\": {\"title\": \"chunk 1\", \"snippet\": top_chunks_after_rerank[1]}},\n", - " {\"data\": {\"title\": \"chunk 2\", \"snippet\": top_chunks_after_rerank[2]}},\n", - " ]\n", - "\n", - "# get model response\n", - "response = co.chat(\n", - " model=\"command-r-08-2024\",\n", - " messages=[{\"role\" : \"system\", \"content\" : preamble},\n", - " {\"role\" : \"user\", \"content\" : query}],\n", - " documents=documents, \n", - " temperature=0.3\n", - ")\n", - "\n", - "print(\"Final answer:\")\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "20wcn-EjlXZd" - }, - "source": [ - "Note: this is indeed the answer you'd expect, and here was the passage of text in wikipedia explaining it!\n", - "\n", - "\" [...] Dune: Part Two was originally scheduled to be released on October 20, 2023, but was delayed to November 17, 2023, before moving forward two weeks to November 3, 2023, to adjust to changes in release schedules from other studios. It was later postponed by over four months to March 15, 2024, due to the 2023 Hollywood labor disputes. After the strikes were resolved, the film moved once more up two weeks to March 1, 2024. [...]\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RoSVDXSsgbOt" - }, - "source": [ - "## Bonus: Citations come for free with Cohere! 🎉\n", - "\n", - "At Cohere, all RAG calls come with... precise citations! 🎉\n", - "The model cites which groups of words, in the RAG chunks, were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from. \n", - "They help users gain visibility into the model reasoning, and sanity check the final model generation. \n", - "These citations are optional — you can decide to ignore them.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "BVTuQdmDgbOt", - "outputId": "f843b262-d8bb-45ba-cbfb-9915da104eda" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Citations that support the final answer:\n", - "start=22 end=61 text='2024 American epic science fiction film' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'snippet': 'Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier', 'title': 'chunk 1'})]\n", - "start=62 end=106 text='directed and co-produced by Denis Villeneuve' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'snippet': 'Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier', 'title': 'chunk 1'})]\n", - "start=112 end=153 text='co-wrote the screenplay with Jon Spaihts.' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'snippet': 'Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier', 'title': 'chunk 1'})]\n", - "start=167 end=189 text='produced by Villeneuve' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=191 end=202 text='Mary Parent' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=208 end=219 text='Cale Boyter' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=226 end=240 text='Tanya Lapointe' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=242 end=255 text='Brian Herbert' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=257 end=270 text='Byron Merritt' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=272 end=283 text='Kim Herbert' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=285 end=296 text='Thomas Tull' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=298 end=319 text='Richard P. Rubinstein' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=321 end=334 text='John Harrison' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=340 end=355 text='Herbert W. Gain' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=367 end=387 text='executive producers.' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=388 end=405 text='Kevin J. Anderson' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n", - "start=414 end=434 text='creative consultant.' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'snippet': 'Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there\\'s a logical place to stop the [first] movie before the book is over\".', 'title': 'chunk 0'})]\n" - ] - } - ], - "source": [ - "print(\"Citations that support the final answer:\")\n", - "for cite in response.message.citations:\n", - " print(cite)" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "IueXaIJggbOu", - "outputId": "c816af51-74be-42c9-e94e-9820bbf95f79" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "*Dune: Part Two* is a 2024 American epic science fiction film[1] directed and co-produced by Denis Villeneuve[1], who co-wrote the screenplay with Jon Spaihts.[1] The film was produced by Villeneuve[0], Mary Parent[0], and Cale Boyter[0], with Tanya Lapointe[0], Brian Herbert[0], Byron Merritt[0], Kim Herbert[0], Thomas Tull[0], Richard P. Rubinstein[0], John Harrison[0], and Herbert W. Gain[0] serving as executive producers.[0] Kevin J. Anderson[0] was the creative consultant.[0]\n", - "\n", - "Source documents:\n", - "[0] title: chunk 0, snippet: Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\".\n", - "[1] title: chunk 1, snippet: Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier\n" - ] - } - ], - "source": [ - "def insert_inline_citations(text, citations, field='text'):\n", - " sorted_citations = sorted(citations, key=lambda c: c.start, reverse=True)\n", - " \n", - " for citation in sorted_citations:\n", - " source_ids = [source.id.split(':')[-1] for source in citation.sources]\n", - " citation_text = f\"[{','.join(source_ids)}]\"\n", - " text = text[:citation.end] + citation_text + text[citation.end:]\n", - " \n", - " return text\n", - "\n", - "def list_sources(citations, fields=['text']):\n", - " unique_sources = set()\n", - " for citation in citations:\n", - " for source in citation.sources:\n", - " source_data = tuple((field, source.document[field]) for field in fields if field in source.document)\n", - " unique_sources.add((source.id.split(':')[-1], source_data))\n", - " \n", - " footnotes = []\n", - " for source_id, source_data in sorted(unique_sources):\n", - " footnote = f\"[{source_id}] \" + \", \".join(f\"{key}: {value}\" for key, value in source_data)\n", - " footnotes.append(footnote)\n", - " \n", - " return \"\\n\".join(footnotes)\n", - "\n", - "# Use the functions\n", - "cited_text = insert_inline_citations(response.message.content[0].text, response.message.citations)\n", - "\n", - "# Print the result with inline citations\n", - "print(cited_text)\n", - "\n", - "# Print footnotes\n", - "if response.message.citations:\n", - " print(\"\\nSource documents:\")\n", - " print(list_sources(response.message.citations, fields=['title','snippet']))" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": { - "id": "Kp4c_HkYIEn_" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "*Dune: Part Two* is a 2024 American epic science fiction film[1] directed and co-produced by Denis Villeneuve[1], who co-wrote the screenplay with Jon Spaihts.[1] The film was produced by Villeneuve[0], Mary Parent[0], and Cale Boyter[0], with Tanya Lapointe[0], Brian Herbert[0], Byron Merritt[0], Kim Herbert[0], Thomas Tull[0], Richard P. Rubinstein[0], John Harrison[0], and Herbert W. Gain[0] serving as executive producers.[0] Kevin J. Anderson[0] was the creative consultant.[0]\n", - "\n", - "Source documents:\n", - "[0] snippet: Dune: Part Two was produced by Villeneuve, Mary Parent, and Cale Boyter, with Tanya Lapointe, Brian Herbert, Byron Merritt, Kim Herbert, Thomas Tull, Jon Spaihts, Richard P. Rubinstein, John Harrison, and Herbert W. Gain serving as executive producers and Kevin J. Anderson as creative consultant. Legendary CEO Joshua Grode confirmed in April 2019 that they plan to make a sequel, adding that \"there's a logical place to stop the [first] movie before the book is over\"., title: chunk 0\n", - "[1] snippet: Dune: Part Two is a 2024 American epic science fiction film directed and co-produced by Denis Villeneuve, who co-wrote the screenplay with Jon Spaihts. The sequel to Dune (2021), it is the second of a two-part adaptation of the 1965 novel Dune by Frank Herbert. It follows Paul Atreides as he unites with the Fremen people of the desert planet Arrakis to wage war against House Harkonnen. Timothée Chalamet, Rebecca Ferguson, Josh Brolin, Stellan Skarsgård, Dave Bautista, Zendaya, Charlotte Rampling, and Javier, title: chunk 1\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "def insert_inline_citations(text, citations, field='text'):\n", - " sorted_citations = sorted(citations, key=lambda c: c.start, reverse=True)\n", - " \n", - " for citation in sorted_citations:\n", - " source_ids = [source.id.split(':')[-1] for source in citation.sources]\n", - " citation_text = f\"[{','.join(source_ids)}]\"\n", - " text = text[:citation.end] + citation_text + text[citation.end:]\n", - " \n", - " return text\n", - "\n", - "def list_sources(citations):\n", - " unique_sources = set()\n", - " for citation in citations:\n", - " for source in citation.sources:\n", - " source_data = tuple((key, value) for key, value in source.document.items() if key != 'id')\n", - " unique_sources.add((source.id.split(':')[-1], source_data))\n", - " \n", - " footnotes = []\n", - " for source_id, source_data in sorted(unique_sources):\n", - " footnote = f\"[{source_id}] \" + \", \".join(f\"{key}: {value}\" for key, value in source_data)\n", - " footnotes.append(footnote)\n", - " \n", - " return \"\\n\".join(footnotes)\n", - "\n", - "# Use the functions\n", - "cited_text = insert_inline_citations(response.message.content[0].text, response.message.citations)\n", - "\n", - "# Print the result with inline citations\n", - "print(cited_text)\n", - "\n", - "# Print footnotes\n", - "if response.message.citations:\n", - " print(\"\\nSource documents:\")\n", - " print(list_sources(response.message.citations))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/Wikipedia_Semantic_Search_With_Cohere_Embeddings_Archives.ipynb b/notebooks/Wikipedia_Semantic_Search_With_Cohere_Embeddings_Archives.ipynb index ad762fb3..0cb109e1 100644 --- a/notebooks/Wikipedia_Semantic_Search_With_Cohere_Embeddings_Archives.ipynb +++ b/notebooks/Wikipedia_Semantic_Search_With_Cohere_Embeddings_Archives.ipynb @@ -1,215 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "SslnlBaKPeWP" - }, - "source": [ - "# Wikipedia Semantic Search with Cohere Embedding Archives\n", - "This notebook contains the starter code to do simple [semantic search](https://txt.cohere.ai/what-is-semantic-search/) on the [Wikipedia embeddings archives](https://txt.cohere.ai/embedding-archives-wikipedia/) published by Cohere. These archives embed Wikipedia sites in multiple languages. In this example, we'll use [Wikipedia Simple English](https://huggingface.co/datasets/Cohere/wikipedia-22-12-simple-embeddings). " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "IUnwp2cYNnP0" - }, - "outputs": [], - "source": [ - "# Let's install \"cohere<5\" and HF datasets\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" datasets" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "hZds1apHPsag" - }, - "source": [ - "Let's now download 1,000 records from the English Wikipedia embeddings archive so we can search it afterwards." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "v8Pogz7gPQwg" - }, - "outputs": [ - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "5863371d28d14ce0bf0cb80643c66d21", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Downloading: 0%| | 0.00/1.29k [00:00= max_docs:\n", - " break\n", - "\n", - "doc_embeddings = torch.tensor(doc_embeddings)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VIlx5RVCP7g7" - }, - "source": [ - "Now, `doc_embeddings` holds the embeddings of the first 1,000 documents in the dataset. Each document is represented as an [embeddings vector](https://txt.cohere.ai/sentence-word-embeddings/) of 768 values." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "OBa3oxSsP2fv", - "outputId": "d9d71135-7ac3-4424-d806-2a994a0b456a" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "torch.Size([1000, 768])" - ] - }, - "execution_count": 3, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "doc_embeddings.shape" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "GbYAXaI4RQiH" - }, - "source": [ - "We can now search these vectors for any query we want. For this toy example, we'll ask a question about Wikipedia since we know the Wikipedia page is included in the first 1000 documents we used here.\n", - "\n", - "To search, we embed the query, then get the nearest neighbors to its embedding (using dot product)." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "SJGUurziNiYR", - "outputId": "bb66def9-3d83-46f7-c871-1224eb5714cd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Query: Who founded Wikipedia\n", - "Wikipedia\n", - "Larry Sanger and Jimmy Wales are the ones who started Wikipedia. Wales is credited with defining the goals of the project. Sanger created the strategy of using a wiki to reach Wales' goal. On January 10, 2001, Larry Sanger proposed on the Nupedia mailing list to create a wiki as a \"feeder\" project for Nupedia. Wikipedia was launched on January 15, 2001. It was launched as an English-language edition at www.wikipedia.com, and announced by Sanger on the Nupedia mailing list. Wikipedia's policy of \"neutral point-of-view\" was enforced in its initial months, and was similar to Nupedia's earlier \"nonbiased\" policy. Otherwise, there weren't very many rules initially, and Wikipedia operated independently of Nupedia. \n", - "\n", - "Wikipedia\n", - "Wikipedia began as a related project for Nupedia. Nupedia was a free English-language online encyclopedia project. Nupedia's articles were written and owned by Bomis, Inc which was a web portal company. The important people of the company were Jimmy Wales, the person in charge of Bomis, and Larry Sanger, the editor-in-chief of Nupedia. Nupedia was first licensed under the Nupedia Open Content License which was changed to the GNU Free Documentation License before Wikipedia was founded and made their first article when Richard Stallman requested them. \n", - "\n", - "Wikipedia\n", - "Wikipedia was started on January 10, 2001, by Jimmy Wales and Larry Sanger as part of an earlier online encyclopedia named Nupedia. On January 15, 2001, Wikipedia became a separate website of its own. It is a wiki that uses the software MediaWiki (like all other Wikimedia Foundation projects). \n", - "\n" - ] - } - ], - "source": [ - "\n", - "# Get the query, then embed it\n", - "query = 'Who founded Wikipedia'\n", - "response = co.embed(texts=[query], model='multilingual-22-12')\n", - "query_embedding = response.embeddings \n", - "query_embedding = torch.tensor(query_embedding)\n", - "\n", - "# Compute dot score between query embedding and document embeddings\n", - "dot_scores = torch.mm(query_embedding, doc_embeddings.transpose(0, 1))\n", - "top_k = torch.topk(dot_scores, k=3)\n", - "\n", - "# Print results\n", - "print(\"Query:\", query)\n", - "for doc_id in top_k.indices[0].tolist():\n", - " print(docs[doc_id]['title'])\n", - " print(docs[doc_id]['text'], \"\\n\")\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "WWFroOO2RwMd" - }, - "source": [ - "This shows the top three passages that are relevant to the query. We can retrieve more results by changing the `k` value. The question in this simple demo is about Wikipedia because we know that the Wikipedia page is part of the documents in this subset of the archive." - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.13" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb b/notebooks/agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb index 3fcf1cfa..c43580d0 100644 --- a/notebooks/agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb +++ b/notebooks/agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb @@ -1,421 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "W8yvOB2MQp07" - }, - "source": [ - "# Tool Use - Simple Data Analyst Agent with Cohere and Langchain\n", - "\n", - "Tool use is a method whichs allows developers to connect Cohere's Command models to external tools like search engines, APIs, databases, and other software tools. Just like how [Retrieval-Augmented Generation (RAG)](https://docs.cohere.com/docs/retrieval-augmented-generation-rag) allows a model to use an external data source to improve factual generation, tool use is a capability that allows retrieving data from multiple sources. But it goes beyond simply retrieving information and is able to use software tools to execute code, or even create entries in a CRM system.\n", - "\n", - "In this notebook, we'll see how we can use two tools to create a simple data analyst agent that is able to search the web and run code in a python interpreter. This agent uses Cohere's Command R+ mode and Langchain.\n", - "\n", - "\n", - "![](https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/images/tool-use-notebook-header.png)\n", - "\n", - "# Environment\n", - "Let's start by installing the required libraries" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "ujQVUvA9QlD4" - }, - "outputs": [], - "source": [ - "! pip install --quiet langchain langchain_cohere langchain_experimental" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "cLsWOAjzTSfs" - }, - "source": [ - "## Setup\n", - "We'll need a Cohere API key here. Grab your key and paste it in the next slide if you have one, or [register](https://dashboard.cohere.ai/welcome/register) and create a new API key." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "K9rrOrKWJaBd" - }, - "outputs": [], - "source": [ - "### LLMs\n", - "import os\n", - "os.environ['COHERE_API_KEY'] = \"\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hPybpQ5whLkv" - }, - "outputs": [], - "source": [ - "# Create the Cohere chat model\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "chat = ChatCohere(model=\"command-r-plus\", temperature=0.3)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "k3mrKxSsTfXO" - }, - "source": [ - "# Define tools\n", - "Our simple data analyst will be equipped with a web search tool, and a python interpreter (which we can use to run plotting code, for example)." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4kGUB4IRF3W5" - }, - "source": [ - "### Web search\n", - "Let's first equip our agent with web search! We can use the Tivaly API for this. Head on to [tavily.com](https://tavily.com) and grab an API key to use here." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hYsJgVY0F3W5" - }, - "outputs": [], - "source": [ - "from langchain_community.tools.tavily_search import TavilySearchResults\n", - "\n", - "os.environ['TAVILY_API_KEY'] = \"\"\n", - "\n", - "internet_search = TavilySearchResults()\n", - "internet_search.name = \"internet_search\"\n", - "internet_search.description = \"Returns a list of relevant document snippets for a textual query retrieved from the internet.\"\n", - "\n", - "\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "class TavilySearchInput(BaseModel):\n", - " query: str = Field(description=\"Query to search the internet with\")\n", - "internet_search.args_schema = TavilySearchInput" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "rsd-REnMhOq-" - }, - "source": [ - "### Python interpreter tool\n", - "Let's equip our agent with a python interpreter!" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Zblt8Wm6aV0l" - }, - "outputs": [], - "source": [ - "from langchain.agents import Tool\n", - "from langchain_experimental.utilities import PythonREPL\n", - "\n", - "python_repl = PythonREPL()\n", - "repl_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "repl_tool.name = \"python_interpreter\"\n", - "\n", - "# from langchain_core.pydantic_v1 import BaseModel, Field\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "repl_tool.args_schema = ToolInput\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "eoa3toXfdGkI" - }, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2ENQAfYXRG9Q" - }, - "source": [ - "# Create ReAct Agent: the data analyst" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hx-Ew1i5F3W4" - }, - "outputs": [], - "source": [ - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "BPo3ZIHkF3W4" - }, - "outputs": [], - "source": [ - "# Create the prompt\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "\n", - "# Create the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=chat,\n", - " tools=[internet_search, repl_tool],\n", - " prompt=prompt,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "dks-7TGGtdLE" - }, - "outputs": [], - "source": [ - "agent_executor = AgentExecutor(agent=agent, tools=[internet_search, repl_tool], verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "v7L1aBHds1pj" - }, - "source": [ - "# Let's ask a question to the data analyst" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Data_Analyst_Agent_Cohere_and_Langchain.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "Jl0JNX2TF3W5", - "outputId": "48b9b9cd-342a-4505-a760-ed809f8a4437" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "First, I will search for the three tech companies with the highest market cap in the US in 2024. Then, I will search for the number of full-time employees at each of these companies, and plot the data using Python.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'top 3 tech companies highest market cap US 2024'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.fool.com/research/largest-companies-by-market-cap/', 'content': \"It's the most valuable automaker in the world and has the world's best-selling car in the Model Y.\\nTesla is most famous for its vehicles, and it's second only to China's BYD Company (OTC:BYDDY) among the largest EV companies in terms of manufacturing. While it's most famous for Windows, Microsoft also has a diverse selection of products and services that has helped to build on its success, including:\\nMicrosoft has been the world's largest company before, and it briefly surpassed Apple for the biggest market cap in 2021. Walmart\\nWalmart (NYSE:WMT) may not have the largest market cap, but it is No. 1 in terms of revenue, and it’s the largest retailer in the world. Microsoft\\nConsidering the popularity of the Windows operating system, it’s no surprise that Microsoft (NASDAQ:MSFT) has consistently ranked as one of the largest companies in the world. Although the top spot has changed hands on multiple occasions, Apple has spent the most time there and is currently the most valuable company in the world.\\n\"}, {'url': 'https://www.financecharts.com/screener/biggest-country-us', 'content': 'Biggest Companies in the US by Market Cap for Apr 2024. The most valuable company in the US is Microsoft (MSFT) with a market cap of $3.159T, followed by Apple (AAPL) and NVIDIA (NVDA). Last updated Apr 05, 2024.'}, {'url': 'https://www.statista.com/statistics/1350976/leading-tech-companies-worldwide-by-market-cap/', 'content': 'Digital & Trend reports\\nOverview and forecasts on trending topics\\nIndustry & Market reports\\nIndustry and market insights and forecasts\\nCompanies & Products reports\\nKey figures and rankings about companies and products\\nConsumer & Brand reports\\nConsumer and brand insights and preferences in various industries\\nPolitics & Society reports\\nDetailed information about political and social topics\\nCountry & Region reports\\nAll key figures about countries and regions\\nMarket forecast and expert KPIs for 1000+ markets in 190+ countries & territories\\nInsights on consumer attitudes and behavior worldwide\\nBusiness information on 100m+ public and private companies\\nExplore Company Insights\\nDetailed information for 39,000+ online stores and marketplaces\\nDirectly accessible data for 170 industries from 150+ countries\\nand over 1\\xa0Mio. facts.\\n Other statistics on the topicAI chips\\nHardware\\nLeading semiconductor companies worldwide 2023, by market cap\\nHardware\\nSemiconductor market revenue growth worldwide 1988-2024\\nHardware\\nNvidia revenue worldwide 2015-2023, by segment\\nHardware\\nSemiconductor market size worldwide 2020-2030, by application\\nYou only have access to basic statistics.\\n Other statistics that may interest you\\nOther statistics that may interest you Statistics on\\nAbout the industry\\nAbout the region\\nOther regions\\nRelated statistics\\nFurther related statistics\\nFurther Content: You might find this interesting as well\\nStatistics\\nTopics Transforming data into design:\\nStatista Content & Design\\nStrategy and business building for the data-driven economy:\\nLeading tech companies worldwide 2023, by market cap\\nApple\\nSamsung\\nLeading tech companies worldwide 2023, by market capitalization\\n(in billion U.S. dollars)\\n Additional Information\\nShow sources information\\nShow publisher information\\nUse Ask Statista Research Service\\nAugust 2023\\nWorldwide\\n2023\\n'}, {'url': 'https://www.forbes.com/advisor/investing/best-tech-stocks/', 'content': 'eToro\\nThe Best Tech Stocks of November 2023\\nApple Inc. (AAPL)\\n$2.8 trillion\\n0.5%\\n27.1%\\n$2.8 trillion\\n0.5%\\n27.1%\\nApple\\xa0 was founded in Los Altos, Calif., by Steve Jobs and Steve Wozniak in 1976. ASML Holding NV (ASML)\\n$248 billion\\n1.0%\\n22.8%\\n$248 billion\\n1.0%\\n22.8%\\nASML is a Netherlands-based company that designs and manufactures the machinery used by companies that make microchips. Taiwan Semiconductor Manufacturing Company (TSM)\\n$443 billion\\n2.0%\\n20.6%\\n$443 billion\\n2.0%\\n20.6%\\nTaiwan Semiconductor Manufacturing Company could be the biggest tech company you’ve never heard of. NVIDIA Corp (NVDA)\\n$1.1 trillion\\n0.0%\\n62.8%\\n$1.1 trillion\\n0.0%\\n62.8%\\nNVIDIA was founded in 1993 to produce graphic cards for the burgeoning personal computer market. Microsoft Corporation (MSFT)\\n$2.6 trillion\\n0.8%\\n27.3%\\n$2.6 trillion\\n0.8%\\n27.3%\\nMicrosoft\\xa0 was founded in 1975 by Bill Gates and Paul Allen in Albuquerque, N.M.'}, {'url': 'https://disfold.com/united-states/sector/technology/companies/', 'content': 'Unlock Financial AI:\\nStart your Free Trial of\\nDisfold AI, Now!\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n(Dec. 1, 2023)\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n1.\\nApple\\nMarket Cap (USD):\\n$2.866 T\\nStock:\\nAAPL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nConsumer Electronics\\n2.\\nMicrosoft\\nMarket Cap (USD):\\n$2.755 T\\nStock:\\nMSFT\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n3.\\nNvidia\\nMarket Cap (USD):\\n$1.186 T\\nStock:\\nNVDA\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n4.\\nBroadcom\\nMarket Cap (USD):\\n$495.95 B\\nStock:\\nAVGO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n5.\\nOracle\\nMarket Cap (USD):\\n$282.01 B\\nStock:\\nORCL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n6.\\n Marvell Technology, Inc.\\nMarket Cap (USD):\\n$49.61 B\\nStock:\\n9MW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n35.\\nAutodesk, Inc.\\nMarket Cap (USD):\\n$48.97 B\\nStock:\\nADSK\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n36.\\nMicrochip Technology Incorporated\\nMarket Cap (USD):\\n$45.77 B\\nStock:\\nMCHP\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n37.\\nFortinet, Inc.\\nMarket Cap (USD):\\n$44.84 B\\nStock:\\n Analog Devices\\nMarket Cap (USD):\\n$93.79 B\\nStock:\\nADI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n20.\\nMicron Technology, Inc.\\nMarket Cap (USD):\\n$91.30 B\\nStock:\\nMU\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n21.\\nPalo Alto Networks\\nMarket Cap (USD):\\n$90.41 B\\nStock:\\nPANW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n22.\\n Fiserv\\nMarket Cap (USD):\\n$79.73 B\\nStock:\\nFI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nInformation Technology Services\\n23.\\nKLA\\nMarket Cap (USD):\\n$75.13 B\\nStock:\\nKLAC\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductor Equipment & Materials\\n24.\\nSynopsys\\nMarket Cap (USD):\\n$74.64 B\\nStock:\\nSNPS\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n25.\\n Salesforce\\nMarket Cap (USD):\\n$243.78 B\\nStock:\\nCRM\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n8.\\nAMD\\nMarket Cap (USD):\\n$219.72 B\\nStock:\\nAMD\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n9.\\nCisco\\nMarket Cap (USD):\\n$205.21 B\\nStock:\\nCSCO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nCommunication Equipment\\n10.\\n'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I have found that the three tech companies with the highest market cap in the US in 2024 are Microsoft, Apple and NVIDIA. Now, I will search for the number of full-time employees at each of these companies and plot the data.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'Microsoft full time employees 2024'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.statista.com/statistics/273475/number-of-employees-at-the-microsoft-corporation-since-2005/', 'content': 'Digital & Trend reports\\nOverview and forecasts on trending topics\\nIndustry & Market reports\\nIndustry and market insights and forecasts\\nCompanies & Products reports\\nKey figures and rankings about companies and products\\nConsumer & Brand reports\\nConsumer and brand insights and preferences in various industries\\nPolitics & Society reports\\nDetailed information about political and social topics\\nCountry & Region reports\\nAll key figures about countries and regions\\nMarket forecast and expert KPIs for 1000+ markets in 190+ countries & territories\\nInsights on consumer attitudes and behavior worldwide\\nBusiness information on 100m+ public and private companies\\nExplore Company Insights\\nDetailed information for 39,000+ online stores and marketplaces\\nDirectly accessible data for 170 industries from 150+ countries\\nand over 1\\xa0Mio. facts.\\n Other statistics on the topicMicrosoft\\nSoftware\\nMicrosoft\\'s expenditure on research and development 2002-2023\\nSoftware\\nOffice productivity software market share worldwide 2022\\nIT Services\\nCloud infrastructure services market share quarterly worldwide 2017-2022, by vendor\\nSoftware\\nMicrosoft\\'s revenue 2008-2024, by fiscal quarter\\nTo download this statistic in XLS format you need a Statista Account\\nTo download this statistic in PNG format you need a Statista Account\\nTo download this statistic in PDF format you need a Statista Account\\nTo download this statistic in PPT format you need a Statista Account\\nAs a Premium user you get access to the detailed source references and background information about this statistic.\\n Statistics on\\n\"\\nMicrosoft\\n\"\\nOther statistics that may interest you Microsoft\\nOverview\\nCompany financials\\nSegments\\nCompetitors: Software\\nCompetitors: Cloud\\nCompetitors: Devices\\nFurther related statistics\\nFurther Content: You might find this interesting as well\\nStatistics\\nTopics Number of Microsoft employees 2005-2023\\nHow many employees does Microsoft have?\\nNumber of employees at the Microsoft Corporation from 2005 to 2023\\n(in 1,000s)\\nAdditional Information\\nShow sources information\\nShow publisher information\\nUse Ask Statista Research Service\\nJuly 2023\\nWorldwide\\n2005 to 2023\\nMicrosoft\\'s fiscal year ends on June 30.\\n Transforming data into design:\\nStatista Content & Design\\nStrategy and business building for the data-driven economy:\\nIndustry-specific and extensively researched technical data (partially from exclusive partnerships).'}, {'url': 'https://stockanalysis.com/stocks/msft/employees/', 'content': \"MSFT News. 1 day ago - Microsoft Pushes LinkedIn Further Into the Connected Economy - PYMNTS 1 day ago - Softchoice to Advance Generative AI and Security Solutions Through New Agreement With Microsoft - Business Wire 1 day ago - AMD, Samsung, Check Point, Microsoft, and Other Tech Stocks in Focus Today - Barrons 1 day ago - Don't chase the value rebound, tech still has a few surprises up its ...\"}, {'url': 'https://www.macrotrends.net/stocks/charts/MSFT/microsoft/number-of-employees', 'content': 'Interactive chart of Microsoft (MSFT) annual worldwide employee count from 2010 to 2023. Microsoft total number of employees in 2023 was 221,000, a 0% decline from 2022. Microsoft total number of employees in 2022 was 221,000, a 22.1% increase from 2021. Microsoft total number of employees in 2021 was 181,000, a 11.04% increase from 2020.'}, {'url': 'https://www.microsoft.com/investor/reports/ar23/index.html', 'content': 'As of June 30, 2023, we employed approximately 221,000 people on a full-time basis, 120,000 in the U.S. and 101,000 internationally. Of the total employed people, 89,000 were in operations, including manufacturing, distribution, product support, and consulting services; 72,000 were in product research and development; 45,000 were in sales and ...'}, {'url': 'https://www.microsoft.com/en-us/investor/earnings/fy-2024-q2/press-release-webcast', 'content': 'Microsoft Cloud Strength Drives Second Quarter Results. REDMOND, Wash. — January 30, 2024 — Microsoft Corp. today announced the following results for the quarter ended December 31, 2023, as compared to the corresponding period of last fiscal year: · Revenue was $62.0 billion and increased 18% (up 16% in constant currency) · Operating income was $27.0 billion and increased 33%, and ...'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'Apple full time employees 2024'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.macrotrends.net/stocks/charts/AAPL/apple/number-of-employees', 'content': 'Employee Count. Interactive chart of Apple (AAPL) annual worldwide employee count from 2010 to 2023. Apple total number of employees in 2023 was 161,000, a 1.83% decline from 2022. Apple total number of employees in 2022 was 164,000, a 6.49% increase from 2021. Apple total number of employees in 2021 was 154,000, a 4.76% increase from 2020.'}, {'url': 'https://www.businessinsider.com/apple-layoffs-tim-cook-getting-serious-challenges-car-china-iphone-2024-4?op=1', 'content': \"2024-04-05T12:27:33Z An curved arrow pointing right. Share. The ... That's especially so when considering that Apple had about 161,000 full-time employees at the end of its last fiscal year.\"}, {'url': 'https://www.statista.com/statistics/273439/number-of-employees-of-apple-since-2005/', 'content': 'Digital & Trend reports\\nOverview and forecasts on trending topics\\nIndustry & Market reports\\nIndustry and market insights and forecasts\\nCompanies & Products reports\\nKey figures and rankings about companies and products\\nConsumer & Brand reports\\nConsumer and brand insights and preferences in various industries\\nPolitics & Society reports\\nDetailed information about political and social topics\\nCountry & Region reports\\nAll key figures about countries and regions\\nMarket forecast and expert KPIs for 1000+ markets in 190+ countries & territories\\nInsights on consumer attitudes and behavior worldwide\\nBusiness information on 100m+ public and private companies\\nExplore Company Insights\\nDetailed information for 39,000+ online stores and marketplaces\\nDirectly accessible data for 170 industries from 150+ countries\\nand over 1\\xa0Mio. facts.\\n Other statistics on the topicApple\\nConsumer Electronics\\nApple\\'s revenue worldwide 2004-2023\\nConsumer Electronics\\nApple\\'s revenue broken down by geographical region 2012-2023, by quarter\\nTelecommunications\\nQuarterly market share of smartphone vendors in the U.S. 2016-2023\\nConsumer Electronics\\nApple: expenditure on research and development 2007-2023\\nTo download this statistic in XLS format you need a Statista Account\\nTo download this statistic in PNG format you need a Statista Account\\nTo download this statistic in PDF format you need a Statista Account\\nTo download this statistic in PPT format you need a Statista Account\\nAs a Premium user you get access to the detailed source references and background information about this statistic.\\n Statistics on\\n\"\\nApple in the U.S.\\n\"\\nOther statistics that may interest you Apple in the U.S.\\nOverview: Apple\\nOverview: Apple in the U.S.\\nSegment: iPhone\\nSegment: iPad\\nSegment: Mac\\nSegment: Wearables & Smart home\\nFurther related statistics\\nFurther Content: You might find this interesting as well\\nStatistics\\nTopics Number of employees of Apple 2005-2023\\nApple Corporation – additional information\\nApple products\\nApple\\'s number of employees in the fiscal years 2005 to 2023\\n(in 1,000s)\\nAdditional Information\\nShow sources information\\nShow publisher information\\nUse Ask Statista Research Service\\nNovember 2023\\nWorldwide\\n2005 to 2023\\n Transforming data into design:\\nStatista Content & Design\\nStrategy and business building for the data-driven economy:\\nIndustry-specific and extensively researched technical data (partially from exclusive partnerships).'}, {'url': 'https://jobs.apple.com/en-us/details/200542480/fy-24-full-time-opportunity-for-fresh-graduates-general', 'content': \"Shop and Learn\\nServices\\nAccount\\nApple Store\\nFor Business\\nFor Education\\nFor Healthcare\\nFor Government\\nApple Values\\nAbout Apple Summary\\nKey Qualifications\\nDescription\\nEducation & Experience\\nAdditional Requirements\\nAdd a favorite\\nDon’t have an Apple ID?\\nApple Footer\\nApple is an equal opportunity employer that is committed to inclusion and diversity. If you’re applying for a position in San Francisco,review the\\nSan Francisco Fair Chance Ordinance guidelines (opens in a new window)\\napplicable in your area.\\n FY'24 Full Time Opportunity for Fresh Graduates - General\\nAdd a favorite\\nDon’t have an Apple ID?\\n Learn more about your EEO rights as an applicant (Opens in a new window) .\\n\"}, {'url': 'https://jobs.apple.com/en-us/details/200544662/wiml-2024-full-time-opportunities-at-apple', 'content': 'Shop and Learn\\nServices\\nAccount\\nApple Store\\nFor Business\\nFor Education\\nFor Healthcare\\nFor Government\\nApple Values\\nAbout Apple Summary\\nKey Qualifications\\nDescription\\nEducation & Experience\\nAdditional Requirements\\nAdd a favorite\\nDon’t have an Apple ID?\\nApple Footer\\nApple is an equal opportunity employer that is committed to inclusion and diversity. If you’re applying for a position in San Francisco,review the\\nSan Francisco Fair Chance Ordinance guidelines (opens in a new window)\\napplicable in your area.\\n WiML 2024 - Full Time Opportunities at Apple\\nAdd a favorite\\nDon’t have an Apple ID?\\n Learn more about your EEO rights as an applicant (Opens in a new window) .\\n'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'NVIDIA full time employees 2024'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.macrotrends.net/stocks/charts/NVDA/nvidia/number-of-employees', 'content': 'Employee Count. Interactive chart of NVIDIA (NVDA) annual worldwide employee count from 2010 to 2024. NVIDIA total number of employees in 2024 was 29,600, a 12.99% increase from 2023. NVIDIA total number of employees in 2023 was 26,196, a 16.57% increase from 2022. NVIDIA total number of employees in 2022 was 22,473, a 18.43% increase from 2021.'}, {'url': 'https://www.statista.com/statistics/1369575/nvidia-number-of-employees-by-region/', 'content': 'Digital & Trend reports\\nOverview and forecasts on trending topics\\nIndustry & Market reports\\nIndustry and market insights and forecasts\\nCompanies & Products reports\\nKey figures and rankings about companies and products\\nConsumer & Brand reports\\nConsumer and brand insights and preferences in various industries\\nPolitics & Society reports\\nDetailed information about political and social topics\\nCountry & Region reports\\nAll key figures about countries and regions\\nMarket forecast and expert KPIs for 1000+ markets in 190+ countries & territories\\nInsights on consumer attitudes and behavior worldwide\\nBusiness information on 100m+ public and private companies\\nExplore Company Insights\\nDetailed information for 39,000+ online stores and marketplaces\\nDirectly accessible data for 170 industries from 150+ countries\\nand over 1\\xa0Mio. facts.\\n Other statistics on the topicNvidia\\nHardware\\nSemiconductor market revenue worldwide 1987-2024\\nHardware\\nLeading semiconductor companies worldwide 2024, by market cap\\nHardware\\nSemiconductor companies market revenue share worldwide 2008-2023\\nHardware\\nSemiconductor market revenue growth worldwide 1988-2024\\nTo download this statistic in XLS format you need a Statista Account\\nTo download this statistic in PNG format you need a Statista Account\\nTo download this statistic in PDF format you need a Statista Account\\nTo download this statistic in PPT format you need a Statista Account\\nAs a Premium user you get access to the detailed source references and background information about this statistic.\\n Nvidia number of employees 2023, by region\\nNvidia number of employees in 2023, by region\\nAdditional Information\\nShow sources information\\nShow publisher information\\nUse Ask Statista Research Service\\nJuly 2023\\nWorldwide\\n2023\\nIncludes full-time and part-time employees.\\n Statistics on\\n\"\\nNvidia\\n\"\\nOther statistics that may interest you Nvidia\\nOverview\\nFinancials\\nSegments\\nESG\\nCompetitors\\nFurther Content: You might find this interesting as well\\nTopics Transforming data into design:\\nStatista Content & Design\\nStrategy and business building for the data-driven economy:\\nIndustry-specific and extensively researched technical data (partially from exclusive partnerships).'}, {'url': 'https://finance.yahoo.com/news/nvidia-announces-financial-results-fourth-212000202.html', 'content': \"Proceeds related to employee stock plans\\n-\\n5\\n403\\n355\\nPayments related to repurchases of common stock\\n(2,660\\n)\\n(1,212\\n)\\n(9,533\\n)\\n(10,039\\n)\\nPayments related to tax on restricted stock units\\n(841\\n)\\n(344\\n)\\n(2,783\\n)\\n(1,475\\n)\\nRepayment of debt\\n-\\n-\\n(1,250\\n)\\n-\\nDividends paid\\n(99\\n)\\n(98\\n)\\n(395\\n)\\n(398\\n)\\nPrincipal payments on property and equipment and intangible assets\\n(29\\n)\\n(4\\n)\\n(74\\n)\\n(58\\n)\\nOther\\n-\\n(3\\n)\\n(1\\n)\\n(2\\n)\\nNet cash used in financing activities\\n(3,629\\n)\\n(1,656\\n)\\n(13,633\\n)\\n(11,617\\n)\\nChange in cash and cash equivalents\\n1,761\\n589\\n3,891\\n1,399\\nCash and cash equivalents at beginning of period\\n5,519\\n2,800\\n3,389\\n1,990\\nCash and cash equivalents at end of period\\n$\\n7,280\\n$\\n3,389\\n$\\n7,280\\n$\\n3,389\\nSupplemental disclosures of cash flow information:\\nCash paid for income taxes, net\\n$\\n1,874\\n$\\n32\\n$\\n6,549\\n$\\n1,404\\nCash paid for interest\\n$\\n26\\n$\\n28\\n$\\n252\\n$\\n254\\nNVIDIA CORPORATION\\nRECONCILIATION OF GAAP TO NON-GAAP FINANCIAL MEASURES\\n(In millions, except per share data)\\n(Unaudited)\\nThree Months Ended\\nTwelve Months Ended\\nJanuary 28,\\nOctober 29,\\nJanuary 29,\\nJanuary 28,\\nJanuary 29,\\n2024\\n2023\\n2023\\n2024\\n2023\\nGAAP gross profit\\n$\\n16,791\\n$\\n13,400\\n$\\n3,833\\n$\\n44,301\\n$\\n15,356\\nGAAP gross margin\\n76.0\\n%\\n74.0\\n%\\n63.3\\n%\\n72.7\\n%\\n56.9\\n%\\nAcquisition-related and other costs (A)\\n119\\n119\\n120\\n477\\n455\\nStock-based compensation expense (B)\\n45\\n38\\n30\\n141\\n138\\nIP-related costs\\n4\\n26\\n16\\n40\\n16\\nNon-GAAP gross profit\\n$\\n16,959\\n$\\n13,583\\n$\\n3,999\\n$\\n44,959\\n$\\n15,965\\nNon-GAAP gross margin\\n76.7\\n%\\n75.0\\n%\\n66.1\\n%\\n73.8\\n%\\n59.2\\n%\\nGAAP operating expenses\\n$\\n3,176\\n$\\n2,983\\n$\\n2,576\\n$\\n11,329\\n$\\n11,132\\nStock-based compensation expense (B)\\n(948\\n)\\n(941\\n)\\n(709\\n)\\n(3,408\\n)\\n(2,572\\n)\\nAcquisition-related and other costs (A)\\n(18\\n)\\n(16\\n)\\n(54\\n)\\n(106\\n)\\n(219\\n)\\nAcquisition termination cost\\n-\\n-\\n-\\n-\\n(1,353\\n)\\nOther (C)\\n-\\n-\\n(38\\n)\\n10\\n(63\\n)\\nNon-GAAP operating expenses\\n$\\n2,210\\n$\\n2,026\\n$\\n1,775\\n$\\n7,825\\n$\\n6,925\\nGAAP operating income\\n$\\n13,615\\n$\\n10,417\\n$\\n1,257\\n$\\n32,972\\n$\\n4,224\\nTotal impact of non-GAAP adjustments to operating income\\n1,134\\n1,140\\n967\\n4,162\\n4,816\\nNon-GAAP operating income\\n$\\n14,749\\n$\\n11,557\\n$\\n2,224\\n$\\n37,134\\n$\\n9,040\\nGAAP other income (expense), net\\n$\\n491\\n$\\n105\\n$\\n32\\n$\\n846\\n$\\n(43\\n)\\n(Gains) losses from non-affiliated investments\\n(260\\n)\\n69\\n10\\n(238\\n)\\n45\\nInterest expense related to amortization of debt discount\\n1\\n1\\n1\\n4\\n5\\nNon-GAAP other income (expense), net\\n$\\n232\\n$\\n175\\n$\\n43\\n$\\n612\\n$\\n7\\nGAAP net income\\n$\\n12,285\\n$\\n9,243\\n$\\n1,414\\n$\\n29,760\\n$\\n4,368\\nTotal pre-tax impact of non-GAAP adjustments\\n875\\n1,210\\n978\\n3,928\\n4,865\\nIncome tax impact of non-GAAP adjustments (D)\\n(321\\n)\\n(433\\n)\\n(218\\n)\\n(1,376\\n)\\n(867\\n)\\n Other, net\\n260\\n(18\\n)\\n237\\n(48\\n)\\nOther income (expense), net\\n491\\n32\\n846\\n(43\\n)\\nIncome before income tax\\n14,106\\n1,289\\n33,818\\n4,181\\nIncome tax expense (benefit)\\n1,821\\n(125\\n)\\n4,058\\n(187\\n)\\nNet income\\n$\\n12,285\\n$\\n1,414\\n$\\n29,760\\n$\\n4,368\\nNet income per share:\\nBasic\\n$\\n4.98\\n$\\n0.57\\n$\\n12.05\\n$\\n1.76\\nDiluted\\n$\\n4.93\\n$\\n0.57\\n$\\n11.93\\n$\\n1.74\\nWeighted average shares used in per share computation:\\nBasic\\n2,466\\n2,464\\n2,469\\n2,487\\nDiluted\\n2,490\\n2,477\\n2,494\\n2,507\\nNVIDIA CORPORATION\\nCONDENSED CONSOLIDATED BALANCE SHEETS\\n(In millions)\\n(Unaudited)\\nJanuary 28,\\nJanuary 29,\\n2024\\n2023\\nASSETS\\nCurrent assets:\\nCash, cash equivalents and marketable securities\\n$\\n25,984\\n$\\n13,296\\nAccounts receivable, net\\n9,999\\n3,827\\nInventories\\n5,282\\n5,159\\nPrepaid expenses and other current assets\\n3,080\\n791\\nTotal current assets\\n44,345\\n23,073\\nProperty and equipment, net\\n3,914\\n3,807\\nOperating lease assets\\n1,346\\n1,038\\nGoodwill\\n4,430\\n4,372\\nIntangible assets, net\\n1,112\\n1,676\\nDeferred income tax assets\\n6,081\\n3,396\\nOther assets\\n4,500\\n3,820\\nTotal assets\\n$\\n65,728\\n$\\n41,182\\nLIABILITIES AND SHAREHOLDERS' EQUITY\\nCurrent liabilities:\\nAccounts payable\\n$\\n2,699\\n$\\n1,193\\nAccrued and other current liabilities\\n6,682\\n4,120\\nShort-term debt\\n1,250\\n1,250\\nTotal current liabilities\\n10,631\\n6,563\\nLong-term debt\\n8,459\\n9,703\\nLong-term operating lease liabilities\\n1,119\\n902\\nOther long-term liabilities\\n2,541\\n1,913\\nTotal liabilities\\n22,750\\n19,081\\nShareholders' equity\\n42,978\\n22,101\\nTotal liabilities and shareholders' equity\\n$\\n65,728\\n$\\n41,182\\nNVIDIA CORPORATION\\nCONDENSED CONSOLIDATED STATEMENTS OF CASH FLOWS\\n(In millions)\\n(Unaudited)\\nThree Months Ended\\nTwelve Months Ended\\nJanuary 28,\\nJanuary 29,\\nJanuary 28,\\nJanuary 29,\\n2024\\n2023\\n2024\\n2023\\nCash flows from operating activities:\\nNet income\\n$\\n12,285\\n$\\n1,414\\n$\\n29,760\\n$\\n4,368\\nAdjustments to reconcile net income to net cash\\nprovided by operating activities:\\nStock-based compensation expense\\n993\\n738\\n3,549\\n2,709\\nDepreciation and amortization\\n387\\n426\\n1,508\\n1,544\\nDeferred income taxes\\n(78\\n)\\n(647\\n)\\n(2,489\\n)\\n(2,164\\n)\\n(Gains) losses on investments in non-affiliated entities, net\\n(260\\n)\\n10\\n(238\\n)\\n45\\nAcquisition termination cost\\n-\\n-\\n-\\n1,353\\nOther\\n(109\\n)\\n20\\n(278\\n)\\n(7\\n)\\nChanges in operating assets and liabilities, net of acquisitions:\\n Q4 Fiscal 2024 Summary\\nGAAP\\n($ in millions, except earnings per share)\\nQ4 FY24\\nQ3 FY24\\nQ4 FY23\\nQ/Q\\nY/Y\\nRevenue\\n$22,103\\n$18,120\\n$6,051\\nUp 22%\\nUp 265%\\nGross margin\\n76.0%\\n74.0%\\n63.3%\\nUp 2.0 pts\\nUp 12.7 pts\\nOperating expenses\\n$3,176\\n$2,983\\n$2,576\\nUp 6%\\nUp 23%\\nOperating income\\n$13,615\\n$10,417\\n$1,257\\nUp 31%\\nUp 983%\\nNet income\\n$12,285\\n$9,243\\n$1,414\\nUp 33%\\nUp 769%\\nDiluted earnings per share\\n$4.93\\n$3.71\\n$0.57\\nUp 33%\\nUp 765%\\nNon-GAAP\\n($ in millions, except earnings per share)\\nQ4 FY24\\nQ3 FY24\\nQ4 FY23\\nQ/Q\\nY/Y\\nRevenue\\n$22,103\\n$18,120\\n$6,051\\nUp 22%\\nUp 265%\\nGross margin\\n76.7%\\n75.0%\\n66.1%\\nUp 1.7 pts\\nUp 10.6 pts\\nOperating expenses\\n$2,210\\n$2,026\\n$1,775\\nUp 9%\\nUp 25%\\nOperating income\\n$14,749\\n$11,557\\n$2,224\\nUp 28%\\nUp 563%\\nNet income\\n$12,839\\n$10,020\\n$2,174\\nUp 28%\\nUp 491%\\nDiluted earnings per share\\n$5.16\\n$4.02\\n$0.88\\nUp 28%\\nUp 486%\\nFiscal 2024 Summary\\nGAAP\\n($ in millions, except earnings per share)\\n 2024\\n2023\\n2023\\n2024\\n2023\\nCost of revenue\\n$\\n119\\n$\\n119\\n$\\n120\\n$\\n477\\n$\\n455\\nResearch and development\\n$\\n12\\n$\\n12\\n$\\n10\\n$\\n49\\n$\\n39\\nSales, general and administrative\\n$\\n6\\n$\\n4\\n$\\n44\\n$\\n57\\n$\\n180\\n(B) Stock-based compensation consists of the following:\\nThree Months Ended\\nTwelve Months Ended\\nJanuary 28,\\nOctober 29,\\nJanuary 29,\\nJanuary 28,\\nJanuary 29,\\n2024\\n2023\\n2023\\n2024\\n2023\\nCost of revenue\\n$\\n45\\n$\\n38\\n$\\n30\\n$\\n141\\n$\\n138\\nResearch and development\\n$\\n706\\n$\\n701\\n$\\n527\\n$\\n2,532\\n$\\n1,892\\nSales, general and administrative\\n NVIDIA CORPORATION\\nCONDENSED CONSOLIDATED STATEMENTS OF INCOME\\n(In millions, except per share data)\\n(Unaudited)\\nThree Months Ended\\nTwelve Months Ended\\nJanuary 28,\\nJanuary 29,\\nJanuary 28,\\nJanuary 29,\\n2024\\n2023\\n2024\\n2023\\nRevenue\\n$\\n22,103\\n$\\n6,051\\n$\\n60,922\\n$\\n26,974\\nCost of revenue\\n5,312\\n2,218\\n16,621\\n11,618\\nGross profit\\n16,791\\n3,833\\n44,301\\n15,356\\nOperating expenses\\nResearch and development\\n2,465\\n1,951\\n8,675\\n7,339\\nSales, general and administrative\\n711\\n625\\n2,654\\n2,440\\nAcquisition termination cost\\n-\\n-\\n-\\n1,353\\nTotal operating expenses\\n3,176\\n2,576\\n11,329\\n11,132\\nOperating Income\\n13,615\\n1,257\\n32,972\\n4,224\\nInterest income\\n294\\n115\\n866\\n267\\nInterest expense\\n(63\\n)\\n(65\\n)\\n(257\\n)\\n(262\\n)\\n\"}, {'url': 'https://stockanalysis.com/stocks/nvda/employees/', 'content': 'NVIDIA had 29,600 employees on January 28, 2024. The number of employees increased by 3,404 or 12.99% compared to the previous year. Employees 29,600. Change (1Y) 3,404. ... Real-time quotes provided by IEX Cloud. Other market data may be delayed by 15 minutes or more. ...'}, {'url': 'https://investor.nvidia.com/news/press-release-details/2024/NVIDIA-Announces-Financial-Results-for-Fourth-Quarter-and-Fiscal-2024/?ref=robotradingnet.ghost.io', 'content': 'SANTA CLARA, Calif., Feb. 21, 2024 (GLOBE NEWSWIRE) -- NVIDIA (NASDAQ: NVDA) today reported revenue for the fourth quarter ended January 28, 2024, of $22.1 billion, up 22% from the previous quarter and up 265% from a year ago. For the quarter, GAAP earnings per diluted share was $4.93, up 33% from the previous quarter and up 765% from a year ...'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I have found the following data on the number of full-time employees at each company: \n", - "Microsoft: 221,000\n", - "Apple: 161,000\n", - "NVIDIA: 29,600\n", - "Now, I will write and execute Python code to plot this data.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import matplotlib.pyplot as plt\\n\\n# Data on the number of full-time employees at each company\\ncompanies = [\\n \"Microsoft\", \"Apple\", \"NVIDIA\"\\n]\\nfull_time_employees = [221000, 161000, 29600]\\n\\n# Plot the data\\nplt.bar(companies, full_time_employees)\\nplt.xlabel(\"Company\")\\nplt.ylabel(\"Number of Full Time Employees\")\\nplt.xticks(rotation=45)\\nplt.tight_layout()\\nplt.savefig(\"tech_companies_market_cap_employees.png\")'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0,1,3,4,5,7,8,9,10,11,12,15,18,20\n", - "Cited Documents: 1,3,4,7,8,10,11,15,18,20\n", - "Answer: Here is a plot showing the number of full-time employees at the three US tech companies with the highest market cap in 2024:\n", - "![Number of Full Time Employees]('tech_companies_market_cap_employees.png')\n", - "\n", - "The companies with the highest number of full-time employees are Microsoft with 221,000, Apple with 161,000 and NVIDIA with 29,600.\n", - "Grounded answer: Here is a plot showing the number of full-time employees at the three US tech companies with the highest market cap in 2024:\n", - "! [Number of Full Time Employees]('tech_companies_market_cap_employees.png')\n", - "\n", - "The companies with the highest number of full-time employees are Microsoft with 221,000, Apple with 161,000 and NVIDIA with 29,600.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'Create a plot of the number of full time employees at the 3 tech companies with the highest market cap in the United States in 2024.',\n", - " 'output': \"Here is a plot showing the number of full-time employees at the three US tech companies with the highest market cap in 2024:\\n! [Number of Full Time Employees]('tech_companies_market_cap_employees.png')\\n\\nThe companies with the highest number of full-time employees are Microsoft with 221,000, Apple with 161,000 and NVIDIA with 29,600.\",\n", - " 'citations': [CohereCitation(start=125, end=201, text=\"! [Number of Full Time Employees]('tech_companies_market_cap_employees.png')\", documents=[{'output': ''}]),\n", - " CohereCitation(start=268, end=277, text='Microsoft', documents=[{'url': 'https://www.financecharts.com/screener/biggest-country-us', 'content': 'Biggest Companies in the US by Market Cap for Apr 2024. The most valuable company in the US is Microsoft (MSFT) with a market cap of $3.159T, followed by Apple (AAPL) and NVIDIA (NVDA). Last updated Apr 05, 2024.'}, {'url': 'https://disfold.com/united-states/sector/technology/companies/', 'content': 'Unlock Financial AI:\\nStart your Free Trial of\\nDisfold AI, Now!\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n(Dec. 1, 2023)\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n1.\\nApple\\nMarket Cap (USD):\\n$2.866 T\\nStock:\\nAAPL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nConsumer Electronics\\n2.\\nMicrosoft\\nMarket Cap (USD):\\n$2.755 T\\nStock:\\nMSFT\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n3.\\nNvidia\\nMarket Cap (USD):\\n$1.186 T\\nStock:\\nNVDA\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n4.\\nBroadcom\\nMarket Cap (USD):\\n$495.95 B\\nStock:\\nAVGO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n5.\\nOracle\\nMarket Cap (USD):\\n$282.01 B\\nStock:\\nORCL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n6.\\n Marvell Technology, Inc.\\nMarket Cap (USD):\\n$49.61 B\\nStock:\\n9MW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n35.\\nAutodesk, Inc.\\nMarket Cap (USD):\\n$48.97 B\\nStock:\\nADSK\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n36.\\nMicrochip Technology Incorporated\\nMarket Cap (USD):\\n$45.77 B\\nStock:\\nMCHP\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n37.\\nFortinet, Inc.\\nMarket Cap (USD):\\n$44.84 B\\nStock:\\n Analog Devices\\nMarket Cap (USD):\\n$93.79 B\\nStock:\\nADI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n20.\\nMicron Technology, Inc.\\nMarket Cap (USD):\\n$91.30 B\\nStock:\\nMU\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n21.\\nPalo Alto Networks\\nMarket Cap (USD):\\n$90.41 B\\nStock:\\nPANW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n22.\\n Fiserv\\nMarket Cap (USD):\\n$79.73 B\\nStock:\\nFI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nInformation Technology Services\\n23.\\nKLA\\nMarket Cap (USD):\\n$75.13 B\\nStock:\\nKLAC\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductor Equipment & Materials\\n24.\\nSynopsys\\nMarket Cap (USD):\\n$74.64 B\\nStock:\\nSNPS\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n25.\\n Salesforce\\nMarket Cap (USD):\\n$243.78 B\\nStock:\\nCRM\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n8.\\nAMD\\nMarket Cap (USD):\\n$219.72 B\\nStock:\\nAMD\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n9.\\nCisco\\nMarket Cap (USD):\\n$205.21 B\\nStock:\\nCSCO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nCommunication Equipment\\n10.\\n'}]),\n", - " CohereCitation(start=283, end=290, text='221,000', documents=[{'url': 'https://www.microsoft.com/investor/reports/ar23/index.html', 'content': 'As of June 30, 2023, we employed approximately 221,000 people on a full-time basis, 120,000 in the U.S. and 101,000 internationally. Of the total employed people, 89,000 were in operations, including manufacturing, distribution, product support, and consulting services; 72,000 were in product research and development; 45,000 were in sales and ...'}, {'url': 'https://www.macrotrends.net/stocks/charts/MSFT/microsoft/number-of-employees', 'content': 'Interactive chart of Microsoft (MSFT) annual worldwide employee count from 2010 to 2023. Microsoft total number of employees in 2023 was 221,000, a 0% decline from 2022. Microsoft total number of employees in 2022 was 221,000, a 22.1% increase from 2021. Microsoft total number of employees in 2021 was 181,000, a 11.04% increase from 2020.'}]),\n", - " CohereCitation(start=292, end=297, text='Apple', documents=[{'url': 'https://www.financecharts.com/screener/biggest-country-us', 'content': 'Biggest Companies in the US by Market Cap for Apr 2024. The most valuable company in the US is Microsoft (MSFT) with a market cap of $3.159T, followed by Apple (AAPL) and NVIDIA (NVDA). Last updated Apr 05, 2024.'}, {'url': 'https://www.forbes.com/advisor/investing/best-tech-stocks/', 'content': 'eToro\\nThe Best Tech Stocks of November 2023\\nApple Inc. (AAPL)\\n$2.8 trillion\\n0.5%\\n27.1%\\n$2.8 trillion\\n0.5%\\n27.1%\\nApple\\xa0 was founded in Los Altos, Calif., by Steve Jobs and Steve Wozniak in 1976. ASML Holding NV (ASML)\\n$248 billion\\n1.0%\\n22.8%\\n$248 billion\\n1.0%\\n22.8%\\nASML is a Netherlands-based company that designs and manufactures the machinery used by companies that make microchips. Taiwan Semiconductor Manufacturing Company (TSM)\\n$443 billion\\n2.0%\\n20.6%\\n$443 billion\\n2.0%\\n20.6%\\nTaiwan Semiconductor Manufacturing Company could be the biggest tech company you’ve never heard of. NVIDIA Corp (NVDA)\\n$1.1 trillion\\n0.0%\\n62.8%\\n$1.1 trillion\\n0.0%\\n62.8%\\nNVIDIA was founded in 1993 to produce graphic cards for the burgeoning personal computer market. Microsoft Corporation (MSFT)\\n$2.6 trillion\\n0.8%\\n27.3%\\n$2.6 trillion\\n0.8%\\n27.3%\\nMicrosoft\\xa0 was founded in 1975 by Bill Gates and Paul Allen in Albuquerque, N.M.'}, {'url': 'https://disfold.com/united-states/sector/technology/companies/', 'content': 'Unlock Financial AI:\\nStart your Free Trial of\\nDisfold AI, Now!\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n(Dec. 1, 2023)\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n1.\\nApple\\nMarket Cap (USD):\\n$2.866 T\\nStock:\\nAAPL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nConsumer Electronics\\n2.\\nMicrosoft\\nMarket Cap (USD):\\n$2.755 T\\nStock:\\nMSFT\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n3.\\nNvidia\\nMarket Cap (USD):\\n$1.186 T\\nStock:\\nNVDA\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n4.\\nBroadcom\\nMarket Cap (USD):\\n$495.95 B\\nStock:\\nAVGO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n5.\\nOracle\\nMarket Cap (USD):\\n$282.01 B\\nStock:\\nORCL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n6.\\n Marvell Technology, Inc.\\nMarket Cap (USD):\\n$49.61 B\\nStock:\\n9MW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n35.\\nAutodesk, Inc.\\nMarket Cap (USD):\\n$48.97 B\\nStock:\\nADSK\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n36.\\nMicrochip Technology Incorporated\\nMarket Cap (USD):\\n$45.77 B\\nStock:\\nMCHP\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n37.\\nFortinet, Inc.\\nMarket Cap (USD):\\n$44.84 B\\nStock:\\n Analog Devices\\nMarket Cap (USD):\\n$93.79 B\\nStock:\\nADI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n20.\\nMicron Technology, Inc.\\nMarket Cap (USD):\\n$91.30 B\\nStock:\\nMU\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n21.\\nPalo Alto Networks\\nMarket Cap (USD):\\n$90.41 B\\nStock:\\nPANW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n22.\\n Fiserv\\nMarket Cap (USD):\\n$79.73 B\\nStock:\\nFI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nInformation Technology Services\\n23.\\nKLA\\nMarket Cap (USD):\\n$75.13 B\\nStock:\\nKLAC\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductor Equipment & Materials\\n24.\\nSynopsys\\nMarket Cap (USD):\\n$74.64 B\\nStock:\\nSNPS\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n25.\\n Salesforce\\nMarket Cap (USD):\\n$243.78 B\\nStock:\\nCRM\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n8.\\nAMD\\nMarket Cap (USD):\\n$219.72 B\\nStock:\\nAMD\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n9.\\nCisco\\nMarket Cap (USD):\\n$205.21 B\\nStock:\\nCSCO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nCommunication Equipment\\n10.\\n'}]),\n", - " CohereCitation(start=303, end=310, text='161,000', documents=[{'url': 'https://www.macrotrends.net/stocks/charts/AAPL/apple/number-of-employees', 'content': 'Employee Count. Interactive chart of Apple (AAPL) annual worldwide employee count from 2010 to 2023. Apple total number of employees in 2023 was 161,000, a 1.83% decline from 2022. Apple total number of employees in 2022 was 164,000, a 6.49% increase from 2021. Apple total number of employees in 2021 was 154,000, a 4.76% increase from 2020.'}, {'url': 'https://www.businessinsider.com/apple-layoffs-tim-cook-getting-serious-challenges-car-china-iphone-2024-4?op=1', 'content': \"2024-04-05T12:27:33Z An curved arrow pointing right. Share. The ... That's especially so when considering that Apple had about 161,000 full-time employees at the end of its last fiscal year.\"}]),\n", - " CohereCitation(start=315, end=321, text='NVIDIA', documents=[{'url': 'https://www.financecharts.com/screener/biggest-country-us', 'content': 'Biggest Companies in the US by Market Cap for Apr 2024. The most valuable company in the US is Microsoft (MSFT) with a market cap of $3.159T, followed by Apple (AAPL) and NVIDIA (NVDA). Last updated Apr 05, 2024.'}, {'url': 'https://disfold.com/united-states/sector/technology/companies/', 'content': 'Unlock Financial AI:\\nStart your Free Trial of\\nDisfold AI, Now!\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n(Dec. 1, 2023)\\nTop Technology Companies from the United States as of Jan. 01, 2024\\n1.\\nApple\\nMarket Cap (USD):\\n$2.866 T\\nStock:\\nAAPL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nConsumer Electronics\\n2.\\nMicrosoft\\nMarket Cap (USD):\\n$2.755 T\\nStock:\\nMSFT\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n3.\\nNvidia\\nMarket Cap (USD):\\n$1.186 T\\nStock:\\nNVDA\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n4.\\nBroadcom\\nMarket Cap (USD):\\n$495.95 B\\nStock:\\nAVGO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n5.\\nOracle\\nMarket Cap (USD):\\n$282.01 B\\nStock:\\nORCL\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n6.\\n Marvell Technology, Inc.\\nMarket Cap (USD):\\n$49.61 B\\nStock:\\n9MW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n35.\\nAutodesk, Inc.\\nMarket Cap (USD):\\n$48.97 B\\nStock:\\nADSK\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n36.\\nMicrochip Technology Incorporated\\nMarket Cap (USD):\\n$45.77 B\\nStock:\\nMCHP\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n37.\\nFortinet, Inc.\\nMarket Cap (USD):\\n$44.84 B\\nStock:\\n Analog Devices\\nMarket Cap (USD):\\n$93.79 B\\nStock:\\nADI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n20.\\nMicron Technology, Inc.\\nMarket Cap (USD):\\n$91.30 B\\nStock:\\nMU\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n21.\\nPalo Alto Networks\\nMarket Cap (USD):\\n$90.41 B\\nStock:\\nPANW\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n22.\\n Fiserv\\nMarket Cap (USD):\\n$79.73 B\\nStock:\\nFI\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nInformation Technology Services\\n23.\\nKLA\\nMarket Cap (USD):\\n$75.13 B\\nStock:\\nKLAC\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductor Equipment & Materials\\n24.\\nSynopsys\\nMarket Cap (USD):\\n$74.64 B\\nStock:\\nSNPS\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Infrastructure\\n25.\\n Salesforce\\nMarket Cap (USD):\\n$243.78 B\\nStock:\\nCRM\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSoftware—Application\\n8.\\nAMD\\nMarket Cap (USD):\\n$219.72 B\\nStock:\\nAMD\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nSemiconductors\\n9.\\nCisco\\nMarket Cap (USD):\\n$205.21 B\\nStock:\\nCSCO\\nwb_incandescent\\nCountry:\\nUnited States\\nSector:\\nTechnology\\nIndustry:\\nCommunication Equipment\\n10.\\n'}]),\n", - " CohereCitation(start=327, end=333, text='29,600', documents=[{'url': 'https://stockanalysis.com/stocks/nvda/employees/', 'content': 'NVIDIA had 29,600 employees on January 28, 2024. The number of employees increased by 3,404 or 12.99% compared to the previous year. Employees 29,600. Change (1Y) 3,404. ... Real-time quotes provided by IEX Cloud. Other market data may be delayed by 15 minutes or more. ...'}, {'url': 'https://www.macrotrends.net/stocks/charts/NVDA/nvidia/number-of-employees', 'content': 'Employee Count. Interactive chart of NVIDIA (NVDA) annual worldwide employee count from 2010 to 2024. NVIDIA total number of employees in 2024 was 29,600, a 12.99% increase from 2023. NVIDIA total number of employees in 2023 was 26,196, a 16.57% increase from 2022. NVIDIA total number of employees in 2022 was 22,473, a 18.43% increase from 2021.'}])]}" - ] - }, - "execution_count": 15, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": \"Create a plot of the number of full time employees at the 3 tech companies with the highest market cap in the United States in 2024.\",\n", - "})" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "FmEhDo-GGA_d" - }, - "outputs": [], - "source": [ - "# notice that the model did websearch calls, then used python to do some analysis\n", - "# the model can even self-debug itself if the python code was wrong" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MgSuSpuBzof-" - }, - "source": [ - "# Let's ask another question to the data analyst -- which requires a direct answer" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "AW_8C7mszsVE", - "outputId": "5a0d58d1-b589-403c-c071-0a76069ea113" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3mPlan: I will respond to the user's greeting.\n", - "Action: ```json\n", - "[\n", - " {\n", - " \"tool_name\": \"directly_answer\",\n", - " \"parameters\": {}\n", - " }\n", - "]\n", - "```\n", - "Relevant Documents: None\n", - "Cited Documents: None\n", - "Answer: Hey, I'm doing well, thank you for asking! How can I help you today?\n", - "Grounded answer: Hey, I'm doing well, thank you for asking! How can I help you today?\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'Hey how are you?',\n", - " 'output': \"Hey, I'm doing well, thank you for asking! How can I help you today?\",\n", - " 'citations': []}" - ] - }, - "execution_count": 16, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": \"Hey how are you?\",\n", - "})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wZBaxCYeG4Xf" - }, - "source": [ - "This is a simple example to get you start. There [are many tools](https://python.langchain.com/docs/integrations/tools/) you can equip your agent with. Once youre comfortable with these ideas, you can also proceed to define your tools (see [Multi-step tool use in Action](https://docs.cohere.com/docs/multi-step-tool-use#multi-step-tool-use-in-action)).\n" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.13" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/Multi_Step_Tool_Use.ipynb b/notebooks/agents/Multi_Step_Tool_Use.ipynb index 01454c4c..c2c1dfec 100644 --- a/notebooks/agents/Multi_Step_Tool_Use.ipynb +++ b/notebooks/agents/Multi_Step_Tool_Use.ipynb @@ -1,515 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "EUYMLmXAF3W1" - }, - "source": [ - "# A ReAct agent with Command R+, achieving the same goals as Adaptive RAG | Add the custom tools\n", - "\n", - "Adaptive RAG is a strategy for RAG that unites (1) [query analysis](https://blog.langchain.dev/query-construction/) with (2) [active / self-corrective RAG](https://blog.langchain.dev/agentic-rag-with-langgraph/).\n", - "\n", - "In the paper, they report query analysis to route across:\n", - "- No Retrieval (LLM answers)\n", - "- Single-shot RAG\n", - "- Iterative RAG\n", - "\n", - "\n", - "We'll use Command R+, a recent release from Cohere that:\n", - "- Has strong accuracy on RAG, Tool Use and Agents\n", - "- Has 128k context\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "W8yvOB2MQp07" - }, - "source": [ - "# Environment" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Multi_Step_Tool_Use.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "ujQVUvA9QlD4", - "outputId": "230c6a56-3ee3-4e0d-95af-2a261b8541d6" - }, - "outputs": [], - "source": [ - "%pip install --quiet langchain langchain_cohere tiktoken faiss-cpu beautifulsoup4 langchain_experimental matplotlib tabulate python-dotenv" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Save your credentials in a .env file in your user root directory, so that you can retrieve securely" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "from dotenv import load_dotenv\n", - "load_dotenv()\n", - "\n", - "COHERE_API_KEY = os.environ.get(\"COHERE_API_KEY\")\n", - "TAVILY_API_KEY = os.environ.get(\"TAVILY_API_KEY\") # Get your Free API key at https://app.tavily.com once you sign up\n", - "FMP_API_KEY = os.environ.get(\"FMP_API_KEY\") # Get your Free API key https://site.financialmodelingprep.com/ once you sign up" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "k3mrKxSsTfXO" - }, - "source": [ - "# Create tools\n", - "The ReAct agent will be equipped with these tools. The model can pick between\n", - "- web search\n", - "- RAG: retrieval from a vector store\n", - "- custom tool (call an external API)\n", - "- directly answering\n", - "\n", - "The model can use any of these tools, in any sequence of steps, and self-reflect." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4kGUB4IRF3W5" - }, - "source": [ - "### Web search tool" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hYsJgVY0F3W5" - }, - "outputs": [], - "source": [ - "from langchain_community.tools.tavily_search import TavilySearchResults\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "\n", - "internet_search = TavilySearchResults()\n", - "internet_search.name = \"internet_search\"\n", - "internet_search.description = \"Returns a list of relevant document snippets for a textual query retrieved from the internet.\"\n", - "\n", - "\n", - "class TavilySearchInput(BaseModel):\n", - " query: str = Field(description=\"Query to search the internet with\")\n", - "\n", - "\n", - "internet_search.args_schema = TavilySearchInput" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Python interpreter tool" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "from langchain.agents import Tool\n", - "from langchain_experimental.utilities import PythonREPL\n", - "\n", - "python_repl = PythonREPL()\n", - "repl_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "repl_tool.name = \"python_interpreter\"\n", - "\n", - "# from langchain_core.pydantic_v1 import BaseModel, Field\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "repl_tool.args_schema = ToolInput" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NxDa_Em0tuas" - }, - "source": [ - "### RAG tool" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "0QyahrNPtwEi" - }, - "outputs": [], - "source": [ - "from langchain.text_splitter import RecursiveCharacterTextSplitter\n", - "from langchain_cohere import CohereEmbeddings\n", - "from langchain_community.document_loaders import WebBaseLoader\n", - "from langchain_community.vectorstores import FAISS\n", - "\n", - "# Set embeddings\n", - "embd = CohereEmbeddings()\n", - "\n", - "# Docs to index\n", - "urls = [\n", - " \"https://www.mayerbrown.com/en/insights/publications/2023/03/new-data-standards-pending-for-federally-regulated-financial-entities\",\n", - " \"https://plaid.com/resources/open-finance/what-is-fdx/\",\n", - " \"https://www.egnyte.com/guides/financial-services/financial-data-compliance\",\n", - "]\n", - "\n", - "# Load\n", - "docs = [WebBaseLoader(url).load() for url in urls]\n", - "docs_list = [item for sublist in docs for item in sublist]\n", - "\n", - "# Split\n", - "text_splitter = RecursiveCharacterTextSplitter.from_tiktoken_encoder(\n", - " chunk_size=512, chunk_overlap=0\n", - ")\n", - "doc_splits = text_splitter.split_documents(docs_list)\n", - "\n", - "# Add to vectorstore\n", - "vectorstore = FAISS.from_documents(\n", - " documents=doc_splits,\n", - " embedding=embd,\n", - ")\n", - "\n", - "vectorstore_retriever = vectorstore.as_retriever()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "eoa3toXfdGkI" - }, - "outputs": [], - "source": [ - "from langchain.tools.retriever import create_retriever_tool\n", - "\n", - "vectorstore_search = create_retriever_tool(\n", - " retriever=vectorstore_retriever,\n", - " name=\"vectorstore_search\",\n", - " description=\"Retrieve relevant info from a vectorstore that contains documents related to Data Compliance for Financial Services and its regulation.\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Custom Tool For Market Capitalization\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "1. Define the function" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import requests\n", - "\n", - "# Function to get the market capitalization of a ticker symbol\n", - "def get_market_cap(ticker):\n", - " url = f\"https://financialmodelingprep.com/api/v3/market-capitalization/{ticker}?apikey={FMP_API_KEY}\"\n", - " response = requests.get(url)\n", - " if response.status_code == 200:\n", - " data = response.json()\n", - " if data and isinstance(data, list) and len(data) > 0:\n", - " market_cap = data[0].get('marketCap', 'No market cap data available')\n", - " return [{'id': 0, 'text': f'Market cap for {ticker}: ${market_cap}'}]\n", - " else:\n", - " return \"No data available for the specified ticker.\"\n", - " else:\n", - " return \"Failed to retrieve data from the API.\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "2. Define the Custom Tool" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "from langchain.tools import tool\n", - "\n", - "@tool\n", - "def market_cap(ticker: str) -> list:\n", - " \"\"\"This tool is only used to find market capitalization. Do not use it for anything else. Find the ticker when asked about capitalization. The ticker symbol of the company (e.g., AAPL which is the ticker for Apple Inc, MSFT for Microsoft )\"\"\"\n", - " return get_market_cap(ticker)\n", - " " - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2ENQAfYXRG9Q" - }, - "source": [ - "# Create the ReAct Agent\n", - "The model can smartly pick the right tool(s) for the user query, call them in any sequence of steps, analyze the results and self-reflect." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hx-Ew1i5F3W4" - }, - "outputs": [], - "source": [ - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "BPo3ZIHkF3W4" - }, - "outputs": [], - "source": [ - "# LLM\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "\n", - "chat = ChatCohere(model=\"command-r-plus\", temperature=0.3)\n", - "\n", - "# Preamble\n", - "preamble = \"\"\"\n", - "Use all tools that are available to answear the question. \n", - "If the query covers the topics of Federal Financial Institutions, use the vectorstore search first.\n", - "If the query covers market capitalization, use the market cap tool first.\n", - "You are equipped with an internet search tool, and python interpreter, a market cap api, and a special vectorstore of information about Data Compliance for Financial Services.\n", - "\n", - "\"\"\"\n", - "\n", - "# Prompt\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "# Create the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=chat,\n", - " tools=[vectorstore_search, internet_search, repl_tool, market_cap],\n", - " prompt=prompt,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "dks-7TGGtdLE" - }, - "outputs": [], - "source": [ - "agent_executor = AgentExecutor(\n", - " agent=agent, tools=[vectorstore_search, internet_search, repl_tool, market_cap], verbose=True\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "v7L1aBHds1pj" - }, - "source": [ - "# Testing the ReAct agent" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ibo31dsHEjPv" - }, - "source": [ - "**Let's ask a question that requires web search.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "AW_8C7mszsVE", - "outputId": "9be7067f-a776-4688-8864-db873d1fb65a" - }, - "outputs": [], - "source": [ - "result = agent_executor.invoke(\n", - " {\n", - " \"input\": \"How does the current interest rate environment affect the bond market?\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "result[\"output\"]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lKkxSvJmEn8Z" - }, - "source": [ - "**Let's ask a question that requires RAG retrieval from the vector db.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Jl0JNX2TF3W5", - "outputId": "2ccb747c-e702-4473-f587-47271e0c71b2" - }, - "outputs": [], - "source": [ - "result = agent_executor.invoke(\n", - " {\n", - " \"input\": \"What are the primary functions of the Federal Financial Institutions Examination Council (FFIEC)?\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "result[\"output\"]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**Let's ask a question that requires Market Cap.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "result = agent_executor.invoke(\n", - " {\n", - " \"input\": \"What is Apple capitalization compared to Microsoft? Calculate the difference\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "result[\"output\"]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**Let's ask a question that requires Internet Search.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "result = agent_executor.invoke(\n", - " {\n", - " \"input\": \"Hi there!\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "result[\"output\"]" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.9" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/Multi_Step_Tool_Use_Spotify_v2.ipynb b/notebooks/agents/Multi_Step_Tool_Use_Spotify_v2.ipynb index bb1960b0..d04dcc40 100644 --- a/notebooks/agents/Multi_Step_Tool_Use_Spotify_v2.ipynb +++ b/notebooks/agents/Multi_Step_Tool_Use_Spotify_v2.ipynb @@ -1,605 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Multi-Step Tool Use with Spotify Dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This example demonstrates an agent that performs analysis on a Spotify tracks dataset (via a Python interpreter tool) while also having access to a web search tool." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "\n", - "import cohere\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install tavily-python --q\n", - "\n", - "from tavily import TavilyClient\n", - "tavily_client = TavilyClient(api_key=\"TAVILY_API_KEY\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 1: Define the tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here, we define the web search tool, which uses the Tavily Python client to perform web searches.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# here's a web search engine\n", - "def web_search(query: str) -> list[dict]:\n", - " response = tavily_client.search(query, max_results=3)['results']\n", - " return {\"results\": response}" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "# the LLM is equipped with a description of the web search engine\n", - "web_search_tool = {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"web_search\",\n", - " \"description\": \"Returns a list of relevant document snippets for a textual query retrieved from the internet\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"query\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"Query to search the internet with\"\n", - " }\n", - " },\n", - " \"required\": [\"query\"]\n", - " }\n", - " }\n", - "}\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here, we define the Python interpreter tool, which uses the `exec` function to execute Python code." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "# here's a python console, which can be used to access the spreadsheet, but also more generally to code and plot stuff\n", - "import io, contextlib\n", - "def python_interpreter(code: str) -> list[dict]:\n", - " output = io.StringIO()\n", - " try:\n", - " # Redirect stdout to capture print statements\n", - " with contextlib.redirect_stdout(output):\n", - " exec(code, globals())\n", - " except Exception as e:\n", - " return {\n", - " \"error\": str(e),\n", - " \"executed_code\": code\n", - " }\n", - " # Get stdout\n", - " return {\n", - " \t\t\"console_output\": output.getvalue(),\n", - " \"executed_code\": code\n", - " \t}\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# the LLM is equipped with a description of a python console\n", - "python_interpreter_tool = {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"python_interpreter\",\n", - " \"description\": \"Executes python code and returns the result. The code runs in a static sandbox without internet access and without interactive mode, so print output or save output to a file.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"code\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"Python code to execute\"\n", - " }\n", - " },\n", - " \"required\": [\"code\"]\n", - " }\n", - " }\n", - "}\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "functions_map = {\n", - " \"web_search\": web_search,\n", - " \"python_interpreter\": python_interpreter,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We'll also need the spotify_data dataset, which contains information about Spotify tracks such as the track information, release information, popularity metrics, and musical characteristics. You can find the [dataset here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/advanced_rag/spotify_dataset.csv)." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/Users/meor/anaconda3/lib/python3.11/site-packages/pandas/core/arrays/masked.py:60: UserWarning: Pandas requires version '1.3.6' or newer of 'bottleneck' (version '1.3.5' currently installed).\n", - " from pandas.core import (\n" - ] + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Multi_Step_Tool_Use_Spotify_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
track_nameartist(s)_nameartist_countreleased_yearreleased_monthreleased_dayin_spotify_playlistsin_spotify_chartsstreamsin_apple_playlists...keymodedanceabilityvalenceenergyacousticnessinstrumentalnesslivenessspeechinessrelease_date
0Seven (feat. Latto) (Explicit Ver.)Latto, Jung Kook22023714553147141381703.043...BMajor808983310842023-07-14
1LALAMyke Towers12023323147448133716286.048...C#Major716174701042023-03-23
2vampireOlivia Rodrigo120236301397113140003974.094...FMajor5132531703162023-06-30
\n", - "

3 rows × 25 columns

\n", - "
" - ], - "text/plain": [ - " track_name artist(s)_name artist_count \\\n", - "0 Seven (feat. Latto) (Explicit Ver.) Latto, Jung Kook 2 \n", - "1 LALA Myke Towers 1 \n", - "2 vampire Olivia Rodrigo 1 \n", - "\n", - " released_year released_month released_day in_spotify_playlists \\\n", - "0 2023 7 14 553 \n", - "1 2023 3 23 1474 \n", - "2 2023 6 30 1397 \n", - "\n", - " in_spotify_charts streams in_apple_playlists ... key mode \\\n", - "0 147 141381703.0 43 ... B Major \n", - "1 48 133716286.0 48 ... C# Major \n", - "2 113 140003974.0 94 ... F Major \n", - "\n", - " danceability valence energy acousticness instrumentalness liveness \\\n", - "0 80 89 83 31 0 8 \n", - "1 71 61 74 7 0 10 \n", - "2 51 32 53 17 0 31 \n", - "\n", - " speechiness release_date \n", - "0 4 2023-07-14 \n", - "1 4 2023-03-23 \n", - "2 6 2023-06-30 \n", - "\n", - "[3 rows x 25 columns]" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Display the first few rows of the dataset\n", - "import pandas as pd\n", - "file_path = './spotify_dataset.csv'\n", - "spotify_data = pd.read_csv(file_path)\n", - "spotify_data.head(3)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here is the task that the agent needs to perform:" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "message = \"\"\"What's the age and citizenship of the artists who had the top 3 most streamed songs on Spotify in 2023?\n", - "\n", - "You have access to a dataset with information about Spotify songs from the past 10 years, located at ./spotify_dataset.csv.\n", - "You also have access to the internet to search for information not available in the dataset.\n", - "You must use the dataset when you can, and if stuck you can use the internet.\n", - "Remember to inspect the dataset and get a list of its columnsto understand its structure before trying to query it. Take it step by step.\n", - "\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 2: Run the tool use workflow\n", - "\n", - "Next, we run the tool use workflow involving for steps:\n", - "\n", - "- Get the user message\n", - "- Model generates tool calls, if any\n", - "- Execute tools based on the tool calls generated by the model\n", - "- Model either generates more tool calls or returns a response with citations\n", - "\n", - "Looking at the example output, the agent performs the task in a sequence of 3 steps:\n", - "\n", - "- Inspect the dataset and get a list of its columns.\n", - "- Write and execute Python code to find the top 3 most streamed songs on Spotify in 2023 and their respective artists.\n", - "- Search for the age and citizenship of each artist on the internet." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "USER MESSAGE:\n", - "What's the age and citizenship of the artists who had the top 3 most streamed songs on Spotify in 2023?\n", - "\n", - "You have access to a dataset with information about Spotify songs from the past 10 years, located at ./spotify_dataset.csv.\n", - "You also have access to the internet to search for information not available in the dataset.\n", - "You must use the dataset when you can, and if stuck you can use the internet.\n", - "Remember to inspect the dataset and get a list of its columnsto understand its structure before trying to query it. Take it step by step.\n", - "\n", - "==================================================\n", - "\n", - "TOOL PLAN:\n", - "I will first inspect the dataset to understand its structure and the columns it contains. Then, I will write and execute Python code to find the top 3 most streamed songs on Spotify in 2023 and their respective artists. Finally, I will search for the age and citizenship of each artist online.\n", - "\n", - "TOOL CALLS:\n", - "Tool name: python_interpreter\n", - " import pandas as pd\n", - " \n", - " df = pd.read_csv('spotify_dataset.csv')\n", - " \n", - " print(df.columns)\n", - "None\n", - "\n", - "TOOL RESULTS:\n", - "{'console_output': \"Index(['track_name', 'artist(s)_name', 'artist_count', 'released_year',\\n 'released_month', 'released_day', 'in_spotify_playlists',\\n 'in_spotify_charts', 'streams', 'in_apple_playlists', 'in_apple_charts',\\n 'in_deezer_playlists', 'in_deezer_charts', 'in_shazam_charts', 'bpm',\\n 'key', 'mode', 'danceability', 'valence', 'energy', 'acousticness',\\n 'instrumentalness', 'liveness', 'speechiness', 'release_date'],\\n dtype='object')\\n\", 'executed_code': \"import pandas as pd\\n\\ndf = pd.read_csv('spotify_dataset.csv')\\n\\nprint(df.columns)\"} \n", - "\n", - "\n", - "TOOL PLAN:\n", - "I now know the column names of the dataset. I will now find the top 3 most streamed songs on Spotify in 2023 and then find the age and citizenship of the artists of those songs.\n", - "\n", - "TOOL CALLS:\n", - "Tool name: python_interpreter\n", - " import pandas as pd\n", - " \n", - " df = pd.read_csv('spotify_dataset.csv')\n", - " \n", - " # Filter for songs released in 2023\n", - " df_2023 = df[df['released_year'] == 2023]\n", - " \n", - " # Sort by streams in descending order\n", - " df_2023 = df_2023.sort_values(by='streams', ascending=False)\n", - " \n", - " # Get the top 3 songs\n", - " top_3_songs = df_2023.head(3)\n", - " \n", - " print(\"Top 3 most streamed songs on Spotify in 2023:\")\n", - " print(top_3_songs[['track_name', 'artist(s)_name']])\n", - "None\n", - "\n", - "TOOL RESULTS:\n", - "{'console_output': 'Top 3 most streamed songs on Spotify in 2023:\\n track_name artist(s)_name\\n12 Flowers Miley Cyrus\\n6 Ella Baila Sola Eslabon Armado, Peso Pluma\\n133 Shakira: Bzrp Music Sessions, Vol. 53 Shakira, Bizarrap\\n', 'executed_code': 'import pandas as pd\\n\\ndf = pd.read_csv(\\'spotify_dataset.csv\\')\\n\\n# Filter for songs released in 2023\\ndf_2023 = df[df[\\'released_year\\'] == 2023]\\n\\n# Sort by streams in descending order\\ndf_2023 = df_2023.sort_values(by=\\'streams\\', ascending=False)\\n\\n# Get the top 3 songs\\ntop_3_songs = df_2023.head(3)\\n\\nprint(\"Top 3 most streamed songs on Spotify in 2023:\")\\nprint(top_3_songs[[\\'track_name\\', \\'artist(s)_name\\']])'} \n", - "\n", - "\n", - "RESPONSE:\n", - "The top 3 most streamed songs on Spotify in 2023 were:\n", - "1. *Flowers* by Miley Cyrus\n", - "2. *Ella Baila Sola* by Eslabon Armado and Peso Pluma\n", - "3. *Shakira: Bzrp Music Sessions, Vol. 53* by Shakira and Bizarrap\n", - "\n", - "Miley Cyrus is 30 years old and American. Eslabon Armado is a Mexican group, and Peso Pluma is a Mexican singer. Shakira is 46 years old and Colombian.\n", - "\n", - "CITATIONS:\n", - "Start: 59 | End: 66 | Text: 'Flowers'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 71 | End: 82 | Text: 'Miley Cyrus'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 87 | End: 102 | Text: 'Ella Baila Sola'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 107 | End: 121 | Text: 'Eslabon Armado'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 126 | End: 136 | Text: 'Peso Pluma'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 141 | End: 178 | Text: 'Shakira: Bzrp Music Sessions, Vol. 53'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 183 | End: 190 | Text: 'Shakira'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n", - "Start: 195 | End: 203 | Text: 'Bizarrap'\n", - "Sources:\n", - "python_interpreter_ryqfeye95gay:0\n", - "--------------------------------------------------\n" - ] - } - ], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "tools = [web_search_tool,python_interpreter_tool]\n", - "\n", - "# Step 1: get user message\n", - "print(f\"USER MESSAGE:\\n{message}\")\n", - "print(\"=\"*50)\n", - "\n", - "messages = [{'role': 'user','content': message}]\n", - "\n", - "# 2 - Model generates tool calls, if any\n", - "res = co.chat(model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0)\n", - "\n", - "# Keep invoking tools as long as the model generates tool calls\n", - "while res.message.tool_calls:\n", - " # Tool plan and tool calls\n", - " print(\"\\nTOOL PLAN:\")\n", - " print(res.message.tool_plan)\n", - "\n", - " print(\"\\nTOOL CALLS:\")\n", - " for tc in res.message.tool_calls:\n", - " if tc.function.name == \"python_interpreter\":\n", - " print(f\"Tool name: {tc.function.name}\")\n", - " tool_call_prettified = print(\"\\n\".join(f\" {line}\" for line_num, line in enumerate(json.loads(tc.function.arguments)[\"code\"].splitlines())))\n", - " print(tool_call_prettified)\n", - " else:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - "\n", - " messages.append({'role': 'assistant',\n", - " 'tool_calls': res.message.tool_calls,\n", - " 'tool_plan': res.message.tool_plan})\n", - "\n", - " # 3 - Execute tools based on the tool calls generated by the model\n", - " print(\"\\nTOOL RESULTS:\")\n", - " for tc in res.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = [json.dumps(tool_result)]\n", - " print(tool_result, \"\\n\")\n", - " \n", - " messages.append({'role': 'tool',\n", - " 'tool_call_id': tc.id,\n", - " 'tool_content': tool_content}) \n", - "\n", - " # 4 - Model either generates more tool calls or returns a response\n", - " res = co.chat(model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0)\n", - " \n", - "messages.append({\"role\": \"assistant\", \"content\": res.message.content[0].text})\n", - "\n", - "print(\"\\nRESPONSE:\")\n", - "print(res.message.content[0].text)\n", - "\n", - "if res.message.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in res.message.citations:\n", - " print(f\"Start: {citation.start} | End: {citation.end} | Text: '{citation.text}'\")\n", - " print(\"Sources:\")\n", - " if citation.sources:\n", - " for source in citation.sources:\n", - " print(source.id)\n", - " print(\"-\"*50)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/README.md b/notebooks/agents/README.md index a546244f..56becd5e 100644 --- a/notebooks/agents/README.md +++ b/notebooks/agents/README.md @@ -1,28 +1 @@ -## Overview - -You will find a collection of notebooks that demonstrate how to build `agents` with Cohere. - -## Notebooks -There are a number of ways to build agents with Cohere. The following notebooks demonstrate how to build agents using the native Cohere API which involves handling tool and API calls, as well as how to build agents with Langchain's [cohere_react_agent](https://github.com/langchain-ai/langchain-cohere/blob/main/libs/cohere/langchain_cohere/react_multi_hop/agent.py). - -### Native API Cookbooks -The following notebooks exclusively use the Cohere API to call tools. - -1. [Single Step Tool Use](Tool_Use.ipynb): A minimal working example of how to use our chat API to call tools. -2. [Tool Use Configuration](Vanilla_Tool_Use.ipynb): A simple walkthrough of how to configure the chat API to reason over tools that call a mock API. -3. [Native Financial CSV Agent](financial-csv-agent/financial_csv_publication_native.ipynb): This notebook demonstrates how to setup a Cohere Native API sequence of tool calls to answer questions over the income statement and balance sheet from Apple’s SEC10K 2020 form. - -### Langchain Agent Cookbooks -The following notebooks use the cohere_react_agent in Langchain which is currently the recommended way to build multi-step reasoning Agents with Cohere. - -1. [Multi Step Tool Use](Vanilla_Multi_Step_Tool_Use.ipynb): this is a simple tutorial example, of how to use Langchain `cohere_react_agent` to run multi-step tool calls. -2. [Adaptive RAG](Multi_Step_Tool_Use.ipynb): this is a tutorial of how to use Langchain `cohere_react_agent` to run adaptive RAG analysis on financial documents. -3. [Data Science Agent](Data_Analyst_Agent_Cohere_and_Langchain.ipynb): this is a detailed walkthrough of how to use Langchain `cohere_react_agent` to build a basic data science agent. -4. [Agent Memory](agent_memory_walkthrough.ipynb): A walkthrough of how to use Langchain cohere_react_agent to effectively manage short term chat history that contains tool calls with Langchain. -5. [Agent API Calls](agents_with_deterministic_functions.ipynb): A walkthrough of how to use Langchain cohere_react_agent to make API calls to external services that require regex. -6. [Agentic RAG for PDFs with mixed data](agentic-RAG/agentic_rag_langchain.ipynb): A walkthrough of how to use Langchain cohere_react_agent to run RAG as an agent tool to handle PDFs with mixed table and text data. -7. [Financial CSV Agent](financial-csv-agent/financial_csv_publication.ipynb): This notebook demonstrates how to setup a Langchain Cohere ReAct Agent to answer questions over the income statement and balance sheet from Apple’s SEC10K 2020 form. -8. [SQL Agent](sql_agent/sql_agent.ipynb): In this notebook we explore how to setup a Cohere ReAct Agent to answer questions over SQL Databases using Langchain’s existing SQLDBToolkit. - - -We will continue to introduce more examples to help you get started with building agents using Cohere. +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/agents/Tool_Use.ipynb b/notebooks/agents/Tool_Use.ipynb index f64a2cca..dd8a8f24 100644 --- a/notebooks/agents/Tool_Use.ipynb +++ b/notebooks/agents/Tool_Use.ipynb @@ -1,177 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Calendar Agent Multi-Step tool use with the Cohere Chat API\n", - "\n", - "In the example below, we demonstrate how to use the cohere Chat API with the `list_calendar_events` and `create_calendar_event` tools to book appointments. Booking the correct appointment requires the model to first check for an available slot by listing existing events, reasoning about the correct slot to book the new appointment and then finally invoking the right tool to create the calendar event. To learn more about Tool Use, read the official [multi-step tool use guide](https://docs.cohere.com/docs/multi-step-tool-use)." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "# !pip install cohere==5.5.3" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Instantiate the Cohere client\n", - "\n", - "import cohere\n", - "import os\n", - "\n", - "COHERE_API_KEY = os.environ[\"COHERE_API_KEY\"]\n", - "co = cohere.Client(api_key=COHERE_API_KEY)" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the tools\n", - "\n", - "import json\n", - "\n", - "def list_calendar_events(date: str):\n", - " events = '[{\"start\": \"14:00\", \"end\": \"15:00\"}, {\"start\": \"15:00\", \"end\": \"16:00\"}, {\"start\": \"17:00\", \"end\": \"18:00\"}]'\n", - " print(f\"Listing events: {events}\")\n", - " return events\n", - "\n", - "def create_calendar_event(date: str, time: str, duration: int):\n", - " print(f\"Creating a {duration} hour long event at {time} on {date}\")\n", - " return True\n", - "\n", - "list_calendar_events_tool = {\n", - " \"name\": \"list_calendar_events\",\n", - " \"description\": \"returns a list of calendar events for the specified date, including the start time and end time for each event\",\n", - " \"parameter_definitions\": {\n", - " \"date\": {\n", - " \"description\": \"the date to list events for, formatted as mm/dd/yy\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - "}\n", - "\n", - "create_calendar_event_tool = {\n", - " \"name\": \"create_calendar_event_tool\",\n", - " \"description\": \"creates a calendar event of the specified duration at the specified time and date\",\n", - " \"parameter_definitions\": {\n", - " \"date\": {\n", - " \"description\": \"the date on which the event starts, formatted as mm/dd/yy\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"time\": {\n", - " \"description\": \"the time of the event, formatted using 24h military time formatting\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"duration\": {\n", - " \"description\": \"the number of hours the event lasts for\",\n", - " \"type\": \"float\",\n", - " \"required\": True\n", - " }\n", - " }\n", - "}\n", - "\n", - "# helper function for routing to the correct tool\n", - "def invoke_tool(tool_call: cohere.ToolCall):\n", - " if tool_call.name == list_calendar_events_tool[\"name\"]:\n", - " date = tool_call.parameters[\"date\"]\n", - " return [{\n", - " \"events\": list_calendar_events(date)\n", - " }]\n", - " elif tool_call.name == create_calendar_event_tool[\"name\"]:\n", - " date = tool_call.parameters[\"date\"]\n", - " time = tool_call.parameters[\"time\"]\n", - " duration = tool_call.parameters[\"duration\"]\n", - "\n", - " return [{\n", - " \"is_success\": create_calendar_event(date, time, duration)\n", - " }]\n", - " else:\n", - " raise f\"Unknown tool name '{tool_call.name}'\"" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I will check the user's calendar for today after 3pm and book an hour-long appointment in the first available slot.\n", - "Listing events: [{\"start\": \"14:00\", \"end\": \"15:00\"}, {\"start\": \"15:00\", \"end\": \"16:00\"}, {\"start\": \"17:00\", \"end\": \"18:00\"}]\n", - "The user has events scheduled from 2pm to 4pm and from 5pm to 6pm. I will book an hour-long appointment from 4pm to 5pm.\n", - "Creating a 1 hour long event at 16:00 on 05/23/2024\n", - "I've booked an hour-long appointment for you today from 4pm to 5pm.\n" - ] - } - ], - "source": [ - "# Check what tools the model wants to use and how to use them\n", - "res = co.chat(\n", - " model=\"command-r-plus\",\n", - " preamble=\"Today is Thursday, may 23, 2024\",\n", - " message=\"book an hour long appointment for the first available free slot after 3pm\",\n", - " force_single_step=False,\n", - " tools=[list_calendar_events_tool, create_calendar_event_tool])\n", - "\n", - "while res.tool_calls:\n", - " print(res.text) # This will be an observation and a plan with next steps\n", - "\n", - " # invoke the recommended tools\n", - " tool_results = []\n", - " for call in res.tool_calls:\n", - " tool_results.append({\"call\": call, \"outputs\": invoke_tool(call)})\n", - "\n", - " # send back the tool results\n", - " res = co.chat(\n", - " model=\"command-r-plus\",\n", - " chat_history=res.chat_history,\n", - " message=\"\",\n", - " force_single_step=False,\n", - " tools=[list_calendar_events_tool, create_calendar_event_tool],\n", - " tool_results=tool_results,\n", - " )\n", - "\n", - "print(res.text) # print the final answer" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Tool_Use.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/Vanilla_Multi_Step_Tool_Use.ipynb b/notebooks/agents/Vanilla_Multi_Step_Tool_Use.ipynb index 550e7d87..cfa1d3e4 100644 --- a/notebooks/agents/Vanilla_Multi_Step_Tool_Use.ipynb +++ b/notebooks/agents/Vanilla_Multi_Step_Tool_Use.ipynb @@ -1,979 +1,18 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, - "cells": [ - { - "cell_type": "markdown", - "source": [ - "# Multi-Step Tool Use\n", - "\n", - "Tool use allows developers to connect Cohere's models to external tools like search engines, APIs, functions, databases, etc.\n", - "\n", - "Multi-step tool use is an extension of this basic idea, and allows the model to call more than one tool in a sequence of steps, using the results from one tool call in a subsequent step. This process allows the language model to reason, perform dynamic actions, and quickly adapt on the basis of information coming from external sources.\n", - "\n", - "The recommended way to achieve [multi-step tool use with Cohere](https://docs.cohere.com/docs/multi-step-tool-use) is by leveraging the [Langchain framework](https://python.langchain.com/docs/integrations/providers/cohere#react-agent) in Python." - ], - "metadata": { - "id": "aH1iAdZiURXh" - } - }, - { - "cell_type": "markdown", - "source": [ - "## Install Dependencies" - ], - "metadata": { - "id": "PVT6Sl3msjNe" - } - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "0m31LaFDjCIY", - "outputId": "7177e241-a864-47ed-cf0a-5fc9fa70a7ec" - }, - "outputs": [ + "cells": [ { - "output_type": "stream", - "name": "stdout", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m812.8/812.8 kB\u001b[0m \u001b[31m6.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m194.1/194.1 kB\u001b[0m \u001b[31m4.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.9/1.9 MB\u001b[0m \u001b[31m9.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m276.8/276.8 kB\u001b[0m \u001b[31m7.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m87.5/87.5 kB\u001b[0m \u001b[31m3.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m145.9/145.9 kB\u001b[0m \u001b[31m2.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m21.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m75.6/75.6 kB\u001b[0m \u001b[31m7.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m49.4/49.4 kB\u001b[0m \u001b[31m4.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m53.0/53.0 kB\u001b[0m \u001b[31m4.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m144.8/144.8 kB\u001b[0m \u001b[31m4.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.9/77.9 kB\u001b[0m \u001b[31m1.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m5.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Vanilla_Multi_Step_Tool_Use.ipynb." + ] } - ], - "source": [ - "! pip install --quiet langchain langchain_cohere langchain_experimental" - ] - }, - { - "cell_type": "code", - "source": [ - "# LLM\n", - "import os\n", - "os.environ['COHERE_API_KEY'] = " - ], - "metadata": { - "id": "K0GELKJVnadW" - }, - "execution_count": 2, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "## Define tools\n", - "\n", - "Your agent will be equipped with the following tools. The model can pick between\n", - "\n", - "- doing a search on the web\n", - "- and/or retrieving data from a vector store\n", - "- and/or using a python interpreter\n", - "- and/or directly answering [ this tool comes out of the box! ]\n", - "\n", - "Plus the model can self-reflect." - ], - "metadata": { - "id": "6l1pDbAmsptW" - } - }, - { - "cell_type": "markdown", - "source": [ - "#### Web search\n", - "You can easily equip your agent with web search!" - ], - "metadata": { - "id": "_9riBTvIVnaN" - } - }, - { - "cell_type": "code", - "source": [ - "from langchain_community.tools.tavily_search import TavilySearchResults\n", - "\n", - "os.environ[\"TAVILY_API_KEY\"] = # you can create an API key for free on Tavily's website\n", - "\n", - "internet_search = TavilySearchResults()\n", - "internet_search.name = \"internet_search\"\n", - "internet_search.description = \"Returns a list of relevant document snippets for a textual query retrieved from the internet.\"\n", - "\n", - "\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "class TavilySearchInput(BaseModel):\n", - " query: str = Field(description=\"Query to search the internet with\")\n", - "internet_search.args_schema = TavilySearchInput" - ], - "metadata": { - "id": "6wMNTOGNVvwA" - }, - "execution_count": 3, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "#### Vector store\n", - "You can easily equip your agent with a vector store!" - ], - "metadata": { - "id": "pax1SemzX6Kg" - } - }, - { - "cell_type": "code", - "source": [ - "!pip --quiet install faiss-cpu tiktoken" - ], - "metadata": { - "id": "cc4d4pRFndYu", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "6478fd6b-5618-4d0b-8928-44f78e3ca7e6" - }, - "execution_count": 4, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m27.0/27.0 MB\u001b[0m \u001b[31m41.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.8/1.8 MB\u001b[0m \u001b[31m58.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ] - }, - { - "cell_type": "code", - "source": [ - "from langchain.text_splitter import RecursiveCharacterTextSplitter\n", - "from langchain_community.document_loaders import WebBaseLoader\n", - "from langchain_community.vectorstores import FAISS\n", - "from langchain_cohere import CohereEmbeddings\n", - "\n", - "# Set embeddings\n", - "embd = CohereEmbeddings()\n", - "\n", - "# Docs to index\n", - "urls = [\n", - " \"https://paulgraham.com/best.html\",\n", - "]\n", - "\n", - "# Load\n", - "docs = [WebBaseLoader(url).load() for url in urls]\n", - "docs_list = [item for sublist in docs for item in sublist]\n", - "\n", - "# Split\n", - "text_splitter = RecursiveCharacterTextSplitter.from_tiktoken_encoder(\n", - " chunk_size=512, chunk_overlap=0\n", - ")\n", - "doc_splits = text_splitter.split_documents(docs_list)\n", - "\n", - "# Add to vectorstore\n", - "vectorstore = FAISS.from_documents(\n", - " documents=doc_splits,\n", - " embedding=embd,\n", - ")\n", - "\n", - "vectorstore_retriever = vectorstore.as_retriever()\n" - ], - "metadata": { - "id": "eqcorKP4YEH6" - }, - "execution_count": 5, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "from langchain.tools.retriever import create_retriever_tool\n", - "\n", - "vectorstore_search = create_retriever_tool(\n", - " retriever=vectorstore_retriever,\n", - " name=\"vectorstore_search\",\n", - " description=\"Retrieve relevant info from a vectorstore that contains information from Paul Graham about how to write good essays.\"\n", - ")" - ], - "metadata": { - "id": "eQFNJ-38abRd" - }, - "execution_count": 6, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "#### Python interpreter tool\n", - "You can easily equip your agent with a python interpreter!" - ], - "metadata": { - "id": "aB90i9W5YqWi" - } - }, - { - "cell_type": "code", - "source": [ - "from langchain.agents import Tool\n", - "from langchain_experimental.utilities import PythonREPL\n", - "\n", - "python_repl = PythonREPL()\n", - "python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "python_tool.name = \"python_interpreter\"\n", - "\n", - "# from langchain_core.pydantic_v1 import BaseModel, Field\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "python_tool.args_schema = ToolInput" - ], - "metadata": { - "id": "AN-4FqXKYEFw" - }, - "execution_count": 7, - "outputs": [] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "8vj868w_YED_" - }, - "execution_count": 7, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "#### Transform any Python function in a Tool\n", - "You can easily equip your agent with any Python function!" - ], - "metadata": { - "id": "2SDCaoypexGL" - } - }, - { - "cell_type": "code", - "source": [ - "from langchain_core.tools import tool\n", - "import random\n", - "\n", - "@tool\n", - "def random_operation_tool(a: int, b: int):\n", - " \"\"\"Calculates a random operation between the inputs.\"\"\"\n", - " coin_toss = random.uniform(0, 1)\n", - " if coin_toss > 0.5:\n", - " return {'output': a*b}\n", - " else:\n", - " return {'output': a+b}\n", - "\n", - "random_operation_tool.name = \"random_operation\" # use python case\n", - "random_operation_tool.description = \"Calculates a random operation between the inputs.\"\n", - "\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "class random_operation_inputs(BaseModel):\n", - " a: int = Field(description=\"First input\")\n", - " b: int = Field(description=\"Second input\")\n", - "random_operation_tool.args_schema = random_operation_inputs" - ], - "metadata": { - "id": "DYUJT2xKewny" - }, - "execution_count": 8, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "## Create ReAct Agent\n", - "\n", - "The model can smartly pick the right tool(s) for the user query, call them in any sequence, analyze the results and self-reflect. \n", - "Once the model considers it has enough information to answer the user question, it generates the final answer." - ], - "metadata": { - "id": "hcspRBsRY2ED" - } - }, - { - "cell_type": "code", - "source": [ - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "\n", - "# LLM\n", - "llm = ChatCohere(model=\"command-r-plus\", temperature=0.3)\n", - "\n", - "# Preamble\n", - "preamble = \"\"\"\n", - "You are an expert who answers the user's question with the most relevant datasource. You are equipped with an internet search tool and a special vectorstore of information about how to write good essays.\n", - "You also have a 'random_operation_tool' tool, you must use it to compute the random operation between two numbers.\n", - "\"\"\"\n", - "\n", - "\n", - "# Prompt template\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "# Create the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=[internet_search, vectorstore_search, python_tool, random_operation_tool],\n", - " prompt=prompt,\n", - ")\n", - "\n", - "agent_executor = AgentExecutor(agent=agent, tools=[internet_search, vectorstore_search, python_tool, random_operation_tool], verbose=True)" - ], - "metadata": { - "id": "CY83aAprYECO" - }, - "execution_count": 13, - "outputs": [] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "RK0NaqngYD_J" - }, - "execution_count": 13, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "## Ask a standalone question to the ReAct agent\n", - "A question that requires using a predefined tool from Langchain" - ], - "metadata": { - "id": "mzTQcVXSaBe7" - } - }, - { - "cell_type": "code", - "source": [ - "response = agent_executor.invoke({\n", - " \"input\": \"I want to write an essay about the Roman Empire. Any tips for writing an essay? Any fun facts?\",\n", - " \"preamble\": preamble,\n", - "})\n", - "\n", - "response['output']\n", - "\n", - "# note that the model smartly looks in the vector db, and then online" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "rR2hWVp-aEsU", - "outputId": "fcf9b8b3-7027-4679-c06d-ef166f016892" - }, - "execution_count": 14, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for tips on writing an essay and fun facts about the Roman Empire.\n", - "{'tool_name': 'vectorstore_search', 'parameters': {'query': 'tips for writing an essay'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3mbe? I should have asked how do you write essays well? Though\n", - "these seem only phrasing apart, their answers diverge. The answer\n", - "to the first question, as we've seen, isn't really about essay\n", - "writing. The second question forces it to be.Writing essays, at its best, is a way of discovering ideas. How do\n", - "you do that well? How do you discover by writing?An essay should ordinarily start with what I'm going to call a\n", - "question, though I mean this in a very general sense: it doesn't\n", - "have to be a question grammatically, just something that acts like\n", - "one in the sense that it spurs some response.How do you get this initial question? It probably won't work to\n", - "choose some important-sounding topic at random and go at it.\n", - "Professional traders won't even trade unless they have what they\n", - "call an edge — a convincing story about why in some class of\n", - "trades they'll win more than they lose. Similarly, you shouldn't\n", - "attack a topic unless you have a way in — some new insight about\n", - "it or way of approaching it.You don't need to have a complete thesis; you just need some kind\n", - "of gap you can explore. In fact, merely having questions about\n", - "something other people take for granted can be edge enough.If you come across a question that's sufficiently puzzling, it could\n", - "be worth exploring even if it doesn't seem very momentous. Many an\n", - "important discovery has been made by pulling on a thread that seemed\n", - "insignificant at first. How can they all be finches? \n", - "[2]Once you've got a question, then what? You start thinking out loud\n", - "about it. Not literally out loud, but you commit to a specific\n", - "string of words in response, as you would if you were talking. This\n", - "initial response is usually mistaken or incomplete. Writing converts\n", - "your ideas from vague to bad. But that's a step forward, because\n", - "once you can see the brokenness, you can fix it.Perhaps beginning writers are alarmed at the thought of starting\n", - "with something mistaken or incomplete, but you shouldn't be, because\n", - "this is why essay writing works. Forcing yourself to commit to some\n", - "specific string of words gives you a starting point, and if it's\n", - "wrong, you'll see that when you reread it. At least half of essay\n", - "writing is rereading what you've written and asking is this correct\n", - "\n", - "didn't have edge with any of them. To start writing an essay, you\n", - "need a topic plus some initial insight about it, and you can't\n", - "generate those systematically. If only. \n", - "[9]You can probably cause yourself to have more of them, though. The\n", - "quality of the ideas that come out of your head depends on what goes\n", - "in, and you can improve that in two dimensions, breadth and depth.You can't learn everything, so getting breadth implies learning\n", - "about topics that are very different from one another. When I tell\n", - "people about my book-buying trips to Hay and they ask what I buy\n", - "books about, I usually feel a bit sheepish answering, because the\n", - "topics seem like a laundry list of unrelated subjects. But perhaps\n", - "that's actually optimal in this business.You can also get ideas by talking to people, by doing and building\n", - "things, and by going places and seeing things. I don't think it's\n", - "important to talk to new people so much as the sort of people who\n", - "make you have new ideas. I get more new ideas after talking for an\n", - "afternoon with Robert Morris than from talking to 20 new smart\n", - "people. I know because that's what a block of office hours at Y\n", - "Combinator consists of.While breadth comes from reading and talking and seeing, depth comes\n", - "from doing. The way to really learn about some domain is to have\n", - "to solve problems in it. Though this could take the form of writing,\n", - "I suspect that to be a good essayist you also have to do, or have\n", - "done, some other kind of work. That may not be true for most other\n", - "fields, but essay writing is different. You could spend half your\n", - "time working on something else and be net ahead, so long as it was\n", - "hard.I'm not proposing that as a recipe so much as an encouragement to\n", - "those already doing it. If you've spent all your life so far working\n", - "on other things, you're already halfway there. Though of course to\n", - "be good at writing you have to like it, and if you like writing\n", - "you'd probably have spent at least some time doing it.Everything I've said about initial questions applies also to the\n", - "questions you encounter in writing the essay. They're the same\n", - "thing; every subtree of an essay is usually a shorter essay, just\n", - "as every subtree of a Calder mobile is a smaller mobile. So any\n", - "\n", - "You don't have to get an answer right the first time, but there's\n", - "no excuse for not getting it right eventually, because you can keep\n", - "rewriting till you do. And this is not just a theoretical possibility.\n", - "It's a pretty accurate description of the way I work. I'm rewriting\n", - "as we speak.But although I wish I could say that writing great essays depends mostly\n", - "on effort, in the limit case it's inspiration that makes the\n", - "difference. In the limit case, the questions are the harder thing\n", - "to get. That pool has no bottom.How to get more questions? That is the most important question of\n", - "all.Notes[1]\n", - "There might be some resistance to this conclusion on the\n", - "grounds that some of these discoveries could only be understood by\n", - "a small number of readers. But you get into all sorts of difficulties\n", - "if you want to disqualify essays on this account. How do you decide\n", - "where the cutoff should be? If a virus kills off everyone except a \n", - "handful of people sequestered at Los Alamos,\n", - "could an essay that had been disqualified now be eligible? Etc.Darwin's 1844 essay was derived from an earlier version written in 1839.\n", - "Extracts from it were published in 1858.[2]\n", - "When you find yourself very curious about an apparently minor\n", - "question, that's an exciting sign. Evolution has designed you to\n", - "pay attention to things that matter. So when you're very curious\n", - "about something random, that could mean you've unconsciously noticed\n", - "it's less random than it seems.[3]\n", - "Corollary: If you're not intellectually honest, your writing\n", - "won't just be biased, but also boring, because you'll miss all the\n", - "ideas you'd have discovered if you pushed for the truth.[4]\n", - "Sometimes this process begins before you start writing.\n", - "Sometimes you've already figured out the first few things you want\n", - "to say. Schoolchildren are often taught they should decide everything\n", - "they want to say, and write this down as an outline before they\n", - "start writing the essay itself. Maybe that's a good way to get them\n", - "started — or not, I don't know — but it's antithetical to the\n", - "spirit of essay writing. The more detailed your outline, the less\n", - "your ideas can benefit from the sort of discovery that essays are for.[5]\n", - "The problem with this type of \"greedy\" algorithm is that you\n", - "\n", - "technique that gets you good initial questions also gets you good\n", - "whole essays.At some point the cycle of question and response reaches what feels\n", - "like a natural end. Which is a little suspicious; shouldn't every\n", - "answer suggest more questions? I think what happens is that you\n", - "start to feel sated. Once you've covered enough interesting ground,\n", - "you start to lose your appetite for new questions. Which is just\n", - "as well, because the reader is probably feeling sated too. And it's\n", - "not lazy to stop asking questions, because you could instead be\n", - "asking the initial question of a new essay.That's the ultimate source of drag on the connectedness of ideas:\n", - "the discoveries you make along the way. If you discover enough\n", - "starting from question A, you'll never make it to question B. Though\n", - "if you keep writing essays you'll gradually fix this problem by\n", - "burning off such discoveries. So bizarrely enough, writing lots of\n", - "essays makes it as if the space of ideas were more highly connected.When a subtree comes to an end, you can do one of two things. You\n", - "can either stop, or pull the Cubist trick of laying separate subtrees\n", - "end to end by returning to a question you skipped earlier. Usually\n", - "it requires some sleight of hand to make the essay flow continuously\n", - "at this point, but not this time. This time I actually need an\n", - "example of the phenomenon. For example, we discovered earlier that\n", - "the best possible essay wouldn't usually be timeless in the way the\n", - "best painting would. This seems surprising enough to be\n", - "worth investigating further.There are two senses in which an essay can be timeless: to be about\n", - "a matter of permanent importance, and always to have the same effect\n", - "on readers. With art these two senses blend together. Art that\n", - "looked beautiful to the ancient Greeks still looks beautiful to us.\n", - "But with essays the two senses diverge, because essays\n", - "teach, and you can't teach people something they already know.\n", - "Natural selection is certainly a matter of permanent importance,\n", - "but an essay explaining it couldn't have the same effect on us that\n", - "it would have had on Darwin's contemporaries, precisely because his\n", - "ideas were so successful that everyone already knows about them.\n", - "[10]I imagined when I started writing this that the best possible essay\n", - "would be timeless in the stricter, evergreen sense: that it would\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'fun facts about the roman empire'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.natgeokids.com/uk/discover/history/romans/10-facts-about-the-ancient-romans/', 'content': 'i love this website\\nBIG BOBBY\\nbooby\\nI love shell my bae;)\\ni like bobby fishes ;0\\nI like turtles\\nOmg soy cool\\ngreeeeeeeeeeeeaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaatttttttttttttttttttttttt\\nbest fact ever\\nthis artical is cool\\nHANDY\\nrubbish did not help what so ever\\nha\\nRocking\\nTHIS IS THE BEST\\nproper rad in it cool\\nthis is cool\\nawesomeness\\nawsome\\nawsome\\nthank you captain\\nit is a lot of help\\ni like this\\nwebsite it helps me on my projects and isabel likes munier\\nmark uses this for research\\nlot of help\\nthis is awsome\\nTHE BEST BOOBOO\\nCool webpage helped me get 4 housepoints\\n This helped me A LOT on a school project\\ncool wow awesomoe\\nCOOL WEBSITE LOL\\nthis helped me with a school project :)\\nthat was awesome\\ncool\\nthat helped me out for my research test\\nReally its very cool really COOL\\nLIKE COOL best website so far its nice\\nI love it\\nnice facts\\nIt help with my history\\n i mean u made animaljam a awesome nice safe place for kids and this site to have kids a safe website to get facts for reports and stuff\\nLots of Love ,\\nRose\\npretty good website if u ask me\\nbut definently not gonna use it on a daily basis\\nIll try it again another time\\ngood\\nCool webcite\\nterrible\\nquite impressive\\nAwesome website it real helps\\nits good\\nthis is a great website! You really a lot with my project!:)\\nthis has helleped\\nme get\\nmy progect\\ndone\\nthank you\\nsoooooooooooooooooo\\nmuchchchchchch\\nthis helleped me\\nsooooooooo much with my progect thank you\\nvery good website\\nthank us very much your nice one today!!\\n'}, {'url': 'https://ohfact.com/roman-empire-facts/', 'content': 'Learn about the ancient Roman Civilization, its history, culture, army, architecture, food and more from this list of 27 facts. Discover how the Romans started, conquered, lived, died and influenced the world with their legends, myths and facts.'}, {'url': 'https://factnight.com/fun-facts-about-the-roman-empire/', 'content': 'The Roman Empire was one of the most influential and significant civilizations in world history. At its peak, the empire stretched from North Africa to Britain, reigning over 60 million people. From its legendary beginnings and remarkable achievements to its eventual decline and fall, the Roman Empire is a fascinating topic full of little-known facts and intriguing trivia.'}, {'url': 'https://www.historyhit.com/facts-about-ancient-rome-and-the-romans/', 'content': 'The Enduring Legacy of C.S. Lewis\\nMargaret J. Winkler: A Forgotten Pioneer in Disney’s Success\\n10 Facts About Harper Lee\\nAntarctica Expedition Cruise\\nUncover Pompeii\\nSophie Hay and Tristan Hughes\\nRediscovering Richard III with Matt Lewis\\nOrder the History Hit Miscellany\\nHistory Hit Holidays\\nGift Subscriptions\\n100 Facts About Ancient Rome and the Romans\\nRome wasn’t built in a day, as the cliché reminds us. The Crossing of the Rhine in 405/6 AD brought around 100,000 barbarians into the Empire\\nBarbarian factions, tribes and war leaders were now a factor in the power struggles at the top of Roman politics and one of the once-strong boundaries of the Empire had proved to be permeable.\\n Related Articles\\n10 Facts About Saint Andrew\\nThe Rise of Pompey the Great, the ‘Roman Alexander’\\nWatch and Listen\\nCleopatra\\nSex in Ancient Rome\\nRelated Locations\\nBaelo Claudia\\nMausoleum of Cecilia Metella\\nColin Ricketts\\n30 July 2021\\n By the fourth century BC, the story was accepted by Romans who were proud of their warrior founder\\nThe story was included in the first history of the city, by the Greek writer Diocles of Peparethus, and the twins and their wolf step-mother were depicted on Rome’s first coins.\\n The History Hit Miscellany of Facts, Figures and Fascinating Finds\\nA History of England: Part One\\nDragons: Myth & Reality\\nA Tudor Wonder - Hardwick Hall\\nThe Battle of Shrewsbury\\nEurope’s 1848 Revolutions\\nThe Boston Tea Party\\nHow Did 3 People Seemingly Escape From Alcatraz?\\n'}, {'url': 'https://www.countryfaq.com/facts-about-the-roman-empire/', 'content': 'Facts about the Roman Empire. Explore some of the interesting, fun, cool facts bout the Roman Empire: 1. The Magnificent Roman Empire. The Roman Empire, a colossal entity of unparalleled grandeur, occupies an indomitable position within the annals of human history, a name that resonates resoundingly across the eons.'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0,3,4,5\n", - "Cited Documents: 0,3,4,5\n", - "Answer: Here are some tips for writing an essay:\n", - "- Start with a question that spurs some response.\n", - "- Don't choose a topic at random, make sure you have a way in, a new insight or approach.\n", - "- You don't need a complete thesis, just a gap to explore.\n", - "- You can get ideas by talking to people, reading, doing and building things, and going places and seeing things.\n", - "- You can improve the quality of your ideas by increasing the breadth and depth of what goes in.\n", - "- You can get breadth by reading and talking about a wide range of topics.\n", - "- You can get depth by doing and having to solve problems.\n", - "- You can also get ideas by talking to people who make you have new ideas.\n", - "\n", - "Here are some fun facts about the Roman Empire:\n", - "- At its peak, the empire stretched from North Africa to Britain, reigning over 60 million people.\n", - "- The story of Rome's warrior founder and the twins and their wolf step-mother was depicted on Rome's first coins.\n", - "- The Crossing of the Rhine in 405/6 AD brought around 100,000 barbarians into the Empire.\n", - "Grounded answer: Here are some tips for writing an essay:\n", - "- Start with a question that spurs some response.\n", - "- Don't choose a topic at random, make sure you have a way in, a new insight or approach.\n", - "- You don't need a complete thesis, just a gap to explore.\n", - "- You can get ideas by talking to people, reading, doing and building things, and going places and seeing things.\n", - "- You can improve the quality of your ideas by increasing the breadth and depth of what goes in.\n", - "- You can get breadth by reading and talking about a wide range of topics.\n", - "- You can get depth by doing and having to solve problems.\n", - "- You can also get ideas by talking to people who make you have new ideas.\n", - "\n", - "Here are some fun facts about the Roman Empire:\n", - "- At its peak, the empire stretched from North Africa to Britain, reigning over 60 million people.\n", - "- The story of Rome's warrior founder and the twins and their wolf step-mother was depicted on Rome's first coins.\n", - "- The Crossing of the Rhine in 405/6 AD brought around 100,000 barbarians into the Empire.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "\"Here are some tips for writing an essay:\\n- Start with a question that spurs some response.\\n- Don't choose a topic at random, make sure you have a way in, a new insight or approach.\\n- You don't need a complete thesis, just a gap to explore.\\n- You can get ideas by talking to people, reading, doing and building things, and going places and seeing things.\\n- You can improve the quality of your ideas by increasing the breadth and depth of what goes in.\\n- You can get breadth by reading and talking about a wide range of topics.\\n- You can get depth by doing and having to solve problems.\\n- You can also get ideas by talking to people who make you have new ideas.\\n\\nHere are some fun facts about the Roman Empire:\\n- At its peak, the empire stretched from North Africa to Britain, reigning over 60 million people.\\n- The story of Rome's warrior founder and the twins and their wolf step-mother was depicted on Rome's first coins.\\n- The Crossing of the Rhine in 405/6 AD brought around 100,000 barbarians into the Empire.\"" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - } - }, - "metadata": {}, - "execution_count": 14 - } - ] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "DIP1YkXCg7rQ" - }, - "execution_count": 14, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "A question that requires the large language model to use a custom tool." - ], - "metadata": { - "id": "FhwS3VHvg3_l" - } - }, - { - "cell_type": "code", - "source": [ - "response = agent_executor.invoke({\n", - " \"input\": \"Calculate the result of the random operation of 10 and 20. Then find a few fun facts about that number, as well as its prime factors.\",\n", - " \"preamble\": preamble,\n", - "})\n", - "\n", - "response['output']\n", - "\n", - "# note that the model uses a sequence of tools" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 645 - }, - "id": "79Dw6Zhrg3xI", - "outputId": "a41c6de9-cb64-4cff-a58b-e9481d438f6c" - }, - "execution_count": 22, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "First, I will calculate the result of the random operation between 10 and 20. Then, I will search for fun facts about that number and its prime factors.\n", - "{'tool_name': 'random_operation_tool', 'parameters': {'a': 10, 'b': 20}}\n", - "\u001b[0mrandom_operation_tool is not a valid tool, try one of [internet_search, vectorstore_search, python_interpreter, random_operation].\u001b[32;1m\u001b[1;3m\n", - "I received an error message when trying to use the random_operation_tool. I will now try using the python_interpreter tool to calculate the random operation between 10 and 20.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import random\\n\\n# Define the two numbers\\na = 10\\nb = 20\\n\\n# Calculate the random operation\\nresult = random.choice(['+', '-', '*', '/'])\\n\\n# Perform the operation\\nif result == '+':\\n answer = a + b\\nelif result == '-':\\n answer = a - b\\nelif result == '*':\\n answer = a * b\\nelif result == '/':\\n answer = a / b\\n\\nprint(f'The result of the random operation is {answer:.0f}')\"}}\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mThe result of the random operation is 200\n", - "\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "The result of the random operation is 200. Now I will search for fun facts about the number 200 and its prime factors.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'fun facts about the number 200'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.express.co.uk/life-style/top10facts/690340/Top-10-facts-number-200', 'content': \"Top 10 facts about the number 200 TODAY is the 200th day of 2016, so to celebrate let's have some facts about the number 200. By WILLIAM HARTSTON. 00:01, Mon, Jul 18, 2016.\"}, {'url': 'https://en.wikipedia.org/wiki/200_(number)', 'content': \"The number appears in the Padovan sequence, preceded by 86, 114, 151 (it is the sum of the first two of these). The sum of Euler's totient function φ(x) over the first twenty-five integers is 200. 200 is the smallest base 10 unprimeable number - it cannot be turned into a prime number by changing just one of its digits to any other digit.\"}, {'url': 'https://www.archimedes-lab.org/numbers/Num70_200.html', 'content': \"With 189 pages filled with an incredible variety of fun facts on numbers (and their peculiar properties), both mathematical and cultural, as well as tantalizing problems and anecdotes, there is much to learn for everyone. ... The number 200, according to Bullinger's study of biblical literature, signifies 'insufficiency'. The word 200 (ducenti) ...\"}, {'url': 'https://owlcation.com/misc/Over-200-Odd-Facts-Did-You-Know-Them', 'content': \"Over 200 odd facts about science, sports, history and more that you and your friends probably don't already know! ... Strange and Interesting Facts. ... Average number of people airborne over the U.S. at any given hour: 61,000. Portion of land in the U.S. owned by the government: 1/3. Ninety percent of New York City cabbies are recently arrived ...\"}, {'url': 'https://numbermatics.com/n/200/', 'content': 'Mathematical info, prime factorization, fun facts and numerical data for STEM, education and fun. Your guide to the number 200, an even composite number composed of two distinct primes. Mathematical info, prime factorization, fun facts and numerical data for STEM, education and fun. ... Number 200 - Facts about the integer. Retrieved 2 April ...'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'prime factors of 200'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.cuemath.com/numbers/factors-of-200/', 'content': 'Therefore, the factors of 200 are 1, 2, 4, 5, 8, 10, 20, 25, 40, 50, 100, and 200. Which means the number 200 is an even composite number.'}, {'url': 'https://byjus.com/maths/factors-of-200/', 'content': \"The factors of 200 are 1, 2, 4, 5, 8, 10, 20, 25, 40, 50, 100 and 200. Visit BYJU'S to learn the pair factors and the prime factors of 200 with complete\\xa0...\"}, {'url': 'https://byjus.com/us/math/factors-of-200/', 'content': 'The factors of 200 are 1, 2, 4, 5, 8, 10, 20, 25, 40, 50, 100 and 200 because all these numbers divide the number 200 evenly.'}, {'url': 'https://homework.study.com/explanation/what-is-the-prime-factorization-of-200-using-exponents.html', 'content': 'The prime factorization of 200 using exponents is 2 3 ∗ 5 2 . First, we need to find the prime factorization of 200. 200 = 2 ∗ 100. 200 = 2 ∗ 2 ∗ 50.'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 1,3,4,6,7,8,9,10\n", - "Cited Documents: 1,3,4,6,7,8,9,10\n", - "Answer: The result of the random operation is **200**. Here are some fun facts about the number 200:\n", - "- It is the smallest base 10 unprimeable number, meaning it cannot be turned into a prime number by changing just one of its digits to any other digit.\n", - "- According to Bullinger's study of biblical literature, the number 200 signifies 'insufficiency'.\n", - "- The number 200 is an even composite number composed of two distinct primes.\n", - "\n", - "The prime factors of 200 are 2 and 5.\n", - "Grounded answer: The result of the random operation is **200**. Here are some fun facts about the number 200:\n", - "- It is the smallest base 10 unprimeable number, meaning it cannot be turned into a prime number by changing just one of its digits to any other digit.\n", - "- According to Bullinger's study of biblical literature, the number 200 signifies 'insufficiency'.\n", - "- The number 200 is an even composite number composed of two distinct primes.\n", - "\n", - "The prime factors of 200 are 2 and 5.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "\"The result of the random operation is **200**. Here are some fun facts about the number 200:\\n- It is the smallest base 10 unprimeable number, meaning it cannot be turned into a prime number by changing just one of its digits to any other digit.\\n- According to Bullinger's study of biblical literature, the number 200 signifies 'insufficiency'.\\n- The number 200 is an even composite number composed of two distinct primes.\\n\\nThe prime factors of 200 are 2 and 5.\"" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - } - }, - "metadata": {}, - "execution_count": 22 - } - ] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "V8LcAh8vaEqR" - }, - "execution_count": 15, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "A question that requires the large language model to directly answer." - ], - "metadata": { - "id": "n9nV_jiaAaD1" - } - }, - { - "cell_type": "code", - "source": [ - "response = agent_executor.invoke({\n", - " \"input\": \"Hey how are you?\",\n", - " \"preamble\": preamble,\n", - "})\n", - "\n", - "response['output']\n", - "\n", - "# note that the modle can directly answer!" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 250 - }, - "id": "tRf6V3gJAZkO", - "outputId": "aec1acdb-876c-48e9-a1f5-e3ba8a0f19ed" - }, - "execution_count": 23, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will respond to the user's greeting.\n", - "{'tool_name': 'directly_answer', 'parameters': {}}\n", - "\u001b[0mdirectly_answer is not a valid tool, try one of [internet_search, vectorstore_search, python_interpreter, random_operation].\u001b[32;1m\u001b[1;3mRelevant Documents: None\n", - "Cited Documents: None\n", - "Answer: I'm an AI chatbot, so I don't have feelings as such, but I'm here to help you with your queries. How can I help?\n", - "Grounded answer: I'm an AI chatbot, so I don't have feelings as such, but I'm here to help you with your queries. How can I help?\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "\"I'm an AI chatbot, so I don't have feelings as such, but I'm here to help you with your queries. How can I help?\"" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - } - }, - "metadata": {}, - "execution_count": 23 - } - ] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "hnLkln_ckYXJ" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "## Ask a more complex question to the ReAct agent\n", - "A question that requires using multipe tools, in sequence" - ], - "metadata": { - "id": "3h-icRL2_5iu" - } - }, - { - "cell_type": "code", - "source": [ - "response = agent_executor.invoke({\n", - " \"input\": \"In what year was the company that was founded as Sound of Music went public? What was its stock price in 2000 and 2010.\",\n", - " \"preamble\": preamble,\n", - "})\n", - "\n", - "response['output']" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 377 - }, - "id": "Q4IyoXXRaEoL", - "outputId": "0e935f68-8b7c-4d9a-b8f1-1be57b7c9a1f" - }, - "execution_count": 27, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for the company that was founded as Sound of Music. Then, I will search for the year it went public. Finally, I will search for its stock price in 2000 and 2010.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'company founded as Sound of Music'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.mprnews.org/story/2012/05/15/best-buy-richard-schulze-legacy', 'content': 'Amazon is taking a piece of everyone\\'s business,\"\\nSome analysts have questioned the extent to which Schulze\\'s strong hand over the company has held it back in the face of digital competition. \"\\nSchulze hit on the \"Best Buy\" strategy when a twister ripped open a store and the company held a huge sale with low prices and lots of promotion. And Richard Schulz was able to outthink and outmaneuver the competition to put up Best Buy as the definitive place in the brick-and-mortar world to buy electronic equipment,\" Spector said.\\n Best Buy\\'s Richard Schulze: Stereo seller to retail giant\\nShare\\nIn 1966, Best Buy was a stereo specialty retailer called Sound of Music, founded by Richard Schulze in St. Paul.\\n Former CEO Anderson said it is possible that Schulze\\'s goals for the company were out of touch with the digital age, and that Schulze may have stuck around too long.\\n'}, {'url': 'https://corporate.bestbuy.com/tag/sound-of-music/', 'content': 'As we celebrate Best Buy’s 50th anniversary, we sat down with founder and Chairman Emeritus Dick Schulze and current Chairman and CEO Hubert Joly to talk about how we got to where we are today and get a glimpse into where we’re going next.\\n Sound of Music\\n22 Aug: Best Buy at 50: A Q&A with Founder Dick Schulze and CEO Hubert Joly\\nTechnology has changed a lot over the past half century, and so has Best Buy.\\n 14 Jun: 35 Years Ago Today, A Tornado Transformed Best Buy\\nOn the afternoon of June 14, 1981, a tornado slammed into the Minneapolis suburbs, mere miles from where the Best Buy corporate headquarters now stands.\\n Little did he know that it would become Best Buy, a nearly $40 billion company that now sells everything from TVs and laptops to drones and virtual-reality headsets.\\n It was the most significant tornado to hit the Minneapolis-St. Paul area in 20 years — and its wake would change the path of our company.\\n'}, {'url': 'https://historydraft.com/story/best-buy/timeline/841', 'content': \"Best Buy announced the shutdown of the Future Shop chain in Canada\\nOn March 28, 2015, Best Buy announced the shutdown of the Future Shop chain in Canada; 65 of its 131 former locations were converted into Best Buy locations, while the rest (primarily those in close proximity to an existing Best Buy) were closed permanently.\\n Best Buy Company, Inc\\nIn 1983, with seven stores and $10 million in annual sales, Sound of Music was renamed Best Buy Company, Inc.\\nBest Buy debuted on the New York Stock Exchange\\nBest Buy was taken public in 1985, and two years later it debuted on the New York Stock Exchange.\\n The company closed all of its Best Buy-branded stores in China\\nThe company closed all of its Best Buy-branded stores in China by February 2011, when it merged Best Buy China's operations with Jiangsu Five Star, which had become a wholly-owned subsidiary of Best Buy in 2009.\\n Best Buy announced that it would start selling musical instruments and related gear in over 80 of its retail stores\\nIn July 2008, Best Buy announced that it would start selling musical instruments and related gear in over 80 of its retail stores, making the company the second-largest musical-instrument distributor in the US.\\n Best Buy hired Virtucom Group to revamp Best Buy's website and handle all of the company's online content\\nIn January 2004, Best Buy hired Virtucom Group to revamp Best Buy's website and handle all of the company's online content.\\n\"}, {'url': 'https://en.wikipedia.org/wiki/Best_Buy', 'content': 'Under the Geek Squad brand, Best Buy offers computer repair, warranty service, and accidental service plans.[2] Best Buy provides an online community forum for members, where consumers can discuss product experiences, ask questions, and get answers from other members or retail product experts.[82]\\nThe building exteriors of Best Buy-branded stores are typically light brown, with the entrance designed to look like a blue box emerging from the structure.[83] Corporate employees operated under a results only work environment from 2005 until March 2013, when the management style was abandoned by Best Buy CEO Hubert Joly.[84][85]\\nAs of October 29, 2016, Best Buy operated 1,026 Best Buy, 331 Best Buy Mobile stand-alone stores, and 28 stand-alone Pacific Sales stores in the US.[2] Best Buy also operated: 135 Best Buy and 53 Best Buy Mobile stand-alone stores in Canada; and 18 Best Buy stores and 5 Best Buy Express stores in Mexico.[2] Best Buy exited the European market in April 2013, selling its stake in the business back to its partner Carphone Warehouse.[71][72]\\nHouse brands[edit]\\nBest Buy also produces products under eight house brands:[2]\\nControversies[edit]\\nWarranty[edit]\\n The company, in announcing the result, said it was focusing more on digital media in its marketing, moving away from newspaper, magazine, and television advertising.[73]\\nOn March 28, 2015, Best Buy announced the shutdown of the Future Shop chain in Canada; 65 of its 131 former locations were converted into Best Buy locations, while the rest (primarily those in close proximity to an existing Best Buy) were closed permanently.[74]\\nOn March 1, 2018, the company announced that it would shut down its 250 standalone Best Buy Mobile stores in the United States by the end of May, due to low revenue and high costs. In August 2022, Best Buy said it would be laying off employees across the country after warnings of weaker sales, and the company cut its forecast for the remainder of 2022.[79]\\nOn October 13, 2023, Best Buy announced that it would phase out the sale of physical home media in early 2024, citing changes in the market due to the prevalence of streaming video on demand services.[80][81]\\nCorporate affairs[edit]\\nBusiness operations[edit]\\nBest Buy sells consumer electronics and a variety of related merchandise, including software, video games, music, mobile phones, digital cameras, car stereos, and video cameras, in addition to home appliances (washing machines, dryers, and refrigerators), in a noncommissioned sales environment.[2] The Best Buy Mobile stores were reported to account for 1% of the company\\'s revenue.[75]\\nOn May 9, 2018, the company unveiled a new logo for the first time in nearly three decades.[76]\\nOn July 2, 2018, Best Buy announced it was cutting the amount of store space devoted to selling physical music, citing the popularity of streaming services as having reduced sales.[77]\\nOn April 15, 2019, Best Buy announced that in June 2019, its current CFO, Corie Barry, would replace Hubert Joly[5] who held the position of CEO since August 2012. The customer was indicted for possession of child pornography, although the judge in the case later threw out nearly all the evidence against the defendant due to \"false and misleading statements\" made by an FBI agent while trying to secure a search warrant for the customer\\'s house, and the government ultimately dropped the case.[97]\\nPrivacy[edit]\\nOn October 20, 2023, CBC News released the results of a Marketplace investigation which found that that Best Buy technicians had viewed private files, such as intimate photos, on customer devices.'}, {'url': 'https://www.company-histories.com/Best-Buy-Co-Inc-Company-History.html', 'content': 'As the Best Buy chain pushed past the 500-store mark in 2003 with the opening of 67 new stores in the United States, including the first stores in Alaska, Idaho, Utah, and West Virginia, the situation at the Musicland chains was deteriorating. Returning to the Core: 2003 and Beyond\\nDespite the completion of this acquisition, Best Buy pushed ahead with a previously planned expansion of the Best Buy chain into Canada, opening eight stores in the Toronto area in the fall of 2002. Significant changes were made to the product mix, particularly by eliminating slower selling product lines and models; a greater emphasis was placed on selling service plans to customers; and \"high touch\" areas were added to the stores to help sell the burgeoning array of digital consumer products, such as cameras, cellular phones, satellite systems, and the fast-selling DVD player (first introduced in 1996) for which customers often needed more assistance. This rapid growth in digital product sales also inspired a shift in the overall product mix: sales of consumer electronics products (33 percent of the total) surpassed the sales of home office products (31 percent) for the first time (in 1999 these figures were 27 percent and 36 percent, respectively). Magnolia was founded in 1954 by Len Tweten, who built the firm into one of the most respected audio-video retailers in the nation based on the high quality of its merchandise, its dedication to exceptional customer service, and its renowned in-house repair/installation department.'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I have found that Best Buy was founded as Sound of Music. Now, I will search for the year it went public and its stock price in 2000 and 2010.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'Best Buy went public'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.zippia.com/best-buy-careers-1455/history/', 'content': 'Meantime, Best Buy was taken public in 1985, raising $8 million through an IPO, and two years later gained a listing on the New York Stock Exchange (NYSE). ... Best Buy may also be known as or be related to Best Buy, Best Buy Co Inc, Best Buy Co., Inc., Sound of Music (1966-1983) Best Buy Co. Superstores (1983-1984) Best Buy Superstores ...'}, {'url': 'https://atouchofbusiness.com/companies/best-buy/', 'content': 'Public Listing and IPO: Best Buy went public in 1985 and listed on the NYSE in 1987. Conceptual Changes: The late 1980s and 1990s brought new store formats and growth, with revenues surpassing $1 billion. Overcoming Market Challenges. Supplier Relations: Mid-1990s challenges led to a merchandising revamp.'}, {'url': 'https://www.encyclopedia.com/social-sciences-and-law/economics-business-and-labor/businesses-and-occupations/best-buy-co-inc', 'content': 'Best Buy grew rapidly with $28.5 million in sales in 1984—compared to $9.9 million in 1983. In 1985, with 9 stores, Best Buy became a public company. By 1987, Best Buy had 24 stores and sales of $240 million, but it was beginning to feel the crunch as other rapidly expanding consumer electronics retailers pushed their way into the market.'}, {'url': 'https://www.company-histories.com/Best-Buy-Co-Inc-Company-History.html', 'content': 'As the Best Buy chain pushed past the 500-store mark in 2003 with the opening of 67 new stores in the United States, including the first stores in Alaska, Idaho, Utah, and West Virginia, the situation at the Musicland chains was deteriorating. Returning to the Core: 2003 and Beyond\\nDespite the completion of this acquisition, Best Buy pushed ahead with a previously planned expansion of the Best Buy chain into Canada, opening eight stores in the Toronto area in the fall of 2002. Significant changes were made to the product mix, particularly by eliminating slower selling product lines and models; a greater emphasis was placed on selling service plans to customers; and \"high touch\" areas were added to the stores to help sell the burgeoning array of digital consumer products, such as cameras, cellular phones, satellite systems, and the fast-selling DVD player (first introduced in 1996) for which customers often needed more assistance. This rapid growth in digital product sales also inspired a shift in the overall product mix: sales of consumer electronics products (33 percent of the total) surpassed the sales of home office products (31 percent) for the first time (in 1999 these figures were 27 percent and 36 percent, respectively). Magnolia was founded in 1954 by Len Tweten, who built the firm into one of the most respected audio-video retailers in the nation based on the high quality of its merchandise, its dedication to exceptional customer service, and its renowned in-house repair/installation department.'}, {'url': 'https://en.wikipedia.org/wiki/Best_Buy', 'content': 'Under the Geek Squad brand, Best Buy offers computer repair, warranty service, and accidental service plans.[2] Best Buy provides an online community forum for members, where consumers can discuss product experiences, ask questions, and get answers from other members or retail product experts.[82]\\nThe building exteriors of Best Buy-branded stores are typically light brown, with the entrance designed to look like a blue box emerging from the structure.[83] Corporate employees operated under a results only work environment from 2005 until March 2013, when the management style was abandoned by Best Buy CEO Hubert Joly.[84][85]\\nAs of October 29, 2016, Best Buy operated 1,026 Best Buy, 331 Best Buy Mobile stand-alone stores, and 28 stand-alone Pacific Sales stores in the US.[2] Best Buy also operated: 135 Best Buy and 53 Best Buy Mobile stand-alone stores in Canada; and 18 Best Buy stores and 5 Best Buy Express stores in Mexico.[2] Best Buy exited the European market in April 2013, selling its stake in the business back to its partner Carphone Warehouse.[71][72]\\nHouse brands[edit]\\nBest Buy also produces products under eight house brands:[2]\\nControversies[edit]\\nWarranty[edit]\\n The company, in announcing the result, said it was focusing more on digital media in its marketing, moving away from newspaper, magazine, and television advertising.[73]\\nOn March 28, 2015, Best Buy announced the shutdown of the Future Shop chain in Canada; 65 of its 131 former locations were converted into Best Buy locations, while the rest (primarily those in close proximity to an existing Best Buy) were closed permanently.[74]\\nOn March 1, 2018, the company announced that it would shut down its 250 standalone Best Buy Mobile stores in the United States by the end of May, due to low revenue and high costs. In August 2022, Best Buy said it would be laying off employees across the country after warnings of weaker sales, and the company cut its forecast for the remainder of 2022.[79]\\nOn October 13, 2023, Best Buy announced that it would phase out the sale of physical home media in early 2024, citing changes in the market due to the prevalence of streaming video on demand services.[80][81]\\nCorporate affairs[edit]\\nBusiness operations[edit]\\nBest Buy sells consumer electronics and a variety of related merchandise, including software, video games, music, mobile phones, digital cameras, car stereos, and video cameras, in addition to home appliances (washing machines, dryers, and refrigerators), in a noncommissioned sales environment.[2] The Best Buy Mobile stores were reported to account for 1% of the company\\'s revenue.[75]\\nOn May 9, 2018, the company unveiled a new logo for the first time in nearly three decades.[76]\\nOn July 2, 2018, Best Buy announced it was cutting the amount of store space devoted to selling physical music, citing the popularity of streaming services as having reduced sales.[77]\\nOn April 15, 2019, Best Buy announced that in June 2019, its current CFO, Corie Barry, would replace Hubert Joly[5] who held the position of CEO since August 2012. The customer was indicted for possession of child pornography, although the judge in the case later threw out nearly all the evidence against the defendant due to \"false and misleading statements\" made by an FBI agent while trying to secure a search warrant for the customer\\'s house, and the government ultimately dropped the case.[97]\\nPrivacy[edit]\\nOn October 20, 2023, CBC News released the results of a Marketplace investigation which found that that Best Buy technicians had viewed private files, such as intimate photos, on customer devices.'}]\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'Best Buy stock price in 2000 and 2010'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://finance.yahoo.com/quote/BBY/history/', 'content': 'Discover historical prices for BBY stock on Yahoo Finance. View daily, weekly or monthly format back to when Best Buy Co., Inc. stock was issued.'}, {'url': 'https://www.macrotrends.net/stocks/charts/BBY/best-buy/stock-price-history', 'content': 'Best Buy - 39 Year Stock Price History | BBY. Prices ... 2010, 25.1877, 25.7674, 31.2441, 20.2713, 22.3228 ... 2000, 16.0083, 15.2882, 22.8658, 5.9318, 7.8595, -\\xa0...'}, {'url': 'https://investors.bestbuy.com/investor-relations/stock-info/quote-and-chart/', 'content': 'Price 79.30. Change -0.88. Volume 666,622.'}, {'url': 'https://companiesmarketcap.com/best-buy/stock-price-history/', 'content': 'Stock price history for Best Buy (BBY). Highest end of day price: $138.00 USD on 2021-11-22. Lowest end of day price: $0.14 USD on 1985-05-02\\xa0...'}, {'url': 'https://www.netcials.com/stock-price-chart-history-nyse/BBY-Best-Buy-Co-Inc/', 'content': '1 Best Buy Co Inc (BBY) 20 Years Stock Chart History ; 2000, 16.24 (17.6%), 23.199 (29.07%) ; 2001, 14.80 (-8.87%), 20.1319 (-13.22%) ; 2002, 14.59 (-1.42%)\\xa0...'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0,1,2,3,4,5,6,7,11,13,14\n", - "Cited Documents: 0,1,2,3,4,5,6,7,11,14\n", - "Answer: Best Buy, which was founded as Sound of Music, went public in 1985. Its stock price in 2000 was between $5.93 and $16.24 and in 2010, it was between $20.27 and $31.24.\n", - "Grounded answer: Best Buy, which was founded as Sound of Music, went public in 1985. Its stock price in 2000 was between $5.93 and $16.24 and in 2010, it was between $20.27 and $31.24.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "'Best Buy, which was founded as Sound of Music, went public in 1985. Its stock price in 2000 was between $5.93 and $16.24 and in 2010, it was between $20.27 and $31.24.'" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - } - }, - "metadata": {}, - "execution_count": 27 - } - ] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "L1BsKueTaEmg" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "## Have a multi-turn conversation with the ReAct agent\n", - "The chat history enables you to have multi-turn conversations with the ReAct agent." - ], - "metadata": { - "id": "53FDSFzQBFyf" - } - }, - { - "cell_type": "code", - "source": [ - "# Step 1: Construct the chat history as a list of LangChain Messages, ending with the last user message\n", - "from langchain_core.messages import HumanMessage, AIMessage\n", - "\n", - "chat_history = [\n", - " HumanMessage(content=\"I'm considering switching to Oracle for my CRM.\"),\n", - " AIMessage(content=\"That sounds like a good idea! How can I help you?\"),\n", - " HumanMessage(content=\"Recap me all the info you can find about their offering.\"),\n", - "]\n", - "\n", - "prompt = ChatPromptTemplate.from_messages(chat_history)" - ], - "metadata": { - "id": "1iYyAExsaEk9" - }, - "execution_count": 28, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# Step 2: When you make the agent, specify the chat_history as the prompt, e.g.\n", - "# Create the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=[internet_search, vectorstore_search, python_tool],\n", - " prompt=prompt,\n", - ")\n", - "\n", - "agent_executor = AgentExecutor(agent=agent, tools=[internet_search, vectorstore_search, python_tool], verbose=True)" - ], - "metadata": { - "id": "fyv_1LedaEi7" - }, - "execution_count": 29, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# Step 3: When you invoke the agent_executor there's no need to pass anything else into invoke\n", - "response = agent_executor.invoke({\n", - " \"preamble\": preamble,\n", - "})\n", - "\n", - "response['output']" - ], - "metadata": { - "id": "-ZCFj-m5nqFw", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 538 - }, - "outputId": "3d71931e-1644-49b9-903c-4ff117787868" - }, - "execution_count": 30, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for 'Oracle CRM offering' and relay the information I find to the user.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'Oracle CRM offering'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://docs.oracle.com/en/applications/siebel/', 'content': 'Siebel CRM delivers a combination of transactional, analytical, and engagement features to manage all customer-facing operations. With solutions tailored to more than 20 industries, Siebel CRM delivers comprehensive on-premise CRM solutions that are tailored industry solutions with role-based customer intelligence and pre-built integration.'}, {'url': 'https://www.softwareadvice.com/resources/breaking-down-oracle-crm/', 'content': \"Oracle's Marketing Cloud provides a comprehensive set of tools that cover a range of digital marketing needs. This includes tools for cross-channel marketing, i.e., marketing automation for both B2B and B2C marketers, as well as data management, content marketing and social media marketing. Cross-Channel Marketing.\"}, {'url': 'https://www.trustradius.com/products/oracle-crm-on-demand/reviews?qs=pros-and-cons', 'content': \"What is Oracle CRM On Demand?The basis of this offering is the Market2Lead product that Oracle acquired in 2010. It has now been fully integrated with Oracle's On Demand CRM product and is a full-featured marketing automation product with features from lead management and nurturing, to measuring marketing ROI.\"}, {'url': 'https://www.oracle.com/cx/siebel/', 'content': 'In addition to standard CRM functionality, this industry solution includes asset, premises, and contracts management; work orders; oil well management and oil field service; B2C and B2B web portals; and credit and fraud management capabilities.\\nDesigned for pharmaceutical sales, Siebel CRM Life Sciences provides personalized content delivery tools to help sales and marketing teams deliver the right messages during each customer interaction.\\n Marketing, sales, and customer service applications are fully integrated and designed to manage the complex interactions and relationships between brand owners, their partners (including brokers and distributors), their customers, and the end consumer.\\n It leverages data and AI to transform CX–launching offers, acquiring and retaining customers, omnichannel ecommerce and customer care, and fulfilling and monetizing services.\\n It leverages data and AI to transform CX–launching offers, acquiring and retaining customers, omnichannel ecommerce and customer care, and fulfilling and monetizing services.\\n Provide world-class citizen services while delivering comprehensive, cost-efficient case management and policy management, including social services, justice and public safety, constituent services/311, self-service citizen portals, tax and revenue, and licensing and permitting.\\n'}, {'url': 'https://www.suretysystems.com/insights/oracle-customer-relationship-management-a-complete-overview/', 'content': 'Effective CRM systems offer the following features for enterprise users: Simple, easy-to-use interface; ... Oracle CRM simplifies customer relationship management by enhancing customer interactions and improving customer satisfaction and sales growth. Oracle Client Experience (CX), a connected suite of tools that transcends standard CRM ...'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0,1,2,3,4\n", - "Cited Documents: 0,1,2,3,4\n", - "Answer: Oracle's CRM offering includes the following:\n", - "- Marketing Cloud, which provides a comprehensive set of tools that cover a range of digital marketing needs, including cross-channel marketing, marketing automation, data management, content marketing and social media marketing\n", - "- CRM On Demand, which is a full-featured marketing automation product with features from lead management and nurturing, to measuring marketing ROI\n", - "- Siebel CRM, which delivers a combination of transactional, analytical, and engagement features to manage all customer-facing operations, with solutions tailored to more than 20 industries\n", - "- Siebel CRM Life Sciences, which provides personalised content delivery tools to help sales and marketing teams deliver the right messages during each customer interaction\n", - "- Oracle Client Experience (CX), a connected suite of tools that transcends standard CRM\n", - "Grounded answer: Oracle's CRM offering includes the following:\n", - "- Marketing Cloud, which provides a comprehensive set of tools that cover a range of digital marketing needs, including cross-channel marketing, marketing automation, data management, content marketing and social media marketing\n", - "- CRM On Demand, which is a full-featured marketing automation product with features from lead management and nurturing, to measuring marketing ROI\n", - "- Siebel CRM, which delivers a combination of transactional, analytical, and engagement features to manage all customer-facing operations, with solutions tailored to more than 20 industries\n", - "- Siebel CRM Life Sciences, which provides personalised content delivery tools to help sales and marketing teams deliver the right messages during each customer interaction\n", - "- Oracle Client Experience (CX), a connected suite of tools that transcends standard CRM\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "\"Oracle's CRM offering includes the following:\\n- Marketing Cloud, which provides a comprehensive set of tools that cover a range of digital marketing needs, including cross-channel marketing, marketing automation, data management, content marketing and social media marketing\\n- CRM On Demand, which is a full-featured marketing automation product with features from lead management and nurturing, to measuring marketing ROI\\n- Siebel CRM, which delivers a combination of transactional, analytical, and engagement features to manage all customer-facing operations, with solutions tailored to more than 20 industries\\n- Siebel CRM Life Sciences, which provides personalised content delivery tools to help sales and marketing teams deliver the right messages during each customer interaction\\n- Oracle Client Experience (CX), a connected suite of tools that transcends standard CRM\"" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - } - }, - "metadata": {}, - "execution_count": 30 - } - ] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "8Zh01M4hWw3L" - }, - "execution_count": 30, - "outputs": [] }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "0qEPY6xj_qwr" - }, - "execution_count": null, - "outputs": [] - } - ] + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/agents/Vanilla_Tool_Use.ipynb b/notebooks/agents/Vanilla_Tool_Use.ipynb index 860dab2e..3b588e1a 100644 --- a/notebooks/agents/Vanilla_Tool_Use.ipynb +++ b/notebooks/agents/Vanilla_Tool_Use.ipynb @@ -1,674 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YN-eakfxtLGd" - }, - "source": [ - "# Tool Use\n", - "\n", - "Tool use allows customers to **connect their large language models to external tools like search engines, APIs, functions, databases**, etc.\n", - "\n", - "This allows the customer's model to unlock a richer set of behaviors by leveraging data stored in tools, taking actions through APIs, interacting with a vector database, querying a search engine, etc.\n", - "\n", - "This is particularly valuable for enterprise customers, since a lot of enterprise data lives in external sources.\n", - "\n", - "Tool Use consists of 4 steps:\n", - "- Step 1: the user configures the request to the model\n", - "- Step 2: the **model smartly decides which tool(s) to use and how**\n", - "- Step 3: the tool calls are executed to mock database\n", - "- Step 4: the **model generates a final answer with precise citations based on the tool results**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "us5dkKrLCbXW", - "outputId": "94c97f62-77fb-4492-a4e4-d9eeee4e438c" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m52.8/52.8 kB\u001b[0m \u001b[31m1.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m28.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Vanilla_Tool_Use.ipynb." + ] } - ], - "source": [ - "# we'll use Cohere to do Tool Use\n", - "# TODO: upgrade to \"cohere>5\"\n", - "%pip install \"cohere<5\" --quiet" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "j0DC3iPftLGo" - }, - "outputs": [], - "source": [ - "import cohere, json\n", - "API_KEY = \"...\" # fill in your Cohere API key here\n", - "co = cohere.Client(API_KEY)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0T7yc1PltLGp" - }, - "source": [ - "## Step 0: Create a mock database\n", - "First, we'll define the mock data that our tools will query. This data represents sales reports and a product catalog." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "yZffY8xItLGp" - }, - "outputs": [], - "source": [ - "# Mock database containing daily sales reports\n", - "sales_database = {\n", - " '2023-09-28': {\n", - " 'total_sales_amount': 5000,\n", - " 'total_units_sold': 100,\n", - " },\n", - " '2023-09-29': {\n", - " 'total_sales_amount': 10000,\n", - " 'total_units_sold': 250,\n", - " },\n", - " '2023-09-30': {\n", - " 'total_sales_amount': 8000,\n", - " 'total_units_sold': 200,\n", - " }\n", - "}\n", - "\n", - "# Mock product catalog\n", - "product_catalog = {\n", - " 'Electronics': [\n", - " {'product_id': 'E1001', 'name': 'Smartphone', 'price': 500, 'stock_level': 20},\n", - " {'product_id': 'E1002', 'name': 'Laptop', 'price': 1000, 'stock_level': 15},\n", - " {'product_id': 'E1003', 'name': 'Tablet', 'price': 300, 'stock_level': 25},\n", - " ],\n", - " 'Clothing': [\n", - " {'product_id': 'C1001', 'name': 'T-Shirt', 'price': 20, 'stock_level': 100},\n", - " {'product_id': 'C1002', 'name': 'Jeans', 'price': 50, 'stock_level': 80},\n", - " {'product_id': 'C1003', 'name': 'Jacket', 'price': 100, 'stock_level': 40},\n", - " ]\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6TGWYiOdtLGp" - }, - "source": [ - "Now, we'll define the tools that simulate querying this database. \n", - "You could for example use the API of an enterprise sales platform.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "YuIH4us8tLGp" - }, - "outputs": [], - "source": [ - "def query_daily_sales_report(day: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve the sales report for the given day\n", - " \"\"\"\n", - " report = sales_database.get(day, {})\n", - " if report:\n", - " return {\n", - " 'date': day,\n", - " 'summary': f\"Total Sales Amount: {report['total_sales_amount']}, Total Units Sold: {report['total_units_sold']}\"\n", - " }\n", - " else:\n", - " return {'date': day, 'summary': 'No sales data available for this day.'}\n", - "\n", - "\n", - "def query_product_catalog(category: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve products for the given category\n", - " \"\"\"\n", - " products = product_catalog.get(category, [])\n", - " return {\n", - " 'category': category,\n", - " 'products': products\n", - " }\n", - "\n", - "\n", - "functions_map = {\n", - " \"query_daily_sales_report\": query_daily_sales_report,\n", - " \"query_product_catalog\": query_product_catalog\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "HZRhTu4ftLGp" - }, - "source": [ - "## Step 1 - the user configures the request to the model\n", - "\n", - "The developer provides a few things to the model:\n", - "- A preamble containing instructions about the task and the desired style for the output.\n", - "- The user request.\n", - "- A list of tools to the model.\n", - "- (Optionally) a chat history for the model to work with.\n", - "\n", - "\n", - "You can specify one or many tools to the model. Every tool needs to be described with a JSON schema, indicating the tool name, description, and parameters (code snippets below).\n", - "\n", - "In our example, we provide two tools to the model: `daily_sales_report` and `product_catalog`.\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "aIk-of_OtLGp" - }, - "outputs": [], - "source": [ - "# tool descriptions that the model has access to\n", - "# note: Cohere always adds a \"directly_answer\" tool under the hood, so that the model can decide to not leverage any tool, if they're not needed.\n", - "tools = [\n", - " {\n", - " \"name\": \"query_daily_sales_report\",\n", - " \"description\": \"Connects to a database to retrieve overall sales volumes and sales information for a given day.\",\n", - " \"parameter_definitions\": {\n", - " \"day\": {\n", - " \"description\": \"Retrieves sales data for this day, formatted as YYYY-MM-DD.\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " },\n", - " {\n", - " \"name\": \"query_product_catalog\",\n", - " \"description\": \"Connects to a a product catalog with information about all the products being sold, including categories, prices, and stock levels.\",\n", - " \"parameter_definitions\": {\n", - " \"category\": {\n", - " \"description\": \"Retrieves product information data for all products in this category.\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "k2AHJRnztLGp" - }, - "source": [ - "Now let's define the user request. \n", - "\n", - "In our example we'll use: \"Can you provide a sales summary for 29th September 2023, and also give me the details of all products in the 'Electronics' category that were sold that day, including their prices and stock levels?\"\n", - "\n", - "Only a langage model with Tool Use can answer this request: it requires looking up information in the right external tools (step 2), and then providing a final answer based on the tool results (step 4)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "JuDgJ7fjtLGq" - }, - "outputs": [], - "source": [ - "# preamble containing instructions about the task and the desired style for the output.\n", - "preamble = \"\"\"\n", - "## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"\n", - "\n", - "# user request\n", - "message = \"Can you provide a sales summary for 29th September 2023, and also give me some details about the products in the 'Electronics' category, for example their prices and stock levels?\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1NhW-G_JtLGq" - }, - "source": [ - "## Step 2 – the model smartly decides which tool(s) to use and how\n", - "The model intelligently selects the right tool(s) to call -- and the right parameters for each tool call -- based on the content of the user message." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "o79_n99GtLGq", - "outputId": "81789d00-01b9-4c17-d1b0-1668d75a2b86" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The model recommends doing the following tool calls:\n", - "cohere.ToolCall {\n", - "\tname: query_daily_sales_report\n", - "\tparameters: {'day': '2023-09-29'}\n", - "\tgeneration_id: eaf955e3-623d-4796-bf51-23b07c66ed2c\n", - "}\n", - "cohere.ToolCall {\n", - "\tname: query_product_catalog\n", - "\tparameters: {'category': 'Electronics'}\n", - "\tgeneration_id: eaf955e3-623d-4796-bf51-23b07c66ed2c\n", - "}\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "response = co.chat(\n", - " message=message,\n", - " tools=tools,\n", - " preamble=preamble,\n", - " model=\"command-r\"\n", - ")\n", - "\n", - "# Note that the Cohere Chat API also exposes:\n", - "# - stream (for streaming mode)\n", - "# - chat_history\n", - "# - among other parameters\n", - "# See https://docs.cohere.com/reference/chat for details.\n", - "\n", - "print(\"The model recommends doing the following tool calls:\")\n", - "print(\"\\n\".join(str(tool_call) for tool_call in response.tool_calls))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "md_9QPcxtLGq" - }, - "source": [ - "## Step 3 – the tool calls are executed\n", - "\n", - "You can then execute the appropriate calls, using the tool calls and tool parameters generated by the model. \n", - "These tool calls return tool results that will be fed to the model in Step 4." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "1LuDCRpFtLGr", - "outputId": "42ead35e-225a-4b9a-c954-b526f2865350" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "= running tool query_daily_sales_report, with parameters: {'day': '2023-09-29'}\n", - "== tool results: [{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}]\n", - "= running tool query_product_catalog, with parameters: {'category': 'Electronics'}\n", - "== tool results: [{'category': 'Electronics', 'products': [{'product_id': 'E1001', 'name': 'Smartphone', 'price': 500, 'stock_level': 20}, {'product_id': 'E1002', 'name': 'Laptop', 'price': 1000, 'stock_level': 15}, {'product_id': 'E1003', 'name': 'Tablet', 'price': 300, 'stock_level': 25}]}]\n", - "Tool results that will be fed back to the model in step 4:\n", - "[\n", - " {\n", - " \"call\": {\n", - " \"name\": \"query_daily_sales_report\",\n", - " \"parameters\": {\n", - " \"day\": \"2023-09-29\"\n", - " },\n", - " \"generation_id\": \"eaf955e3-623d-4796-bf51-23b07c66ed2c\"\n", - " },\n", - " \"outputs\": [\n", - " {\n", - " \"date\": \"2023-09-29\",\n", - " \"summary\": \"Total Sales Amount: 10000, Total Units Sold: 250\"\n", - " }\n", - " ]\n", - " },\n", - " {\n", - " \"call\": {\n", - " \"name\": \"query_product_catalog\",\n", - " \"parameters\": {\n", - " \"category\": \"Electronics\"\n", - " },\n", - " \"generation_id\": \"eaf955e3-623d-4796-bf51-23b07c66ed2c\"\n", - " },\n", - " \"outputs\": [\n", - " {\n", - " \"category\": \"Electronics\",\n", - " \"products\": [\n", - " {\n", - " \"product_id\": \"E1001\",\n", - " \"name\": \"Smartphone\",\n", - " \"price\": 500,\n", - " \"stock_level\": 20\n", - " },\n", - " {\n", - " \"product_id\": \"E1002\",\n", - " \"name\": \"Laptop\",\n", - " \"price\": 1000,\n", - " \"stock_level\": 15\n", - " },\n", - " {\n", - " \"product_id\": \"E1003\",\n", - " \"name\": \"Tablet\",\n", - " \"price\": 300,\n", - " \"stock_level\": 25\n", - " }\n", - " ]\n", - " }\n", - " ]\n", - " }\n", - "]\n" - ] - } - ], - "source": [ - "tool_results = []\n", - "# Iterate over the tool calls generated by the model\n", - "for tool_call in response.tool_calls:\n", - " # here is where you would call the tool recommended by the model, using the parameters recommended by the model\n", - " print(f\"= running tool {tool_call.name}, with parameters: {tool_call.parameters}\")\n", - " output = functions_map[tool_call.name](**tool_call.parameters)\n", - " # store the output in a list\n", - " outputs = [output]\n", - " print(f\"== tool results: {outputs}\")\n", - " # store your tool results in this format\n", - " tool_results.append({\n", - " \"call\": tool_call,\n", - " \"outputs\": outputs\n", - " })\n", - "\n", - "print(\"Tool results that will be fed back to the model in step 4:\")\n", - "print(json.dumps(tool_results, indent=4))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8cKlLk18tLGr" - }, - "source": [ - "## Step 4 - the model generates a final answer based on the tool results\n", - "Finally, the developer calls the Cohere model, providing the tools results, in order to generate the model's final answer. \n", - "\n", - "Bonus: At Cohere, all Tool Use calls come with... **precise citations**! 🎉\n", - "The model cites which tool results were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from. \n", - "They help users gain visibility into the model reasoning, and sanity check the final model generation. \n", - "These citations are optional — you can decide to ignore them.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "MKnjXVfXtLGr" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message=message,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " preamble=preamble,\n", - " model=\"command-r\",\n", - " temperature=0.3\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "jlxKTsaztLGr", - "outputId": "b2cd8667-bca9-4928-c423-61930b4b49fa" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final answer:\n", - "On the 29th of September 2023, there were 10,000 sales with 250 units sold. \n", - "\n", - "The Electronics category contains three products. There are details below:\n", - "\n", - "| Product Name | Price | Stock Level |\n", - "| ------------ | ----- | ----------- |\n", - "| Smartphone | 500 | 20 |\n", - "| Laptop | 1000 | 15 |\n", - "| Tablet | 300 | 25 | \n", - "\n", - "The total stock level for Electronics items is 50.\n" - ] - } - ], - "source": [ - "print(\"Final answer:\")\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "niMkAr2PN9j4" - }, - "source": [ - "## Bonus: Citations come for free with Cohere! 🎉\n", - "\n", - "At Cohere, model generations come with... precise citations! 🎉\n", - "The model cites which groups of words, in the tool results, were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from. \n", - "They help users gain visibility into the model reasoning, and sanity check the final model generation. \n", - "These citations are optional — you can decide to ignore them." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "9wuoCUBwtLGr", - "outputId": "da3c0dc5-6b87-42ea-d64b-e7e85c40273e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Citations that support the final answer:\n", - "{'start': 7, 'end': 29, 'text': '29th of September 2023', 'document_ids': ['query_daily_sales_report:0:0']}\n", - "{'start': 42, 'end': 75, 'text': '10,000 sales with 250 units sold.', 'document_ids': ['query_daily_sales_report:0:0']}\n", - "{'start': 112, 'end': 127, 'text': 'three products.', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 234, 'end': 244, 'text': 'Smartphone', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 247, 'end': 250, 'text': '500', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 253, 'end': 255, 'text': '20', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 260, 'end': 266, 'text': 'Laptop', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 269, 'end': 273, 'text': '1000', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 276, 'end': 278, 'text': '15', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 283, 'end': 289, 'text': 'Tablet', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 292, 'end': 295, 'text': '300', 'document_ids': ['query_product_catalog:1:0']}\n", - "{'start': 298, 'end': 300, 'text': '25', 'document_ids': ['query_product_catalog:1:0']}\n" - ] - } - ], - "source": [ - "print(\"Citations that support the final answer:\")\n", - "for cite in response.citations:\n", - " print(cite)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "7td1J80DOKTM", - "outputId": "e6e4fa7d-67eb-42ca-e736-9a45a47a6c1d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "On the **29th of September 2023**[1], there were **10,000 sales with 250 units sold.**[1] \n", - "\n", - "The Electronics category contains **three products.**[2] There are details below:\n", - "\n", - "| Product Name | Price | Stock Level |\n", - "| ------------ | ----- | ----------- |\n", - "| **Smartphone**[2] | **500**[2] | **20**[2] |\n", - "| **Laptop**[2] | **1000**[2] | **15**[2] |\n", - "| **Tablet**[2] | **300**[2] | **25**[2] | \n", - "\n", - "The total stock level for Electronics items is 50.\n", - "\n", - "[1] source: [{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}] \n", - " based on tool call: {'name': 'query_daily_sales_report', 'parameters': {'day': '2023-09-29'}, 'generation_id': 'eaf955e3-623d-4796-bf51-23b07c66ed2c'}\n", - "[2] source: [{'category': 'Electronics', 'products': [{'product_id': 'E1001', 'name': 'Smartphone', 'price': 500, 'stock_level': 20}, {'product_id': 'E1002', 'name': 'Laptop', 'price': 1000, 'stock_level': 15}, {'product_id': 'E1003', 'name': 'Tablet', 'price': 300, 'stock_level': 25}]}] \n", - " based on tool call: {'name': 'query_product_catalog', 'parameters': {'category': 'Electronics'}, 'generation_id': 'eaf955e3-623d-4796-bf51-23b07c66ed2c'}\n" - ] - } - ], - "source": [ - "def insert_citations_in_order(text, citations):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - " offset = 0\n", - " document_id_to_number = {}\n", - " citation_number = 0\n", - " modified_citations = []\n", - "\n", - " # Process citations, assigning numbers based on unique document_ids\n", - " for citation in citations:\n", - " citation_numbers = []\n", - " for document_id in sorted(citation[\"document_ids\"]):\n", - " if document_id not in document_id_to_number:\n", - " citation_number += 1 # Increment for a new document_id\n", - " document_id_to_number[document_id] = citation_number\n", - " citation_numbers.append(document_id_to_number[document_id])\n", - "\n", - " # Adjust start/end with offset\n", - " start, end = citation['start'] + offset, citation['end'] + offset\n", - " placeholder = ''.join([f'[{number}]' for number in citation_numbers])\n", - " # Bold the cited text and append the placeholder\n", - " modification = f'**{text[start:end]}**{placeholder}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " # Prepare citations for listing at the bottom, ensuring unique document_ids are listed once\n", - " unique_citations = {number: doc_id for doc_id, number in document_id_to_number.items()}\n", - " citation_list = '\\n'.join([f'[{doc_id}] source: {tool_results[doc_id - 1][\"outputs\"]} \\n based on tool call: {dict(tool_results[doc_id - 1][\"call\"])}' for doc_id, number in sorted(unique_citations.items(), key=lambda item: item[1])])\n", - " text_with_citations = f'{text}\\n\\n{citation_list}'\n", - "\n", - " return text_with_citations\n", - "\n", - "\n", - "print(insert_citations_in_order(response.text, response.citations))\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RwH2O3FptLGr" - }, - "source": [ - "Yiha. You've used Cohere for Tool Use. Tool use opens up a wide range of new use cases. Here are a few examples:\n", - "\n", - "- **Function calling**: It's now possible to ask the model to output a JSON object with specific function parameters.\n", - "For instance, this allows your chatbot to interact with your CRM to change the status of a deal, or to engage with a Python interpreter to conduct data science analyses.\n", - "\n", - "- **Query transformation**: You can transform a user message into a search query for a vector database or any search engine.\n", - "For instance, this enables your work assistant to automatically retrieve the appropriate data from your company's documentation by creating the right query for your vector database.\n", - "\n", - "- **Advanced searches**: You can transform a user message into one-or-many queries, to do multiple subtasks based on the content of the message.\n", - "For instance, this allows your chatbot to search across different databases and platforms to retrieve relevant information or to conduct comparative analysis.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "FXOSzfqRCLBH" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "hackathon_demo", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/Vanilla_Tool_Use_v2.ipynb b/notebooks/agents/Vanilla_Tool_Use_v2.ipynb index 8af952be..0d18e1e6 100644 --- a/notebooks/agents/Vanilla_Tool_Use_v2.ipynb +++ b/notebooks/agents/Vanilla_Tool_Use_v2.ipynb @@ -1,626 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YN-eakfxtLGd" - }, - "source": [ - "# Tool Use\n", - "\n", - "Tool use allows customers to **connect their large language models to external tools like search engines, APIs, functions, databases**, etc.\n", - "\n", - "This allows the customer's model to unlock a richer set of behaviors by leveraging data stored in tools, taking actions through APIs, interacting with a vector database, querying a search engine, etc.\n", - "\n", - "This is particularly valuable for enterprise customers, since a lot of enterprise data lives in external sources.\n", - "\n", - "Tool Use consists of 4 steps:\n", - "- Step 1: the user configures the request to the model\n", - "- Step 2: the **model smartly decides which tool(s) to use and how**\n", - "- Step 3: the tool calls are executed to mock database\n", - "- Step 4: the **model generates a final answer with precise citations based on the tool results**" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "us5dkKrLCbXW", - "outputId": "94c97f62-77fb-4492-a4e4-d9eeee4e438c" - }, - "outputs": [], - "source": [ - "# ! pip install cohere --quiet" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import os\n", - "import json\n", - "co = cohere.ClientV2(api_key=\"YOUR_COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0T7yc1PltLGp" - }, - "source": [ - "## Step 0: Create a mock database\n", - "First, we'll define the mock data that our tools will query. This data represents sales reports and a product catalog." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "yZffY8xItLGp" - }, - "outputs": [], - "source": [ - "# Mock database containing daily sales reports\n", - "sales_database = {\n", - " '2023-09-28': {\n", - " 'total_sales_amount': 5000,\n", - " 'total_units_sold': 100,\n", - " },\n", - " '2023-09-29': {\n", - " 'total_sales_amount': 10000,\n", - " 'total_units_sold': 250,\n", - " },\n", - " '2023-09-30': {\n", - " 'total_sales_amount': 8000,\n", - " 'total_units_sold': 200,\n", - " }\n", - "}\n", - "\n", - "# Mock product catalog\n", - "product_catalog = {\n", - " 'Electronics': [\n", - " {'product_id': 'E1001', 'name': 'Smartphone', 'price': 500, 'stock_level': 20},\n", - " {'product_id': 'E1002', 'name': 'Laptop', 'price': 1000, 'stock_level': 15},\n", - " {'product_id': 'E1003', 'name': 'Tablet', 'price': 300, 'stock_level': 25},\n", - " ],\n", - " 'Clothing': [\n", - " {'product_id': 'C1001', 'name': 'T-Shirt', 'price': 20, 'stock_level': 100},\n", - " {'product_id': 'C1002', 'name': 'Jeans', 'price': 50, 'stock_level': 80},\n", - " {'product_id': 'C1003', 'name': 'Jacket', 'price': 100, 'stock_level': 40},\n", - " ]\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6TGWYiOdtLGp" - }, - "source": [ - "Now, we'll define the tools that simulate querying this database. \n", - "You could for example use the API of an enterprise sales platform.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "YuIH4us8tLGp" - }, - "outputs": [], - "source": [ - "def query_daily_sales_report(day: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve the sales report for the given day\n", - " \"\"\"\n", - " report = sales_database.get(day, {})\n", - " if report:\n", - " return {\n", - " 'date': day,\n", - " 'summary': f\"Total Sales Amount: {report['total_sales_amount']}, Total Units Sold: {report['total_units_sold']}\"\n", - " }\n", - " else:\n", - " return {'date': day, 'summary': 'No sales data available for this day.'}\n", - "\n", - "\n", - "def query_product_catalog(category: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve products for the given category\n", - " \"\"\"\n", - " products = product_catalog.get(category, [])\n", - " return {\n", - " 'category': category,\n", - " 'products': products\n", - " }\n", - "\n", - "\n", - "functions_map = {\n", - " \"query_daily_sales_report\": query_daily_sales_report,\n", - " \"query_product_catalog\": query_product_catalog\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "HZRhTu4ftLGp" - }, - "source": [ - "## Step 1 - the user configures the request to the model\n", - "\n", - "The developer provides a few things to the model:\n", - "- A preamble containing instructions about the task and the desired style for the output.\n", - "- The user request.\n", - "- A list of tools to the model.\n", - "- (Optionally) a chat history for the model to work with.\n", - "\n", - "\n", - "You can specify one or many tools to the model. Every tool needs to be described with a JSON schema, indicating the tool name, description, and parameters (code snippets below).\n", - "\n", - "In our example, we provide two tools to the model: `daily_sales_report` and `product_catalog`.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "aIk-of_OtLGp" - }, - "outputs": [], - "source": [ - "# tool descriptions that the model has access to\n", - "# note: Cohere always adds a \"directly_answer\" tool under the hood, so that the model can decide to not leverage any tool, if they're not needed.\n", - "tools = [\n", - " {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"query_daily_sales_report\",\n", - " \"description\": \"Connects to a database to retrieve overall sales volumes and sales information for a given day.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"day\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"Retrieves sales data for this day, formatted as YYYY-MM-DD.\"\n", - " }\n", - " },\n", - " \"required\": [\"day\"]\n", - " }\n", - " }\n", - " },\n", - " {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"query_product_catalog\",\n", - " \"description\": \"Connects to a product catalog with information about all the products being sold, including categories, prices, and stock levels.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"category\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"Retrieves product information data for all products in this category.\"\n", - " }\n", - " },\n", - " \"required\": [\"category\"]\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "k2AHJRnztLGp" - }, - "source": [ - "Now let's define the user request. \n", - "\n", - "In our example we'll use: \"Can you provide a sales summary for 29th September 2023, and also give me the details of all products in the 'Electronics' category that were sold that day, including their prices and stock levels?\"\n", - "\n", - "Only a langage model with Tool Use can answer this request: it requires looking up information in the right external tools (step 2), and then providing a final answer based on the tool results (step 4)." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "JuDgJ7fjtLGq" - }, - "outputs": [], - "source": [ - "# preamble containing instructions about the task and the desired style for the output.\n", - "preamble = \"\"\"\n", - "## Task and Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"\n", - "\n", - "# user request\n", - "message = \"Can you provide a sales summary for 29th September 2023, and also give me some details about the products in the 'Electronics' category, for example their prices and stock levels?\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1NhW-G_JtLGq" - }, - "source": [ - "## Step 2 – the model smartly decides which tool(s) to use and how\n", - "The model intelligently selects the right tool(s) to call -- and the right parameters for each tool call -- based on the content of the user message." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "o79_n99GtLGq", - "outputId": "81789d00-01b9-4c17-d1b0-1668d75a2b86" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "The model recommends doing the following tool calls:\n", - "\n", - "Tool plan:\n", - "I will search for the sales summary for 29th September 2023 and the details of products in the 'Electronics' category. \n", - "\n", - "Tool calls:\n", - "Tool name: query_daily_sales_report | Parameters: {\"day\":\"2023-09-29\"}\n", - "Tool name: query_product_catalog | Parameters: {\"category\":\"Electronics\"}\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Vanilla_Tool_Use_v2.ipynb." + ] } - ], - "source": [ - "messages=[{\"role\": \"system\", \"content\": preamble},\n", - " {\"role\": \"user\", \"content\": message}]\n", - "\n", - "response = co.chat(\n", - " model=\"command-r-plus\",\n", - " messages=messages,\n", - " tools=tools\n", - ")\n", - "\n", - "print(\"The model recommends doing the following tool calls:\\n\")\n", - "print(\"Tool plan:\")\n", - "print(response.message.tool_plan,\"\\n\")\n", - "print(\"Tool calls:\")\n", - "for tc in response.message.tool_calls:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " \n", - "# append the chat history\n", - "messages.append({'role': 'assistant', 'tool_calls': response.message.tool_calls, 'tool_plan': response.message.tool_plan})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "md_9QPcxtLGq" - }, - "source": [ - "## Step 3 – the tool calls are executed\n", - "\n", - "You can then execute the appropriate calls, using the tool calls and tool parameters generated by the model. \n", - "These tool calls return tool results that will be fed to the model in Step 4." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "1LuDCRpFtLGr", - "outputId": "42ead35e-225a-4b9a-c954-b526f2865350" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool results that will be fed back to the model in step 4:\n", - "{\n", - " \"date\": \"2023-09-29\",\n", - " \"summary\": \"Total Sales Amount: 10000, Total Units Sold: 250\"\n", - "}\n", - "{\n", - " \"category\": \"Electronics\",\n", - " \"products\": [\n", - " {\n", - " \"product_id\": \"E1001\",\n", - " \"name\": \"Smartphone\",\n", - " \"price\": 500,\n", - " \"stock_level\": 20\n", - " },\n", - " {\n", - " \"product_id\": \"E1002\",\n", - " \"name\": \"Laptop\",\n", - " \"price\": 1000,\n", - " \"stock_level\": 15\n", - " },\n", - " {\n", - " \"product_id\": \"E1003\",\n", - " \"name\": \"Tablet\",\n", - " \"price\": 300,\n", - " \"stock_level\": 25\n", - " }\n", - " ]\n", - "}\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "tool_content = []\n", - "# Iterate over the tool calls generated by the model\n", - "for tc in response.message.tool_calls:\n", - " # here is where you would call the tool recommended by the model, using the parameters recommended by the model\n", - " tool_result= functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " # store the output in a list\n", - " tool_content.append(json.dumps(tool_result))\n", - " # append the chat history\n", - " messages.append({'role': 'tool', 'tool_call_id': tc.id, 'tool_content': tool_content}) \n", - "\n", - "print(\"Tool results that will be fed back to the model in step 4:\")\n", - "for result in tool_content:\n", - " print(json.dumps(json.loads(result), indent=2))\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8cKlLk18tLGr" - }, - "source": [ - "## Step 4 - the model generates a final answer based on the tool results\n", - "Finally, the developer calls the Cohere model, providing the tools results, in order to generate the model's final answer. \n", - "\n", - "Bonus: At Cohere, all Tool Use calls come with... **precise citations**! 🎉\n", - "The model cites which tool results were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from. \n", - "They help users gain visibility into the model reasoning, and sanity check the final model generation. \n", - "These citations are optional — you can decide to ignore them.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 53, - "metadata": { - "id": "MKnjXVfXtLGr" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " model=\"command-r-plus\",\n", - " messages=messages,\n", - " tools=tools\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 54, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "jlxKTsaztLGr", - "outputId": "b2cd8667-bca9-4928-c423-61930b4b49fa" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final answer:\n", - "On 29 September 2023, the total sales amount was $10,000, and 250 units were sold. \n", - "\n", - "Here are the details for the products in the 'Electronics' category:\n", - "- Smartphone: $500, 20 in stock\n", - "- Laptop: $1,000, 15 in stock\n", - "- Tablet: $300, 25 in stock\n" - ] - } - ], - "source": [ - "print(\"Final answer:\")\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "niMkAr2PN9j4" - }, - "source": [ - "## Bonus: Citations come for free with Cohere! 🎉\n", - "\n", - "At Cohere, model generations come with... precise citations! 🎉\n", - "The model cites which groups of words, in the tool results, were used to generate the final answer. \n", - "These citations make it easy to check where the model’s generated response claims are coming from. \n", - "They help users gain visibility into the model reasoning, and sanity check the final model generation. \n", - "These citations are optional — you can decide to ignore them." - ] - }, - { - "cell_type": "code", - "execution_count": 57, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "9wuoCUBwtLGr", - "outputId": "da3c0dc5-6b87-42ea-d64b-e7e85c40273e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Citations that support the final answer:\n", - "start=26 end=56 text='total sales amount was $10,000' sources=[Source_Tool(id='query_daily_sales_report_6c5v4phf8d4f:0', tool_output={'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}, type='tool'), Source_Tool(id='query_product_catalog_7395zjrh8m8w:0', tool_output={'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}, type='tool')]\n", - "Start: 26 | End: 56 | Text: 'total sales amount was $10,000'\n", - "start=62 end=81 text='250 units were sold' sources=[Source_Tool(id='query_daily_sales_report_6c5v4phf8d4f:0', tool_output={'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}, type='tool'), Source_Tool(id='query_product_catalog_7395zjrh8m8w:0', tool_output={'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}, type='tool')]\n", - "Start: 62 | End: 81 | Text: '250 units were sold'\n", - "start=156 end=185 text='Smartphone: $500, 20 in stock' sources=[Source_Tool(id='query_daily_sales_report_6c5v4phf8d4f:1', tool_output={'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}, type='tool'), Source_Tool(id='query_product_catalog_7395zjrh8m8w:1', tool_output={'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}, type='tool')]\n", - "Start: 156 | End: 185 | Text: 'Smartphone: $500, 20 in stock'\n", - "start=188 end=215 text='Laptop: $1,000, 15 in stock' sources=[Source_Tool(id='query_daily_sales_report_6c5v4phf8d4f:1', tool_output={'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}, type='tool'), Source_Tool(id='query_product_catalog_7395zjrh8m8w:1', tool_output={'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}, type='tool')]\n", - "Start: 188 | End: 215 | Text: 'Laptop: $1,000, 15 in stock'\n", - "start=218 end=243 text='Tablet: $300, 25 in stock' sources=[Source_Tool(id='query_daily_sales_report_6c5v4phf8d4f:1', tool_output={'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}, type='tool'), Source_Tool(id='query_product_catalog_7395zjrh8m8w:1', tool_output={'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}, type='tool')]\n", - "Start: 218 | End: 243 | Text: 'Tablet: $300, 25 in stock'\n" - ] - } - ], - "source": [ - "print(\"Citations that support the final answer:\")\n", - "for citation in response.message.citations:\n", - " print(citation)\n", - " print(f\"Start: {citation.start} | End: {citation.end} | Text: '{citation.text}'\")" - ] - }, - { - "cell_type": "code", - "execution_count": 63, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "On 29 September 2023, the total sales amount was $10,000[3,4], and 250 units were sold[3,4]. \n", - "\n", - "Here are the details for the products in the 'Electronics' category:\n", - "- Smartphone: $500, 20 in stock[1,2]\n", - "- Laptop: $1,000, 15 in stock[1,2]\n", - "- Tablet: $300, 25 in stock[1,2]\n", - "\n", - "Source tools:\n", - "[1]: query_daily_sales_report_6c5v4phf8d4f:1 | {'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}\n", - "[2]: query_product_catalog_7395zjrh8m8w:1 | {'category': 'Electronics', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]'}\n", - "[3]: query_daily_sales_report_6c5v4phf8d4f:0 | {'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}\n", - "[4]: query_product_catalog_7395zjrh8m8w:0 | {'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}\n" - ] - } - ], - "source": [ - "def insert_inline_citations(text, citations):\n", - " sorted_citations = sorted(citations, key=lambda c: c.start, reverse=True)\n", - " source_index = {}\n", - " current_index = 1\n", - " \n", - " for citation in sorted_citations:\n", - " citation_ids = []\n", - " for source in citation.sources:\n", - " if source.id not in source_index:\n", - " source_index[source.id] = current_index\n", - " current_index += 1\n", - " citation_ids.append(str(source_index[source.id]))\n", - " \n", - " citation_text = f\"[{','.join(citation_ids)}]\"\n", - " text = text[:citation.end] + citation_text + text[citation.end:]\n", - " \n", - " return text, source_index\n", - "\n", - "def list_sources(citations, source_index):\n", - " unique_sources = {}\n", - " for citation in citations:\n", - " for source in citation.sources:\n", - " if hasattr(source, 'tool_output'):\n", - " source_text = str(source.tool_output)\n", - " else:\n", - " source_text = str(source.document)\n", - " unique_sources[source.id] = source_text\n", - " \n", - " footnotes = []\n", - " for source_id, source_text in sorted(unique_sources.items(), key=lambda x: source_index[x[0]]):\n", - " index = source_index[source_id]\n", - " footnotes.append(f\"[{index}]: {source_id} | {source_text}\")\n", - " \n", - " return \"Source tools:\\n\" + \"\\n\".join(footnotes)\n", - "\n", - "# Usage example:\n", - "cited_text, source_index = insert_inline_citations(response.message.content[0].text, response.message.citations)\n", - "\n", - "print(cited_text)\n", - "\n", - "print(\"\\n\" + list_sources(response.message.citations, source_index))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RwH2O3FptLGr" - }, - "source": [ - "Yiha. You've used Cohere for Tool Use. Tool use opens up a wide range of new use cases. Here are a few examples:\n", - "\n", - "- **Function calling**: It's now possible to ask the model to output a JSON object with specific function parameters.\n", - "For instance, this allows your chatbot to interact with your CRM to change the status of a deal, or to engage with a Python interpreter to conduct data science analyses.\n", - "\n", - "- **Query transformation**: You can transform a user message into a search query for a vector database or any search engine.\n", - "For instance, this enables your work assistant to automatically retrieve the appropriate data from your company's documentation by creating the right query for your vector database.\n", - "\n", - "- **Advanced searches**: You can transform a user message into one-or-many queries, to do multiple subtasks based on the content of the message.\n", - "For instance, this allows your chatbot to search across different databases and platforms to retrieve relevant information or to conduct comparative analysis.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "FXOSzfqRCLBH" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/agent_memory_walkthrough.ipynb b/notebooks/agents/agent_memory_walkthrough.ipynb index cbb0a524..51455337 100644 --- a/notebooks/agents/agent_memory_walkthrough.ipynb +++ b/notebooks/agents/agent_memory_walkthrough.ipynb @@ -1,752 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAuMAAADwCAYAAACqoGq4AAAACXBIWXMAAC4jAAAuIwF4pT92AAAgAElEQVR4nO3dvVYbydbG8Z53TY5jEnMSUmsSpWYihWaU4cT4CqzJnBlnZANXYJFApoFQkUWqZERKclBCeqwr8LsK757pYemzPnrvav1/a5HMOYZWq7vqqd3VVT99//69AAAAAFC//+OcAwAAADoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEoI4wAAAIASwjgAAACghDAOAAAAKCGMAwAAAEp+5sTXq93tHBRF4X5aRVHsFUXxqsEf97YoioeiKEZFUVyPB8NvBo4JaKR2t/OiKIqe/Ows+YzuvuyNB8MJVwIA6Pvp+/fvfA2Jtbud46IoDouieNPoD7raRVEU/fFgOLJ+oEBu2t3OZIPB/cwVBQjkAKCPMJ5Iu9txVe/jNapU24jKHBCRDPi/bPgb78aDYYvvAQB0EcYjqzwq/tSoD5bG+Xgw7DXxgwF1anc77mnTa48/+QuDYgDQxQucEUl16oEgvrYP7tG6DGAA1I97DwCUEcYjcGGy3e1cy2NipqRsxs1xfWh3OzwuBwAAW4cwHkhC5ISXM4O4AcyIQA4AALYNYTxAu9s5lGX7Xmb7IexwgbzPlBUAALBNCOOeZH74n0xLicpNWek36PMAAAAsRRj3IBXxTZcRw3reyPkFAABoPML4hmReM9XbtJiuAgAAtgJhfAMSEK+ZmpLcjqzVDgAA0GiE8c30eVmzNoRxAADQeITxNck8ZpYvrM+OvCQLAADQWITxNcj0FOaJ148XOQEAQKMRxtfTY564ioMt/MwAAGCLEMZXaHc7e0VRfDJ9kM21w66cAACgyQjjqzFvWdfeNn94AADQbITx1VjVQxeVcQAA0FiE8SVkNQ/migMAACAJwvhyrOYBAACAZAjjy7GuOAAAAJIhjC/Q7nZYVg8AAABJEcYXI4wDAAAgqZ85vQuxiocNo20/AaUVT2u+jQfDSb1HlB9Zt/7FggPnHEaw5Drl/M6x4pqcjAfDb6oHuIVyv4Zlf5RFywJzHxr00/fv37f9HMzV7nbcxfrK4KFtm/+MB8OHbfrM0jkfyIDQNaivN/wVt0VRuHPmruHRNja8c85ha8OVkbI6h+1uZ+RxnTi/jgdDrwGvdPiHHtfpTM7rRAbbo20InBLwWp739bRyPZbX5Fa1iym0u50X0k5Uv5tV7YT7LnrjwfDawPHvPTt2n3buW+WaovilhDC+QLvb4cTom44Hw8Zv+iMdwqH8HCRYTtOFn2sJPtdNDT7tbuewch636hzWFcal8+/JOX7p8fcWuZHz25jrs4b7elq5HlWDoXzWs0T3XlX5mXsh14ksW3wYuEjDLxqDdGnnDhLcg6XG3Ys5IIwvQBg34WI8GDZ2B1Sp3vZq6MCqylB51oSKuYTDk20/h6nDuFR1ezWsMDWTUHeWaxCQc+XarXc1/lkXUvvup+6KuQTxUc1Pku9cIN30Gml3Oyfy3cQIsbX1T9LOHUc89nXdyL1IxTwxwvgChHETvB+hWyad9YlneIrJPaI8yfEccw7/LVUYr1Q86wyWhYRyV/3s1/x3vRm6Ji/kmqwllLe7nb7C9eF8Hg+GJ+v8H6WafBY5yCZ/clspNmic36qpXFPZ3I+5IYzPITfAf80d2HZp3BQVua7ODK5ffyPBx/wcVMPn8FbOoUqlPEUYlwDTV96F2J3XQ8tVckMh/LlzCVBJz51i4epuPBguXWhBBpP9VO3FeDD8KcXvleN219SHFL8/QLYFHOtY2nAOXowxodekDyOPRydGN5JyxzRpdzumz7nxc+iC2F/uGKUjzZpUO/9UDuKFnNeJTOkyxX3P7W7HDQy/GgzihQS5iQyqklDej2PptBi5Zka5bd4n39eDwSBeyHX+1V33TWjnLCGMw6JbC2+qx+AqubIyzycDwWYZd2x/tLuda2uNbEbnsJBjHFkMj+uQgDkx8Fi86qW1cyrHMjEamKrcuftTBg1boxLEs1kRTe49K4PgVT7k3M5ZRBiHNTN5SSV7UjXKbYnMN5YqkVIlyu0cvpKOKqvrWOlFvHXtWOn85Xv9q+YX6UJ9cIOsplUz51XmK0HceqD9W+WYLQ2CV8mynbOKMA5rjpswTUgaqK85dQgVJiqRcg5zqBLN4475Sy4VSeNBvOTOqeqTG6lcftH6+4FeNb2aWbmOcwziOe5rUrZzBPJAhPHFbq0eWIO9b8L0FGmYcu2wS6qVyMxDT9UH+SzW9TMJAy/lWGunuGpITFEDubzIN1P8PM8/R51BPPhzS1+R1eBhAQJ5IML4YrzEWa/3TVg2qSFBvKQSyBsSeqreGQ7kh1K9z+kltzcpX0qcp2HXZHlfx3rCoHlt//0Z5AXvOgeUQSuKyBSbLw0I4iUCeQDC+GJbt4W4Eldd+I0gblbZcdeyzKSs6NKkIF6yGsg/ZPAS4jz9uqarJAziU1lW9LMrRrhlJis/v8l/P5cNbmKLGchPEh3jOp4KBVIw+FTz3/aegibHm+Ip8Eye6rtr5/dn19Sv8t8+y3WX4onGF+UVdrL187afgCVYRzO9O1lDuAlzxFuJgni5/fNIntZMnq8bLB2q+/t7sk3yQeSXy3ak40haIZfBzB8JfnV5Dify8/D8mpPBxp58xlaCc1hIIB81YOBZ7kBans9512RLqpbltt2xK5Y7svzpWpu++JJrMmYQv5NK8vUa7d7fYe3Z1vqxnmK8kkAZVM2U774l52qT3xVjOchyMFHnuxnlhlReGSHBvPZZZffVVUXEfx2z3KfHkbfWd+91tFgiejNs+rNEu9v51qBHSJbMZIvdpB1pXaRxfVBqXOeqbLUfM0icjwfDJGuRJ1oB4SJky/pE59D5JfbmQAGb/mziRq7JjSt6le28e5Hvk71Um9rI9/9XpF8XbbOUBLsyqk0RlCdhJ4HXxF2Ewd5MBpbfVjwV/7bmQGohWTo0xuB0JvfTdYx7QAZTJ5FC+coNmfBvhPElGjh31YJat2qug1ubO2K16rMEyCgBI8F25ku3T/eRYCWPqDsPJtgNz1XqWzFDZOIwHm130QQ7Iv4+HgyjV0XlOCcRgslMVoiKPiVBBgsxXrydyfWo0iZHHvSsq3xa5r6XUV07vMq89hjTaZLsrirXfS/SMX5uSsGtDoTxJWTu01ezB5gX1e3CU5EXyf6M8OuTTtmRa7kfIVxMx4Nh1Pnj8gJhjKB7J8EnyTUWMfw4F+PBMNrLTgnDeKqwG+v9iiQVuEjXZC1b+Uc61pvxYFjrS7FVNRa+bqXYUfuqXZEGHTO5ppJOo430pFJ1kJcbwvgK7W7nIbPNHayJ9njWmojTU6IGs0UiVqCjVTwiVsVqOYdF3OAQ7SlDgjDuOtKDlIPniIE86rSfSNdkbddjEe9cRn/qta4aCl9TGair9UMR7tGkxYbnIvUXqoO8nLCaymo8ZvFzK437QRODuAid61jIfM1aOm1XoZMq4kXgr+pFXMkiRtW1tnNY/DiPx7L6RSirL3ImD+LFj/PYj3QeY3f2oddkrUG8iHcum9rXXUiFVjOIHwcG8Wkd92SVPNE5CFwp5w2rq6yHML6CNHJT0wdpy4VUqpocwsuXqEIfDX/WeHFKgkJIA1uuZBEkQgdVaL18Fin8vDS6Lu9hXZ2+nMebwF8TrbOX4BByTd7UHcRLci4/B/yK1w0MTk8D9brmhC8RMtCZ1THdaR75m8eByyBS0FwDYXw9XEzLzSSE/0cavm1Yoz30mrhQfrnlIHCQGaM6HuMcqlWX5W+HPmWw1rZ8VhhEh3b2MafnhHwf09BlAkNJmxKye3ST+joTG8nJgDtkqqtqnyp/O+S6fl3XPhU5I4yvQW5orU0NLJtJJWZPQvhWvKghITRkzvA0RmU5RKXi4WsnZHpAhA7qVqsCWRXhKYOl6vidxgBRrsWgqSExKroRquIWKrBF4OCmKcFJdaD+TMg9da7xsulzcgwhT7BU+7scEMbXxzav/7iTqsML13kb6YDqFHotmOi0pQJ6HvArQhrYkHM4M3Y/hs5ZttJRaR5H6DztGCuqhFxTF1am5UlRJOR85h6c7iwM1It/Bni+RYeZsScVWu39ViCMr0ke1fyexcGmcyMvZbaasH19gJBG6cbYXPqTgCraK1l5YiNSeQupQJ5ZegojxxIyV9frPEZ2q3ldyuA0ZMpPUDU38GnXzGCAPQu4r3Nf/cJS8As5lmj7TcQg7Zxv8WZHlgHGAoTxDch6u6FzRHNTTkVx88GTr29qnYSmkOkVpjptaexDqi8+0wNCzsHU6EYSIeGnMBAg6txOfJGQx/Ghg5mQoNC39nQwcOrPSwODQ18XVt5ZijDAs3BPPhdyTITxJQjjm+ttyfzx51NRWLj/h5DQdGPxPMogs85rOqRRtthBxZj3rNlRTS3MS5U1jX2FvkzcuGsycOnMXIOTpYF6yHsMpqriJem/fOeOE8aXIIxvKNLam1bNKksTbvtUlEVCGljL59P3pa+NQlzgk4WZ8XMYEso0q5EWgnjZtvq2q6EbWfluz39rtVAhx+V7PnNc4vDC2HcR9LQl4nHE5tte7GT8xCU5wriHBgbyqcyH39uipQk3JnOdfTv9mZHq41zyne/JYGydUO6umd88Or+QTv7a8svCEeY9a1WOLE09q73tCZzLar1g4dvmxFwusi7Wvgvftu7O+JPokPaCDYAW+NnkUWVAOt5WxK2xNVzIfMetnge+gZBRvdkgXoqw3OE6QoKP+XMox+jbHmh1VJbuf40QEnJfW2873fF98vmHbiWQjPqGqaVjlcKN7xNA0+2cGyi0u52p5+ejMr4AYTyQqyS3u52RPKIO3Rq9DlM51qCXju6vHltSSZ13c32TCtdk/2i3ScseBlV1Ix5HznwbY9NPFipCAoFGR3Vn7GmDd3gM4HtfTzN4lybkSUMrg8FGyVrb0OQBXiHXFWE8IsJ4BG5utQTyvuHHe0FV8PurxxdS1TyUzmutgcf91eNUGpfr/aPd3ANpSEOy9VN/pFrkO2DNIhS4YNvudm492wE3p3Kv5oDHi9n+97X5a1Kux5nnfZfT5j/WvgvvviKTpxETz/csQt/taCzCeCTSgR7I/MOzwOXvYgmugt9fPR7I1AXfR+8v5d++k2DuBixnmVbMfRvYHCpodQjp3HMazEwCBuV7NQfkrR4kyvJz3k80Y+z8WYMHzxCUTRXT4FMz774ik2vKuy1XKDhkgTAemTQK17LFdU9pJBirCh77+F/KI+je/dXjyf7RrtUlwRbx7bRpeH4I6WRyeq8hJOAeZPZZcxcSON9l/L5Qk1hcSMF3qU3XR36NfCzW1F1wyAJhPBFZFrAvS/kcy0/KOeU3Mm/Oe8WJ+6vHPQngqY/V/e4/7q8en87L/tGu+epc4JJMhKtwOT1Jyamj4drEIrmsqGLxGs5xNRooIownJkvGuYDbk0BXzrluBQbeO6nAjUKXfLu/eiyr4HU3IK7qPrq/euztH+1aXyIsdFMRBFTGc1pu0z2Ranc7vv+cpb/qxfnOH1XWvPD0bw7CeI0kUPwdKuSFtnJFkjLs7T2bj/VQaWzcv/0W4wUPqYKXFXvN+e1uQPLFrc6yf7Rraqv4iOgsACCNrX85HvkjjCuSlxge6hwlRnghM5UPbq76/tFu6nWufYW8fEgYDzPN+eABrBTSRpoK4+wyCR/swLkFXMh187Pvrx4f5OUQqy8duRVXTgwcxzw5LfPVNDkOZhhAAGuSwpTPPWNtnfyCKY3wQRhvODcfW8LMFyPLLa7ySeawAznjaQhyV/eA0meaotXiDRZjvvgcTFOJ5ePbF5UXM19Ulsx6qPyMitPLWjpp2SGzn+ki+32ZQ06gQZFppYlH1chdrUvPumWB293O+zV3s3YbGfUy2ZUX/7jLZFOj2hHGQ318u2o98X+vUPLxbbnxTT9VMJclA3PZnn+eHTlHllY64CUhPTkOKHO99wDn83gwrH0fCNnN+loGs4vafxfmJganp5Ry3NCuDreymhzmIIz7+hHCTzymfpQb33wqPr49f/odp5fRbl4J4l9i/T5Fr93LpvtHu1ZG0SHfUYtHc0DjfG7gZ1IPuvK3R7m2mW7VtIClTW8b2Fe4QtZDTsvTaiCMb+rj2z2p2sZYk/vD08omLtifXgY/bmtQEC+dNGQdYF7oCZTTFsqyxbovqmqZGA+GzFdGbCOuq+3EC5yb+Pi2JaO8mJvjuMfZfxYf3wbdgLJkYZOCeFFWxw0cRxEYkgjjP4RUfHJazSZkvjjVo3p5X5PtbocNgxAbfcWWIoyv60cQHyWcC+qmrXjtQumWLpSt8JvIxLrjgY/YeJnvh5ABTU7Bh2UwtwPBCYvcep4Z+ootxTSVdaQP4qV3xce3k+L0ctMXZ/oNfmHsnWyXb+Hx/czzPNPA/rAtA5qQY+Xdghq5lR0C5ve2GlwEUSVTvRbdR5Zf3iz5Hh99xZaiMr7KjyULr2sMu38UH9+uXQWUaRxv0h6SOitVUd8wuePmPEc+lhyFhPGcKuMhx8pynvWbef5FpqlE1u52DtvdjrsH/icb1M37+V+725kYnyZEX4GNEMZX81kxJVRfBgHrHl/T5R7GCzruv1dJ8N1IZCeHObpS0fNdinGWy0uqDeN7X1PFjMgF8af3p9brb9099tVwm0BfgY0wTWWZHyunfFD4yy9l7fKlQVs29on5MqlVVjq9UcD1cCDTiUyTqszhGvNhrz3n0Y+epmP5OchgGkfIOrpMUdEx8mxHnwaIbGISjc+65j2j901oGDffVyAuKuPLaVade2tUx0283FgDK2E8pIE1v9lBu9txneF/n6ZKlWvhL/75q93t+NwfTQ8uhPH8hJx3NjGJQIoAPk+gTU7RlCdcvk8Buaa2EJXxRX4EYd8KXgw7clMuGyFvy01r4uVU18C2u52pZ6fhqmiHVrdvbnc7fY/r/ZP7dxtOrWhs4JRAERIOeBlQQeBLnIdSnTVPpnQcrihuuILDmcJ0qSbOk/Z9Cmi6r6iSNu94xdSab/IklWr/ElTGF7MQdBcew/3Vo28lAWFCGkiTnbZ00r4Dz406Uenk7zz/lvXOKeRJ1ZT54qpuPP/4S5nrbJZ7j6Hd7YzkRcgPMiVn0Y/7393LkcyHD9e4vqKq3e0cy5PUTyuuKVeg+CJPXrEAYXwxCw3ssirbVjWWspa6BSGj+9dGXziqu2Lh8/cuLG+nLC9uhnSgVI10NTk4bTonfieXar9lUtn2XannteVVVSSIb7rJoMb7d9kgjC9mI+z+WON8nq0K40bWGS83//GdC1hYW/1GGtWQJyw+AXnT4OOCuPX3I3qB06kI47pCwrjVQXYh73X4rO7D8npxhFxXJivJMkjwOjaWbVyMML6YlSkg7PJmT2h13MRjbanmhjT4Nz6bb8h0jHWmBbiq0nvrQVwe6X8K+BW3TFHRJdfxRcBBmAtOEnx8K9xcj3GE9BVvjA7yznwLD7RzixHG7WPNUXtCq5h9CcLaQnduDTkPq8KLm1fesv7Sj3yPoce4DXsF5CDke3zlubpQSt6hiZV94pBlL0OepFrpK55IIcn3JXXf9zK2AmEcOfB94S8JGd2HVNF2tKclyPSUkJU/piFv+0sntahxPh8Phq1MqihnAZv8FHIeCT4GyPdwG3Akn6y8+NjudnoB9/eUlS+iChmkvbTy1EWetKQswGw1wjhyYDGUhVbB3shygrWTwBDaMMaoAroBwblMR5lJOP91PBgufbTuOgX3+Fb7Ea5UQkOXP+VFOVtCr+uR9rxYGWj/EfAreFITkQxsQqrj7+Q7VSPV+euAJy23FB2WI4wjB+Zu4gjV8UIa2VoDuQTxUeD0lCiVMzdP1wXv8WD4Qn4OlzXYskRbX5bT+irbYX/TmB4gy3SFzBMvpINibXFDIlTH3X11rTW1QKYRbLrKRRVV8TRC26gvWoFcruVR4BNABngrEMbzZXaZtwSsjqhPApauKrlAXkvnHSmIFxoNq1TBH+ZUondkekAtFcnKgCDGMl1UxW0K/V5eaazVLWHtz8Bfo1WB9W7/clgTXQY4IYO8QgJ5rW1Gpc8ICeI3VMVXI4zbt2iKxraE8en+0a7JzyrV8RjB9I103smmXUgj/leEIH5Xd+VMKt9fVxz7azmHyTor+X4mkXbm/Wx53fRtJt/LeeApeClTVpKH28oAMaQiXiiHppAVpnLZiTpG2/RHjcWbwwhBfEbRYT2EcfvmhvH9o92HwHlouTD9yHQ8GJ5FqHgU0nl/lYY2WqVH5laPAueQVtVWOZOQMdlgOsiOdFZRBzYyR70vA4IYS566AQ2PbW07ifDi+I5UM69TPbWRsB9jgDjTqorLZwg5/l4m1XH3PX2O8Ktc8eYh1UBP2ruRPGUJforKcobr+TmHg1Ryu+GuZaksq56NIlXpLMvhDeyyQwxtuAppaN3LnbcyELnedC1vqZocynHFvIbrrub6VmVeycBmKtdP32c9dKkMha4689wso0re1nLXi4SdvyKcg/Kedu+YnMW4h+TYTiLuh3Hoc488O6Y9j82CQoN4Ie3uX+1u59xzk52HugKjG4RLoSC0XS4HeicSeIOLVnJcMb6P0o0Uq7CGn75//855mufj25MIL2iFmhanlwsbt/urx1akzsKqi/2jXes7Lz6R4BY6X3OROwmmD0sGZ2VHGKOhn8e9bFjb6iWe2y0vY+EcOr+leGlTKlk+x/yrpfmcEgi++vzb8WD4U4LjiX0dFnItumtgtO65l6B7ID+HkQb+pc8hT2okEIbuQKttJt9JL3RQsooUSyaRNxYsj9/9TNYZXMhxtOR6Oox8PO4aP0h9LpuEyvhi1wbC+NJO282lvr96tFLBjy2ruWYuYLW7nfcJOu5CKr0h8/ZC3SlUc2M/1tc+h4XsJsrqKRlxFUeZAhHjhd1SeS26F48Lub++yUCxGqLKwW8rYdC9CAzi/YY8nd2Rz9GSn2TkqcthpJfpnx//03fR7nZmlaJDdcDXkpdl9xLuMj6L8aRl2zBnfJHTy4mBOdnrPOJp6tzTk/2j3axuZnlUGLrcoTVPc0kVGtamNeTvWTIuT7Lufcr7+pUUVN5JAaj8eS0/KYO495NHqdY3bZrkKwnKSclUpYMIq3EtslO5fqrX1Bv5bymD+AHzxDdHGF9OM+jeFqeXKy/o/aPd0HVxLbrdP9rNcq6ZdG5NCeRlw6qx6kc/YUdVN4J45hp2XxehQVw09d2HWl4GrSGQ102zv8geYXyZ08vQnbNCbDJF47hBN/Q090ZeOrnfDRxKCNWGVSrxTeioCOINIfd1jNUwtMUI4kXI2uDG1fZuTIMC+R1BPAxhfDWNFwjPZZrMWmSZwyas5fk01yy36SnzyFvkv2XayLqGdU+7Ya10VKFLzGlw3/svBPFmkfnV7zMOT79HCuKIRNq5vUzbuUKezBPEAxHGVzm9HNVcDbkrTi83Dtb7R7v9zKs2T5VYqxv8+JCX9XILk+fjwbBl5eWbSiAP3YSlTrcWBjNIQwZYud3XUxkcstScQa69de1uhn24W4mHVVMiIIyv4/TypKb5grOQR2T7R7t1HWds06YF8ZILZJk0slNZ5s7cExbpqNxx/Wp8o6uZTEuhc/KXxYtfz+5r61VyN5BtMThcm9o1KE9efslgoHcrgzs2L4uEML6u08vUL/A8zbkqTi+DOnFZl/t9vMNKzt3UrSYG8SpptP7jNkKwc1RPZhIoWpbWm57HHd94MNwzGoDOpRquNS3FN0CYuu9kFQaf71ZlkCb3dctoEaQMTKnWzm5qm606IKwM9CxOh5pWCg4M7iJi059NpdkM6PbppcXAIF51f/V4ICtSpFrCKNRMli/cusemsrFJL/LOjpuaydKZZzlWcWXDip6806F5jV9Y2PJZ1sLedAOwc4tPQmQTmU3b2N+1p2DIUn8nBpb7u5VrMungWu7Bh8w3+5nnP1aW5pNzfCxtnWY7N4210yfmI4z7+Pg2VtB9CqTF6WWSTuT+6rEMLNZ2R3MBpteEFzVDSOfdS7D72TJ3cu16bRFvkeySGHvr/2WCttlPZcPdIt1W1WZXLdpwM5lYq4NEIff1cc0DxZnc12d1BkkZBMbcvEab2dWP5P4+rLmIcyPtHJuVJUYYD/Hx7bFUQjZtcP+uSsashi9SCeWaVcRp2VlsewifRzaaKLe7jr1T5G25VXKTN2OQEFSex9gd1l3lHJp9PFsJgovePXmQztX0lKTinydIh0vWfZ7I92H2s0hYLbcbj31fTyvb6quFpWf3Xa7LHY7kvjDfPkq1/LByzmMOhGZyLsq2jr66JoTxGD6+bVVujEXVubuy8yhOL9UaTpm+Uv6k3Gb5Tjp+d2OPmj4nPCZpbFuV7+jFmt/VtLKltjvfkxxCVyoShA5k2bDWmltAV8/hgwSdrT2HiOfZfb1X+Vl1TZZbm38r72u5LglKKNu5sn0rByf5qroAAAHwSURBVOHrPCW8k2tqVPYZzAPXQxgHAAAAlLCaCgAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKCEMA4AAAAoIYwDAAAASgjjAAAAgBLCOAAAAKChKIr/B9RSVF1ZF/7hAAAAAElFTkSuQmCC)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "# Notebook Overview\n", - "\n", - "## Motivation\n", - "Users frequently engage in long conversations with LLMs with the expectation that the LLM fully recalls what was said in the previous turns.\n", - "The way we make LLMs aware of previous information is by simply providing them the full conversation history, i.e., the concatenation of previous input queries and generations.\n", - "\n", - "As Agents become more and more used, we face the issue to make Agents fully aware of the info from previous turns.\n", - "The current approach is to pass the Agent the generations of the previous turns (see https://python.langchain.com/docs/modules/agents/how_to/custom_agent/), i.e., to do the same thing we do with LLMs. However, as we show below, while this is a good approach for LLMs, it is not for Agents. The reason is that, given the same input, LLMs *only* produce the final generation; conversely, Agents *first* produce a reasoning chain (intermediate steps), *then* produce the final outcome. Hence, if we only retain the final generation, we are loosing some crucial info: the reasoning chain.\n", - "\n", - "A straightforward solution to this issue would be to append to the conversation history from both the reasoning chain and the generations. This is problematic due to the fact that reasoning chains can be very long, especially when the model makes mistakes, and corrects itself. Using the full reasoning chains would (i) introduce a lot of noise; (ii) quickly fill the whole input window of the model.\n", - "\n", - "## Objective\n", - "\n", - "In this notebook we introduce a simple approach to address the issue described above. We propose to use *augmented memory objects*, which we define as compact and interpretable pieces of information based on the reasoning chain and the generation.\n", - "\n", - "Below, we show that, with augmented memory objects, the Agent is more aware of the information that emerged in the conversation, and, in turn, this makes the Agent behaviour more robust and effective.\n", - "\n", - "## Table of Contents\n", - "\n", - "- [Step 1: Setup the Prompt and the Agent](#sec_step1)\n", - "- [Step 2: Conversation without memory](#sec_step2)\n", - "- [Step 3: Conversation with Memory using AI Messages](#sec_step3)\n", - "- [Step 4: Conversation with Memory using AI Messages and Human Messages](#sec_step4)\n", - "- [Step 5: Conversation with Memory using AI Messages and Human Messages](#sec_step5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 1: Setup the Prompt and the Agent" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "\n", - "# !pip install cohere\n", - "# !pip install python-dotenv\n", - "# !pip install pandas" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "import os\n", - "import pandas as pd\n", - "import getpass\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_experimental.utilities import PythonREPL\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "from langchain.agents import Tool\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain.agents import AgentExecutor\n", - "from langchain_core.messages.ai import AIMessage\n", - "from langchain_core.messages.system import SystemMessage\n", - "from langchain_core.messages.human import HumanMessage" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# load the cohere api key\n", - "os.environ[\"COHERE_API_KEY\"] = getpass.getpass()" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# Load the data\n", - "revenue_table = pd.read_csv('revenue_table.csv')" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# Define the prompt\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# Define the tools\n", - "python_repl = PythonREPL()\n", - "python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "python_tool.name = \"python_interpreter\"\n", - "\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "python_tool.args_schema = ToolInput\n", - "\n", - "tools=[python_tool]" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# Define the agent\n", - "llm = ChatCohere(model=\"command-r\", temperature=0)\n", - "\n", - "# instantiate agent and agent executor\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=tools,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=tools,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 2: Conversation without memory\n" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will use python to read the CSV file and extract the column names.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\n\\ndf = pd.read_csv('revenue_table.csv')\\n\\nprint(df.columns)\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mIndex(['Unnamed: 0', 'time', 'revenue', 'loss'], dtype='object')\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The column names in the CSV file are:\n", - "- Unnamed: 0\n", - "- time\n", - "- revenue\n", - "- loss\n", - "Grounded answer: The column names in the CSV file are:\n", - "- Unnamed: 0\n", - "- time\n", - "- revenue\n", - "- loss\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "# let's start the conversation with a question about the csv we have loaded\n", - "q1 = \"read revenue_table.csv and show me the column names\"\n", - "a1=agent_executor.invoke({\n", - " \"input\": q1,\n", - "})" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3mPlan: I will ask the user for clarification on what data they would like to visualise.\n", - "Action: ```json\n", - "[\n", - " {\n", - " \"tool_name\": \"directly_answer\",\n", - " \"parameters\": {}\n", - " }\n", - "]\n", - "```\n", - "Relevant Documents: None\n", - "Cited Documents: None\n", - "Answer: Hello, could you please clarify what data you would like to see plotted?\n", - "Grounded answer: Hello, could you please clarify what data you would like to see plotted?\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "# nice! now let's ask a follow-up question\n", - "q2 = \"plot revenue numbers\"\n", - "a2_no_mem = agent_executor.invoke({\n", - " \"input\": q2,\n", - "})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Without memory, the model cannot answer follow up questions because it misses the necessary previous context" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 3. Conversation with Memory using AI Messages\n", - "\n", - "Here we will populate the chat history only with the generations from the model. This is the current approach used, e.g., here: https://python.langchain.com/docs/modules/agents/how_to/custom_agent/" - ] - }, - { - "cell_type": "code", - "execution_count": 88, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will copy and paste the code from the previous conversation and add code to plot the revenue numbers.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\ndata = pd.read_csv('data/sample.csv')\\n\\n# Plot revenue numbers\\nplt.plot(data['revenue'])\\n\\nplt.savefig('revenue_plot.png')\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mFileNotFoundError(2, 'No such file or directory')\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "The code is throwing an error because the file doesn't exist in the specified location. I will amend the file path and re-run the code.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\ndata = pd.read_csv('sample.csv')\\n\\n# Plot revenue numbers\\nplt.plot(data['revenue'])\\n\\nplt.savefig('revenue_plot.png')\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mFileNotFoundError(2, 'No such file or directory')\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "The file path is still incorrect. I will amend it and re-run the code.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\ndata = pd.read_csv('../data/sample.csv')\\n\\n# Plot revenue numbers\\nplt.plot(data['revenue'])\\n\\nplt.savefig('revenue_plot.png')\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mFileNotFoundError(2, 'No such file or directory')\u001b[0m\u001b[32;1m\u001b[1;3mReflection: The file path is still incorrect. I will ask the user to provide the correct file path.\n", - "Relevant Documents: 0,1,2\n", - "Cited Documents: 0,1,2\n", - "Answer: The file path provided is incorrect. Please provide the correct file path so that I can plot the revenue numbers. I have tried '../data/sample.csv' but this also doesn't work.\n", - "Grounded answer: The file path provided is incorrect. Please provide the correct file path so that I can plot the revenue numbers. I have tried '../data/sample.csv' but this also doesn't work.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "# let's answer the followup question above with the new setup\n", - "a2_mem_ai = agent_executor.invoke({\n", - " \"input\": q2,\n", - " \"chat_history\": [AIMessage(content=a1['output'])] # we add here the generation of the model at turn 1\n", - "})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Also in this case, the model cannot manage the follow up question. The reason is that the AI message tells is only part of the necessary context: we need more info from previous turns.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 4: Conversation with Memory using AI Messages and Human Messages" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will copy and paste the code from the previous conversation into this one, and then use it to plot the revenue numbers.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\ndata = pd.read_csv('modified_revenue_table.csv')\\n\\n# Plot the revenue numbers\\nplt.plot(data['revenue'])\\n\\nplt.savefig('revenue_plot.png')\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Here's a plot of the revenue numbers:\n", - "![Revenue plot](\"revenue_plot.png\")\n", - "Grounded answer: Here's a plot of the revenue numbers:\n", - "! [Revenue plot](\"revenue_plot.png\")\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agent_memory_walkthrough.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "a2_mem_ai_hum = agent_executor.invoke({\n", - " \"input\": q2,\n", - " \"chat_history\": [HumanMessage(content=q1),\n", - " AIMessage(content=a1['output'])] # we add here the human query and the generation of the model at turn 1\n", - "})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "It works! let's go on with the conversation." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will copy and paste the previous code and make the changes requested by the user. Then I will execute the code to plot the graph with the changes applied.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv(\"modified_revenue_table.csv\")\\n\\n# Plot revenue against time\\nplt.plot(df[\"time\"], df[\"revenue\"], marker=\"o\")\\n\\n# Set minimum and maximum values for y-axis\\nplt.ylim(0, 1000)\\n\\nplt.savefig(\"revenue_plot.png\")'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Here's the plot with the requested changes:\n", - "![Revenue plot](\"revenue_plot.png\")\n", - "Grounded answer: Here's the plot with the requested changes:\n", - "! [Revenue plot](\"revenue_plot.png\")\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "q3 = \"set the min of y axis to zero and the max to 1000\"\n", - "a3_mem_ai_hum = agent_executor.invoke({\n", - " \"input\": q3,\n", - " \"chat_history\": [HumanMessage(content=q1),\n", - " AIMessage(content=a1['output']),\n", - " HumanMessage(content=q2), # we now add info from turn 2\n", - " AIMessage(content=a2_mem_ai_hum['output'])]\n", - "})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "The model does what we asked, but it decides to introduce the marker=\"o\" in the plotting function. While in this case the modification of the code does not affect the quality of the output, this is still an undesidered behaviour, since the model is introducing a modification that was not required.\n", - "\n", - "To address this problem, we can further enrich the chat history, by adding information from the reasoning chain\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 5: Conversation with Memory using AI Messages, Human Messages and the Reasoning Chain\n", - "\n", - "To enrich the chat history, we will include in it also the resoning chains produced by the model. Such chains can be very long, especially in those cases in which errors are made, and the agent needs several attempts to get to the final output. Hence, by concatenating all the reasoning chains, we might have two issues: (i) noisy information; (ii) we would quickly hit max input length.\n", - "\n", - "To avoid this issue, we need a way to extract the relevant info from the previous turns. Below, we propose a simple approach to info extraction. We format the extracted info in such a way to enhance human interpretability. We call the objects passed in the chat history *augmented memory objects*." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# function to create augmented memory objects\n", - "def create_augmented_mem_objs(output_previous_turn: dict) -> str:\n", - " \"\"\"Function to convert the output of a ReAct agent to a compact and interpretable representation\"\"\"\n", - " all_steps_info = []\n", - " # loop though the steps of the previous turns\n", - " for i, step in enumerate(output_previous_turn['intermediate_steps']):\n", - " # remove failed attempts\n", - " if \"error\" not in step[1].lower():\n", - " # collect the relevant info\n", - " step_info = {'tool': step[0].tool,\n", - " 'tool_input': step[0].tool_input}\n", - " all_steps_info.append(step_info)\n", - " # format the memory object in an interpretable way\n", - " augmented_mem_obj = \"This is the sequence of tools you used in the previous turn:\\n\"\n", - " for i, item in enumerate(all_steps_info):\n", - " augmented_mem_obj = augmented_mem_obj + f\"\\nSTART TOOL {i} NAME:\\n{item['tool']}\\nEND TOOL {i} NAME\" \\\n", - " f\"\\n\\nSTART INPUT {i} NAME:\\n{item['tool_input']}\\nEND INPUT {i} NAME\\n\"\n", - " # add the final output generated by the agent\n", - " augmented_mem_obj = augmented_mem_obj + f\"\\n\\nThis is the output you produced in the previous turn:\\nSTART OUTPUT\\n{output_previous_turn['output']}\\nEND OUTPUT\"\n", - "\n", - " return augmented_mem_obj" - ] - }, - { - "cell_type": "code", - "execution_count": 91, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "augmented_mem_obj_a1 = create_augmented_mem_objs(a1)\n", - "augmented_mem_obj_a2 = create_augmented_mem_objs(a2_mem_ai_hum)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Below, an example of the augmented memory object generated by the model. You can see that the agent now has full visibility on what it did in the previous step." - ] - }, - { - "cell_type": "code", - "execution_count": 92, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "This is the sequence of tools you used in the previous turn:\n", - "\n", - "START TOOL 0 NAME:\n", - "python_interpreter\n", - "END TOOL 0 NAME\n", - "\n", - "START INPUT 0 NAME:\n", - "{'code': \"import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\ndata = pd.read_csv('modified_revenue_table.csv')\\n\\n# Plot the revenue numbers\\nplt.plot(data['revenue'])\\n\\nplt.savefig('revenue_plot.png')\"}\n", - "END INPUT 0 NAME\n", - "\n", - "\n", - "This is the output you produced in the previous turn:\n", - "START OUTPUT\n", - "Here's a plot of the revenue numbers:\n", - "! [Revenue plot](\"revenue_plot.png\")\n", - "END OUTPUT\n" - ] - } - ], - "source": [ - "print(augmented_mem_obj_a2)" - ] - }, - { - "cell_type": "code", - "execution_count": 87, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will copy and paste the previous code, and modify the y axis limits as requested.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\nimport matplotlib.pyplot as plt\\n\\ndata = pd.read_csv('modified_revenue_table.csv')\\n\\n# Plot the revenue numbers\\nplt.plot(data['revenue'])\\n\\n# Set y axis limits\\nplt.ylim(0, 1000)\\n\\nplt.savefig('revenue_plot.png')\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Here's the plot with the requested y axis limits:\n", - "\n", - "![Revenue plot](\"revenue_plot.png\")\n", - "Grounded answer: Here's the plot with the requested y axis limits:\n", - "\n", - "! [Revenue plot](\"revenue_plot.png\")\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD8CAYAAACb4nSYAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAAtr0lEQVR4nO3deXhcZ5Xn8e/RaslaSmVLXqTS4n23ZFuKs5AOcWjC0iRAoNMQOkA66SUdQvdsSc/0MBszTM8MA80A3c7qbiDBBEjcNNAQJySxk0i2I8VLbMe2SrZWS3ZpX0tV7/xRVUZ2JFuq7VbVPZ/n8VOlq6q6byXST2+d+95zxRiDUkope0izegBKKaXiR0NfKaVsRENfKaVsRENfKaVsRENfKaVsRENfKaVs5JqhLyJPiki3iBydss0pIr8WkVPB26Ip33tURE6LyEkR+eCU7VtF5Ejwe38rIhL9t6OUUupqZjPTfxq4/YptjwB7jTErgb3BrxGRdcDdwPrgc74jIunB53wXeABYGfx35WsqpZSKsWuGvjHmVcBzxeY7gF3B+7uAO6dsf9YYM26McQOngToRWQIUGGPeMIGzwf5hynOUUkrFSUaYz1tkjOkEMMZ0ikhJcHsp8OaUx7UFt3mD96/cPi0ReYDApwLmz5+/dc2aNWEOUyml7OnQoUMXjDHFV24PN/RnMl2d3lxl+7SMMTuBnQDbtm0zBw8ejM7olFLKJkTk7HTbw129cz5YsiF42x3c3ga4pjyuDOgIbi+bZrtSSqk4Cjf09wD3Bu/fC7wwZfvdIpItIlUEDtg2BEtBgyKyPbhq5w+nPEcppVScXLO8IyLPALcAC0WkDfgK8DVgt4jcB5wDPgVgjDkmIruBd4BJ4EFjjC/4Un9KYCVQDvCL4D+llFJxJIneWllr+kopNXcicsgYs+3K7XpGrlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJK2YiGvlJJZMzrY+erZxiZmLR6KCpJaegrlUSe2t/Cf//5CX5+pMvqoagkpaGvVJIYHp9k56tnAGhq7bV4NCpZaegrlSR2vdFC74iXUkcOjef6rB6OSlIa+kolgaHxSXa+2sz7VxfziS2lnOgaZHTCd+0nKnUFDX2lksCu11voG/Hy8G2rqHY58PkNR9r7rR6WSkIa+koluMExLztfbebWNSVUuxxUuxwANJ7Tur6aOw19pRLc0/tb6B/18uXbVgKwIC+bcmeu1vVVWDT0lUpgA2NeHnutmdvWlrCpzHFpe025g6bWPsvGpZKXhr5SCeypfS0MjE3y5dtWXba9xuWga2CMzv5Ri0amkpWGvlIJqn/Uy+P7mvnAukVsKC287HvV5UUAWuJRc6ahr1SCemq/m8GxyUu1/KnWLSkgKyNNSzxqzjT0lUpA/aNentjn5oPrF7F+aeF7vp+VkcaGpQW6gkfNmYa+UgnoiX2BWf7DO1bN+JhqVxFH2vvx+vxxHJlKdhr6SiWY/hEvT+1zc/v6xaxbWjDj42rKHYx5/ZzsGozj6FSy09BXKsE8vq+ZwfFJHp6mlj9VTbkD0JO01Nxo6EeZz284e3HY6mGoJNU3MsFT+1v48MbFrF0y8ywfoNSRw8K8bBr1YK6aAw39KNt9sJUd/+cV2vt0/bSau8dea2Z44uq1/BARCZykpcs21Rxo6EfZvlMXmPQb6psvWj0UlWQ8wxM8vb+FD29cwurF+bN6TrXLQfOFYfpGJmI8OpUqNPSjyBhDvdsDQEPwVqnZeuy1Zka8Pr684+q1/KlCdX1dr69mS0M/itwXhrkwNE5GmtDQoqGvZu/i0Di7Xm/ho5uWsnLR7Gb5AJvKHKSJnpmrZk9DP4pCs/uP15TS3BP4A6DUbDz2mptRr4+Hd6yY0/PysjNYtShfD+aqWdPQj6IGt4cF87O4u64cgANa4lGzcHFonH94o4WPbV7KipLZz/JDasodvN3ah99vYjA6lWo09KOo3u2hrsrJxtJC5mWmaYlHzcrOV5sZ8/p46NbZ1/KnqnEV0T/qxa1LhdUsRBT6IvIXInJMRI6KyDMiMk9EnCLyaxE5FbwtmvL4R0XktIicFJEPRj78xNHWO0J73yh1VU6yMtLYUl6kB3PVNV0YGucf3jgbnOXnhfUa1aGDuVrXV7MQduiLSCnwJWCbMWYDkA7cDTwC7DXGrAT2Br9GRNYFv78euB34joikRzb8xHEgOKuvq3ICUFvp5HjnAANjXiuHpRLc379yhvFJH1+aw4qdK60oziM/O4PGVj0zV11bpOWdDCBHRDKAXKADuAPYFfz+LuDO4P07gGeNMePGGDdwGqiLcP8Jo8HtIX9eBmsWB86ivK7Kid/AobP6i6im1z04xj++eZY7q0tZVhzeLB8gLU3Y7HLoCh41K2GHvjGmHfjfwDmgE+g3xvwKWGSM6Qw+phMoCT6lFGid8hJtwW3vISIPiMhBETnY09MT7hDjqt7tobbSSXqaAFBTXkRGmujBXDWjv3+lGa/P8FAEs/yQapeDE12DjE74ojAylcoiKe8UEZi9VwFLgfkics/VnjLNtmmXGxhjdhpjthljthUXF4c7xLjpGRynuWf4UmkHICcrnY1lhVrXV9PqHhjje8FZftXC+RG/Xk25A5/fcKS9PwqjU6kskvLObYDbGNNjjPECPwFuAM6LyBKA4G138PFtgGvK88sIlIOS3pX1/JC6SieH2/oZ8+rsS13uu6+cYdJv+NIc1+XPpNrlALTjprq2SEL/HLBdRHJFRIAdwHFgD3Bv8DH3Ai8E7+8B7haRbBGpAlYCDRHsP2E0uD3kZKaz4YorHNVVOZnw+fUUeXWZ8wNjfL/+HJ+oKaViQeSzfIAFedmUO3P1Z01dU0a4TzTG1IvIc8BbwCTQCOwE8oDdInIfgT8Mnwo+/piI7AbeCT7+QWNMSkyB690etlQ4yMq4/G/otgonIoGTtLYvW2DR6FSi+e5vzuD3m7DX5c+kptxBfbOWE9XVRbR6xxjzFWPMGmPMBmPM54Ircy4aY3YYY1YGbz1THv9VY8xyY8xqY8wvIh++9fpHvJzoGqCu8r2hXpibyepF+XqSlrqkq3+MHzSc45NbyihfkBvV1652OegaGKOzX9t6q5npGbkROnjWgzHvreeH1FU5OXS2l0m9jqkCvvub0/j9hj+/NTq1/KlqygPnQepJWupqNPQj1OD2kJkul1rcXqmuysnIhI9jHQPxHZhKOJ39ozzT0MpdW8twOaM7ywdYt6SArIw0bb6mrkpDP0L1bg+byxzMy5z+5OK6ysAngANa4rG977x8Br8xPPj+6M/yAbIy0li/tEBn+uqqNPQjMDw+ydH2/hlLOwAlBfOoWJB76eIqyp46+kb54YFWPrXNFZNZfkiNq4jD7X14tZyoZqChH4HGc31M+s1VQx8Cs/2DLR5tfWtj3375NIbY1PKnqil3MOb1c7JrMKb7UclLQz8CDe6LpAlsrSi66uNqq5z0jng50zMUp5GpRNLWO8Lug618epuLUkdOTPd16SQtreurGWjoR6De7WH90kLy52Ve9XHXBT8JaInHnr798hkEiVktf6qyohwW5mXrmblqRhr6YRqf9NHY2nfN0g5AuTOXkvxsPZhrQ229I/zoYCu/X+tiaYxn+QAigZVkejBXzURDP0yH2/qZmPTPKvRFhLoqJw1uD8ZoXd9Ovv3yadJE+LP3L4/bPqtdDpovDNM3MhG3farkoaEfplD3zNrKa4c+BNbrd/aP0darZ0vaRatnhB8dbOMP6lwsKYz9LD8kdM6I9uFR09HQD1O928OqRXk452fN6vGhTwTaatk+/t9Lp0lLE/70ltjX8qfaVOZABL2oipqWhn4YJn1+DrV4ZlXaCVlVkk9hTqbW9W3i3MURnnurjc/UlbO4cF5c952XncHqRfk601fT0tAPwzudAwxP+Kirmn3nzLQ0obZSL5ZuF9966RQZacKf3hK/Wv5UNeUOmlr79NwQ9R4a+mEIBXfdLOv5IbWVTpovDNMzOB6LYakE0XJhmJ80tvOZ68pZVBDfWX5ItctB/6gX98VhS/avEpeGfhjq3R4qFuTO+WN7qBykJZ7U9q2XTgdm+b9jzSwftOOmmpmG/hz5/YYDLZ45z/IBNpQWkpOZriWeFOa+MMxPG9u4Z3sFJRbN8gFWFOeRn51BY6uepKUup6E/R6e6h+gb8c7pIG5IZnoaWyocGvop7Ft7T5GVkcafWDjLh8AxpE2uQj2Yq95DQ3+OGtwXAbhuDgdxp6qtdHK8a4CBMW80h6USQHPPEM83tfO57RUU52dbPRxqXEUc7xxkdCIlrkqqokRDf47q3R4WF8zD5QzvZJu6SifGwKEW/didar710mmyM9L5Y4tn+SE15Q58fsOR9n6rh6ISiIb+HBhjaHAH1ueLSFivUVNeREaa6HVzU8yZniFeaGrnD6+vYGGe9bN8+G3HzSat66spNPTn4OzFEboHx8Oq54fkZKWzsaxQ6/op5m/3niI7I537b15m9VAuWZCXTbkzV8/MVZfR0J+DUFBfF0HoQ2Dp5uG2Psa8WmtNBae7B9nzdgd/eEPizPJDql0ODX11GQ39Oah3e3DOz2JFSV5Er1NX6cTrM7qyIkV8c+9pcjLT+eObE6OWP1VNuYOugTE6+7XRnwrQ0J+DhpaL1FYWhV3PD9lW4UREm6+lgnfPD/Kzwx3ce0PlrJvvxZOepKWupKE/Sx19o7R6RufUb2cmhbmZrF6Ur2fmpoBv7j1FbmY6D7wvcWr5U61dkk9WeppePlFdoqE/S6GAjrSeH3JdlZNDZ3uZ9Pmj8noq/k52DfLzI518/sZKihJwlg+QnZHO+tICnemrSzT0Z6nB7SEvO4O1Swqi8nq1VU5GJnwc6xiIyuup+PvbvaeYn5XB/Qk6yw+pcRVxuL0Pr04wkoIxhmMd/Ty5zx2T18+IyaumoAa3h22VRaSnRVbPDwn17mlwe9gcXE+tkseJrgH++UgnD926AkduYs7yQ6rLHTy5383JrkE2lBZaPRw1g7beEV5o6uD5xnZOdQ+RmS58dPMSSvKj28NJQ38WLg6Nc6p7iI9vKY3aa5YUzKNyQS4NLZ6EWtutru3U+UEe+kEj+dkZ3HdTldXDuaaa4KSisbVPQz/B9I1M8M9HOnmhsePSCZvbKor4r3du4CMbl8RkcYCG/iwcCLZMiFY9P6Suysmv3jmP329Ii9InCBU7xhieaWjlv/zsGPOzMvjOPVsSfpYPUFaUw8K8bBrP9fK57RVWD8f2xrw+XjrRzU8b2/nNyW68PsPy4vn8699dxR3VpbicuTHdv4b+LDS4PWRnpLGx1BHV162tdLL7YBune4ZYtSg/qq+toqt/xMujPz3Mz4908b6VC/k/n94c9Y/dsSIiVLscel6IhXx+Q33zRZ5vaucXR7oYHJ+kJD+be6+v5M6aUtYvLYh4KfhsaejPQkPLRbaUF5GVEd3j3qFOnYGLrGvoJ6pDZz186Zkmzg+M8ciH1vDA+5Yl3SezmnIHLx4/T9/IRFJ8OkkFxhje6RzghaYO9jR10DUwRl52Bh9cv5iP15Ry/fIFUTtGOBca+tcwMOblnY4BHrp1ZdRf2+XMYVFBNgfcHv3YnYB8fsN3f3Oa//viKUodOTz3pzdcamKWbGouNV/r45bVJdYOJsWFDsi+0NTOu+eHyEgTblldzL//yFpuW7uInKx0S8enoX8Nh8724jfRr+dD4GN3baWTBrcHY0zcPt6pa+vqH+PLP2zkzWYPH9u8lK9+fAP58zKtHlbYNrkciGjox0rfyAQ/P9LF843tcTsgG66IQl9EHMDjwAbAAF8ETgI/BCqBFuDTxpje4OMfBe4DfMCXjDH/Esn+46HB7SEjTS6dzh5t11U5+dnhTtp6R2N+AEfNzovvnOffPPc2Y14//+uuTdy1tSzp/yDnZWewelG+Nl+LotAB2ecb23nZggOy4Yp0pv9N4JfGmLtEJAvIBf4K2GuM+ZqIPAI8Avw7EVkH3A2sB5YCL4rIKmNMQreabHB72FRWGLOPZLXBTxD1bk/C/pDYxZjXx9d+cYKnX29h3ZICvvWZGpYXR9ZcL5FUuxz84miXfqqMgN9veNN9kecbrT8gG66wQ19ECoCbgc8DGGMmgAkRuQO4JfiwXcBvgH8H3AE8a4wZB9wichqoA94IdwyxNjrh43BbH/fdFLt19KtK8inMyeSA28NdW8tith91dae7h3jomUaOdw7whRsreeRDa8jOsLb2Gm015Q6ePdCK+8Iwy1Loj1msGWM43jnI803tlx2QvX3DYu6stu6AbLgimekvA3qAp0RkM3AIeBhYZIzpBDDGdIpIqIBYCrw55fltwW3vISIPAA8AlJeXRzDEyDS29uL1mZjU80PS0oTayiK9kpZFjDH86GAbX9lzjJysdJ64dxs71i6yelgxESpRNp7r09C/gjGGofFJLgxN0DM4Hvw3RtfAOC+dOH/ZAdn/8NHAAdl5mck5KYgk9DOALcBDxph6EfkmgVLOTKb7U2ime6AxZiewE2Dbtm3TPiYeGtweRGBrZWzq+SF1VU5ePN5N9+BY0qz9TgUDY17+/U+P8k9vd3D9sgV84+5qFhWk7n//5cV55GVn0Njayydt8qlyzOsLBPjQOBeCt6FQvxC6H7wd8763N1F6mlDjcvDfggdkE7Wx3lxEEvptQJsxpj749XMEQv+8iCwJzvKXAN1THu+a8vwyoCOC/cdcg9vDuiUFFMR41UZtsA/PAXcvH9m0JKb7UgGN53r50rONdPSN8W8+uJo/+Z3lSfURPRzpacJmV2HSn6Tl9fm5ODTx29CeGubB21DAD45NTvsazvlZFOdlszA/i63lRRTnZ1/6tzAveD8vm6LcrKQ7J+Nawg59Y0yXiLSKyGpjzElgB/BO8N+9wNeCty8En7IH+IGIfJ3AgdyVQEMkg4+liUk/b53r5Q/qYl9e2lBaSE5mOgdaPEkZ+sYY/IakCE2/3/B3r57h6796l0UF89j9x9eztSK2n+QSSY2riO++cobRCZ/l68Vnq613hP+05xitnlF6hsbxDE9M+7j8eRnBIM9m7dICbp4S3lMDfUFeFpnp9m0wHOnqnYeA7wdX7jQDXyDQrnm3iNwHnAM+BWCMOSYiuwn8UZgEHkzklTtH2vsZ8/pjWs8PyUxPY0uFI2mvpPWd35zhmy+eYvvyBexYU8KOtSWUFSXeSqTugTH+cvfb7Dt9gY9sWsJ///hGCnOSd+19OKpdDnx+w9GO/kufMBPd13/9Lq+dusDvrCpmW2XRtDPy4vzspK2xx1tEoW+MaQK2TfOtHTM8/qvAVyPZZ7yEAjhevxh1lQv4xt536R/1JlUQjXl9PP5aMy5nDq2eEb6y5xhf2XOMNYvz2bG2hB1rF7G5zGH5p4CXT3bzr3e/zfDEJF/7xEZ+v9aV8EvrYqG63AEEylvJEPqd/aPsaergnu0V/KePrbd6OClBz8idQYP7IitK8liQlx2X/dVWFWEMvHW2l/evSZ4zJp9vbKd3xMt3PruV65cvoLlniL3Hu3nx+Hn+7pVmvv3yGRbMz+L9a0q4bW0J71tZzPzs+P3YjU/6+JtfnuSJfW7WLM7nh5/ZzooS+/Y5WpiXTbkzN2lO0nr69Rb8xiRFC+tkoaE/DZ/fcLCll9+rXhq3fda4ishMF+rdnqQJfWMMT+53s3ZJAduXBWaNy4rzWFacx/03L6NvZIJX3u1h7/FufnWsi+cOtZGVnsb25Qu4bW0Jt66JbRmouWeILz3byNH2Ae69voJHP7xWSwAESjzJcH3mwTEvP3jzHB/auERPXIwiDf1pHO8cYHB8Mi71/JCcrHQ2lhYmxS9jyP7TF3n3/BD/665N05ZKHLlZ3FFdyh3VpXh9fg629LL3+Hn2nujmP75wjP/4wuVloOoyR9RWSvz4UBt//cJRsjLS2Pm5rfzu+sVRed1UUFPuYM/bHXT2j7KkMMfq4czohwdaGRyfTNiLzicrDf1pxLueH1JXtYAn9jUz5vUlxYz0qf1uFuZl8Xubr/2JKDM9jeuXL+D65Qv4Dx9dN20ZaGFeFu9fHTgQHG4ZaGh8kr9+/ig/bWznuion37i7OqGDzQqhTqFN5/pYsjEx/9t4fX6e2t9CXZVTLycaZRr602hwe3A5c1jqiO8vRF1VEX/3iqHxXB/XL18Q133PlfvCMHtPdPPwjpVh/YGaqQz0L8e6+FGYZaDDbX089EwjrZ4R/vIDq3jw/SssP4CciNYtLSArPY2m1j4+tDExlwj//Egn7X2j/Gc9eBt1GvpXMMbQ0OLh/Ra0n91a4UQk8Ecn0UP/6f1ustLT+Oz2yM9jiLQM5PcbHt/XzN/88iQl+dn88I+vT4qVKVbJzkhnfWlBwh7MNcbw2GvNLCuez61JcnwrmWjoX+FMzxCe4Ym41vNDCnMyWbO4IOHr+v2jXn50qI3f27w06m0jriwDnekZ4qUZy0CLWLskn79+4RivvtvD7esX8z8/uYnC3ORZ8mqVapeDZxrO4fX5E+5EpTebPRxtH+B/fGJjyp0Nmwg09K9QH6zn11kQ+gB1lUXsPtiWkL+MIbsPtDIy4eMLN1bGfF/Li/NYfpUyEEB2Rhpf/fgGPlNXbsu19+GoKS/iqf0tnOwaZENpodXDucxjrzWzMC+Lj9dM249RRUhD/woNbg8l+dlULLBmiVhd1QJ2vXGWYx0DCXlpvkmfn6dfb+G6Kmfcw2K6MtChsx5+d/1ivcbwHIUun9jY2pdQoX/q/CAvnejmLz+wKikWMySjxJxKWsQYQ32zh7oqp2UzxtqqQB+YBvdFS/Z/Lb9+5zztfaN80eKTZUJloD+/daUGfhjKinJYmJdFU4LV9R9/zc28zDTu0WtGx4yG/hRtvaN0DYxZUs8PKcmfR9XC+TS4ey0bw9U8ud+Ny5nDbSnac94uRIRqVxGNrYnzc9Y9OMZPG9u5a2tZQl1TNtVo6E/x23q+tStnaiuLONDiwe+37FIC0zrc1seBll4+f0OVLoVMATXlDpp7hukf8Vo9FAD+4fWzeP3+mF6pTmnoX6bBfRFHbiYrS6y9qlBd1QL6R72c6h6ydBxXemp/C3nZGXx6mz0uwJHqQnX9prY+S8cBMDIxyT++eZbfXbeIqoXzrR5OStPQn6LB7aG20mn5MrG64BrzRKrrnx8Y42eHO/jUtjLyY3xRGRUfm1wORAIdN632o4Nt9I96eeBmneXHmoZ+0PmBMVoujlhazw9xOXNYXDCPhhbrfxlDvvfmWSb9hs/fUGn1UFSU5GVnsKok3/KTtHzBk+u2lDvYWmH971+q09AParB4ff5UIkJtlZMDbg/GWF/XH/P6+H79OW5bu4iKBfrRO5XUlDtoau2z9OfsX4510eoZ1Vl+nGjoBzW4PczPSmfdkgKrhwIE/vh0DYzR6hm1eii80NSOZ3iCL96oPc1TTU25g/5RL+4Lw5bs3xjD37/aTMWCXD6wTjuhxoOGflCD28PWSicZCXIW7KW6vsUtGYwxPLmv5bKe+Sp1VLsC54VYVeI5eLaXt1v7+KObdEVYvCRGwlmsd3iCk+cHE6KeH7KyJA9HbqblB3NfP3ORk+cH+eKNldriIAWtKMkjLzuDptY+S/a/89VminIzuWury5L925GGPlxqcJYI9fyQtDRhW4WTAxYfzH1y3+x75qvkk54mbHYVWnKS1pmeIV48fp7Pba8gJ0tbLsSLhj6B0k5WRhqbyhKnBwkE+uu7LwzTPThmyf5DPfM/e12F9kFJYdUuByc6Bxmd8MV1v0/sc5OZnsbnrq+M637tTkOfQN28xuUgOyOxgi10ZvABi1oyRLNnvkpcNa4iJv2Gox39cdvnhaFxfnyojU9uKaU4Pztu+1Ua+gyNT3K0vT+h6vkh65cWkJOZbkldP5Y981ViqS53APE9Sesf3zjL+KS2XLCC7UP/0Nle/Mb6fjvTyUxPY2tFkSUnacWzZ76y1sK8bFzOnLgdzB2d8PGPb57ltrUlrLC45Ykd2T70G9wXyUgTtlQ4rB7KtGornZzoGqB/NH5Nsazsma+sUeMqituyzR+/1YZneIL736ezfCto6Ls9bCgtJDcrMa8nU1flxBg4dDZ+6/UTpWe+ip9ql4PO/jG6+mO7aMDnNzyxz83mssKEWi1nJ7YO/TGvj7dbE7OeH1JT7iAzXS61fY4H7ZlvPzXBun5TjJduvnj8PO4Lw9x/8zI978Mitg79ptY+Jnz+hJ5xzMtMZ1OZgwNxCn3tmW9P65YWkJWeFvMSz2OvNlNWlMPt67XlglVsHfoNbg8isC3BO/vVVjo53NYfl3XU2jPfnrIz0lm3tCCmoX/obC8Hz/Zy301VCdPuxI5s/V++we1hzeICCnMTuz/8dVVOJv0m5mdNas98e6spd3C4vY9Jnz8mr//4a80UzMvg09u05YKVbBv6Xp+fQ2d7E7qeH7KlogiR37Z/jhXtmW9vNeVFjHn9nOgajPprn704zC+PdXHP9grmZyfmogm7sG3oH23vZ9TrS+h6fkhhTiZrFxdc6hEUC9ozX4Uun9gYg/X6T+xzk5EmOqFIALYN/dCsubYy8UMfAks33zrbhzdGH721Z74qK8phYV4WTVGu6/cOT7D7YCt3VpdSUqBnd1vN1qG/rHh+0vT9qKtyMur1cbQ9+v1RtGe+gsAV26pdRVE/dvS9N88y5vVzv14ZKyHYMvR9fkNDiycp6vkhoU8ksSjxaM98FVJT7qC5Z5j+keicAT7m9bHrjRZuWV3MqkX5UXlNFZmIQ19E0kWkUUR+FvzaKSK/FpFTwduiKY99VEROi8hJEflgpPsO18muQQbHJpOinh9SnJ9N1cL5MTmYqz3zVUiort/U1heV13u+sZ0LQxM8oC0XEkY0ZvoPA8enfP0IsNcYsxLYG/waEVkH3A2sB24HviMilvQyDnWtTMQma1dTVxm4qIrfH72LWId65n9Ge+YrYJPLgUh0Om76/YbHXmtm/dICrl+eXL9rqSyi0BeRMuAjwONTNt8B7Are3wXcOWX7s8aYcWOMGzgN1EWy/3A1tHgodeRQ6sixYvdhq61y0j/q5d3u6C2pe3q/m8x04R7tma+AvOwMVpXkR6Xj5ssnuznTM8wD2nIhoUQ60/8G8G+BqUtKFhljOgGCtyXB7aVA65THtQW3vYeIPCAiB0XkYE9PT4RDvJwxhgZ3ctXzQ0JjjlZLBu2Zr6ZTU+6g8VwfxkT2iXLnq80sLZzHhzcuidLIVDSEHfoi8lGg2xhzaLZPmWbbtD9VxpidxphtxphtxcXF4Q5xWs0XhrkwNJFU9fyQsqIcFhfMi1rztR8dDPTM12Waaqpql4P+US/uC8Nhv8bbrX3Uuz188aYqMrXlQkKJ5P/GjcDHRKQFeBa4VUS+B5wXkSUAwdvu4OPbgKnnX5cBHRHsPyyhA6HJGPoiQl2VkwMtnohnYZM+P0/tb6FOe+arK9SUB9ZeRFLieey1ZvKzM/j9Wm25kGjCDn1jzKPGmDJjTCWBA7QvGWPuAfYA9wYfdi/wQvD+HuBuEckWkSpgJdAQ9sjD1OD2sDAvsBImGdVWOTk/MM45z0hEr/Pi8WDPfJ3lqyusKMkjLzsj7OZrrZ4Rfn6kk89cV649nBJQLD53fQ34gIicAj4Q/BpjzDFgN/AO8EvgQWNM7NtGXiFUz0/WA0uhun6kSzef3NdCWVEOH1inPfPV5dLThE1lhWGfpPXkfjdpInxeL7WZkKIS+saY3xhjPhq8f9EYs8MYszJ465nyuK8aY5YbY1YbY34RjX3PRVvvCO19o0lZ2glZUZyHIzczotA/0tZPQ4uHz99QqT3z1bRqyh2c6Bycczvv/hEvPzzQysc2L2VJYXKtjrMLWx1hSeZ6fkhamlBb6YzozNyn9ruZn5XOp7XeqmZQ4ypi0m842jG3th/fbzjLyISPP9KTsRKW7UK/YF4Gq5P8dPC6SictF0foHpj79Uy7B8b4p8MdfGqbiwKtt6oZVIcunziHuv74pI+n97dw04qFrFtaEJuBqYjZLvTrqpykJXlJI/RJpSGM2b72zFezsTAvG5czZ051/T1NHXQPjmtjtQRnm9DvHhyj+cJwUpd2QtYvLSA3K33Odf0xr4/v1Z9jx5pFVCbp6iUVPzWuolmv4DEm0HJh9aJ8bl65MLYDUxGxTegfcAdmLMnWb2c6GelpbK0omnPo72nqCPTMv6kyNgNTKaXa5aCzf4yu/muXEV95t4d3zw9xv7ZcSHi2Cf0G90Vys9JZnyK1xtpKJyfPD866Ba4xhif3u1mzOJ/rlyX/Hz4VezWhuv4sSjyPv+ZmUUE2H9NOrQnPNqFf7/awtaIoZU4Jr610YgwcPDu72f4bZy5yomuQL95UpTMxNSvrlhaQlZ52zRLPsY5+9p2+wOdvqCIrIzV+v1KZLf4P9Y1McPL8IHVJcmnE2agpd5CZLrM+mPvkfjcL5mfpTEzNWnZGOuuWFlzzmrmPvxZYAvyZ67RTazKwRegfbOnFmORen3+leZnpbCpzzKquH+qZ/9nt2jNfzU1NuYPDbX1MznBt5o6+Uf7p7Q5+v7acwhxdApwMbBH6DS0estLT2By8KlCqqKtycqSt/5pnTe56vYWMNO2Zr+au2uVgzOvnRNf013B4+vUWDPAFbbmQNGwR+vVuD9UuR8rNcusqnUz6zVWvctQ/6mX3wVbtma/CsuUqHTcHxrz8oP4cH964BJczN84jU+FK+dAfHp/kaHt/SpV2QrZWFiFy9ZO0tGe+ikRZUQ4L87KmPZj7w4ZWhsYnuf99+rOVTFI+9N8614vPb1Iy9AvmZbJ2ccGMdX3tma8iJSJUuxzvOTPX6/Pz5H4325c52VTmsGZwKiwpH/oNbg/pacKWiiKrhxITdVVO3jrXy8Tkew+0ac98FQ015UU09wxfdk7IPx/upLN/jAe05ULSSfnQr3d72LC0gLzsDKuHEhN1VU7GvP5puyFqz3wVDTXBBRBNbX1A4ES/na82s6Ikj1tWlcz8RJWQUjr0x7w+mlr7UrK0E1JbOf3F0rVnvoqWjWWFiPy24+brZy7yTucA97+vKumbF9pRSof+4bZ+Jib9KdFvZybF+dksWzj/PXV97ZmvoiV/XiarSvIv1fV3vtrMwrxs7qgutXhkKhwpHfoN7osA1FamZj0/JHSxdL8/cLF07Zmvoq2m3EFTax8nugZ45d0ePn+DnuiXrFI69OvdHtYszseRm2X1UGKqttLJwNgkJ88HTqDRnvkq2qpdDvpGvPz180fJyUzns9dVWD0kFaaUDf1Jn59DZ3tTup4fEnqPB1o82jNfxURN8CStAy29fHpbGUXzU3silcpSc0kLcKxjgJEJny1Cv6wohyWF86h3e5iXka4981XUrSjJY35WOqNeH1+8SZcAJ7OUDf1LF0FPoc6aMxEJXCz9zeaLnOke0p75KurS04SPVS8lPU2oWKCfIJNZyoZ+vdtD1cL5lBTYo99MXZWTPW8HrlH6N3dt0p75Kur+xyc2WT0EFQUpW9O/ODxui1l+SKiMpT3zlVJXk7Iz/Z/+2Y0z9gBPRSuK81i7pIBPbinVpXRKqRmlbOhD4ALidpGWJvzi4fdZPQylVIKzTyoqpZTS0FdKKTvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRsJO/RFxCUiL4vIcRE5JiIPB7c7ReTXInIqeFs05TmPishpETkpIh+MxhtQSik1e5HM9CeBf2WMWQtsBx4UkXXAI8BeY8xKYG/wa4LfuxtYD9wOfEdEtF+AUkrFUdihb4zpNMa8Fbw/CBwHSoE7gF3Bh+0C7gzevwN41hgzboxxA6eBunD3r5RSau6iUtMXkUqgBqgHFhljOiHwhwEoCT6sFGid8rS24LbpXu8BETkoIgd7enqiMUSllFJEIfRFJA/4MfBlY8zA1R46zTYz3QONMTuNMduMMduKi4sjHaJSSqmgiEJfRDIJBP73jTE/CW4+LyJLgt9fAnQHt7cBrilPLwM6Itm/UkqpuYlk9Y4ATwDHjTFfn/KtPcC9wfv3Ai9M2X63iGSLSBWwEmgId/9KKaXmLpJ++jcCnwOOiEhTcNtfAV8DdovIfcA54FMAxphjIrIbeIfAyp8HjTG+CPavlFJqjsIOfWPMPqav0wPsmOE5XwW+Gu4+lVJKRUbPyFVKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRvR0FdKKRuJe+iLyO0iclJETovII/Hev1JK2VlcQ19E0oFvAx8C1gF/ICLr4jkGpZSys3jP9OuA08aYZmPMBPAscEecx6CUUraVEef9lQKtU75uA6678kEi8gDwQPDLIRE5Geb+FgIXwnxustL3bA92e892e78Q+XuumG5jvENfptlm3rPBmJ3Azoh3JnLQGLMt0tdJJvqe7cFu79lu7xdi957jXd5pA1xTvi4DOuI8BqWUsq14h/4BYKWIVIlIFnA3sCfOY1BKKduKa3nHGDMpIn8O/AuQDjxpjDkWw11GXCJKQvqe7cFu79lu7xdi9J7FmPeU1JVSSqUoPSNXKaVsRENfKaVsJCVD326tHkTEJSIvi8hxETkmIg9bPaZ4EZF0EWkUkZ9ZPZZ4EBGHiDwnIieC/7+vt3pMsSYifxH8uT4qIs+IyDyrxxRtIvKkiHSLyNEp25wi8msRORW8LYrGvlIu9G3a6mES+FfGmLXAduBBG7znkIeB41YPIo6+CfzSGLMG2EyKv3cRKQW+BGwzxmwgsADkbmtHFRNPA7dfse0RYK8xZiWwN/h1xFIu9LFhqwdjTKcx5q3g/UECQVBq7ahiT0TKgI8Aj1s9lngQkQLgZuAJAGPMhDGmz9JBxUcGkCMiGUAuKXhujzHmVcBzxeY7gF3B+7uAO6Oxr1QM/elaPaR8AIaISCVQA9RbPJR4+AbwbwG/xeOIl2VAD/BUsKT1uIjMt3pQsWSMaQf+N3AO6AT6jTG/snZUcbPIGNMJgYkdUBKNF03F0J9Vq4dUJCJ5wI+BLxtjBqweTyyJyEeBbmPMIavHEkcZwBbgu8aYGmCYKH3kT1TBOvYdQBWwFJgvIvdYO6rkloqhb8tWDyKSSSDwv2+M+YnV44mDG4GPiUgLgRLerSLyPWuHFHNtQJsxJvQp7jkCfwRS2W2A2xjTY4zxAj8BbrB4TPFyXkSWAARvu6PxoqkY+rZr9SAiQqDOe9wY83WrxxMPxphHjTFlxphKAv+PXzLGpPQM0BjTBbSKyOrgph3AOxYOKR7OAdtFJDf4c76DFD94PcUe4N7g/XuBF6LxovHushlzFrR6SAQ3Ap8DjohIU3DbXxljfm7dkFSMPAR8PzihaQa+YPF4YsoYUy8izwFvEVil1kgKtmQQkWeAW4CFItIGfAX4GrBbRO4j8MfvU1HZl7ZhUEop+0jF8o5SSqkZaOgrpZSNaOgrpZSNaOgrpZSNaOgrpZSNaOgrpZSNaOgrpZSN/H8pLqJxyWctEwAAAABJRU5ErkJggg==\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "a3_mem_ai_hum_amo = agent_executor.invoke({\n", - " \"input\": q3,\n", - " \"chat_history\": [SystemMessage(content=augmented_mem_obj_a1),\n", - " SystemMessage(content=augmented_mem_obj_a2)]\n", - "})\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "We can see that, now, the plot only includes the modification we asked for, and nothing else. This is possible because we are now providing the Agent with the code it previously generated, and the Agent re-uses that code, making only the necessary modifications. This is fundamentally different from what we observed before, when the Agent had to re-create from scratch the code.\n", - "\n", - "In sum, by providing the Agent with the information about its previous Reasoning Chain, we make it more robust and able to generate consistent outputs.\n", - "\n", - "In a future post, we will explore how to handle really long historical context using vector databases." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.14" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/agentic-RAG/README.md b/notebooks/agents/agentic-RAG/README.md index 536f9a47..56becd5e 100644 --- a/notebooks/agents/agentic-RAG/README.md +++ b/notebooks/agents/agentic-RAG/README.md @@ -1 +1 @@ -In this notebook we will guide you through the best practices of setting up a RAG pipeline to process documents that contain both tables and text. In addition, we will show you how to create a Cohere ReAct agent with access to a RAG pipeline tool to improve accuracy. \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/agents/agentic-RAG/agentic_multi_stage_rag_native.ipynb b/notebooks/agents/agentic-RAG/agentic_multi_stage_rag_native.ipynb index a83954dd..cdcab2df 100644 --- a/notebooks/agents/agentic-RAG/agentic_multi_stage_rag_native.ipynb +++ b/notebooks/agents/agentic-RAG/agentic_multi_stage_rag_native.ipynb @@ -1,758 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# Agentic Multi-Stage RAG with Cohere Tools API \n", - "\n", - "## Motivation \n", - "\n", - "Retrieval augmented generation (RAG) has been a go-to use case that enterprises have been adopting with large language models (LLMs). Even though it works well in general, there are edge cases where this can fail. Most commonly, when the retrieved document mentions the query but actually refers to another document, the model will fail to generate the correct answer. \n", - "\n", - "We propose an agentic RAG system that leverages tool use to continue to retrieve documents if correct ones were not retrieved at first try. This is ideal for use cases where accuracy is a top priority and latency is not. For example, lawyers trying to find the most accurate answer from their contracts are willing to wait a few more seconds to get the answer instead of getting wrong answers fast. \n", - "\n", - "\n", - "## Objective\n", - "\n", - "This notebook, we will explore how we can build a simple agentic RAG using Cohere's native API. We have prepared a fake dataset to demonstrate the use case. \n", - "We ask three questions that require different depths of retrieval. We will see how the model answers the question between simple and agentic RAG. \n", - "\n", - "\n", - "## Disclaimer \n", - "\n", - "One of the challenges in building a RAG system is that it has many moving pieces: vector database, type of embedding model, use of reranker, number of retrieved documents, chunking strategy, and more. These components can make debugging and evaluating RAG systems difficult. Since this notebook focuses on the concept of agentic RAG, it will simplify other parts of the RAG system. For example, we will only retrieve top 1 docuemnt to demonstrate what happens when retrieved document does not contain the answer needed. \n", - "\n", - "\n", - "## Result \n", - "\n", - "|Type | Question | Simple Rag | Agentic Rag | \n", - "|---|--------------|---------|---------|\n", - "|Single-stage retrieval| Is there a state level law for wearing helmets? | There is currently no state law requiring the use of helmets when riding a bicycle. However, some cities and counties do require helmet use. | There is currently no state law requiring helmet use. However, some cities and counties do require helmet use with bicycles. | \n", - "|Multi-stage retrieval|I live in orting, do I need to wear a helmet with a bike?|In the state of Washington, there is no law requiring you to wear a helmet when riding a bike. However, some cities and counties do require helmet use, so it is worth checking your local laws.|Yes, you do need to wear a helmet with a bike in Orting if you are under 17.|\n", - "\n", - "As you will see more below, the multi-stage retrieval is achieved by adding a new function `reference_extractor()` that extracts other references in the documents and updating the instruction so the agent continues to retrieve more documents. \n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 56, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "from pprint import pprint\n", - "\n", - "import cohere\n", - "import pandas as pd\n", - "from sklearn.metrics.pairwise import cosine_similarity" - ] - }, - { - "cell_type": "code", - "execution_count": 161, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "cohere version: 5.5.1\n" - ] - } - ], - "source": [ - "# versions\n", - "print('cohere version:', cohere.__version__)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup " - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [], - "source": [ - "COHERE_API_KEY = os.environ.get(\"CO_API_KEY\")\n", - "COHERE_MODEL = 'command-r-plus'\n", - "co = cohere.Client(api_key=COHERE_API_KEY)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Data \n", - "\n", - "We leveraged data from [Washington Department of Transportation](https://wsdot.wa.gov/travel/bicycling-walking/bicycling-washington/bicyclist-laws-safety) and modified to fit the need of this demo. " - ] - }, - { - "cell_type": "code", - "execution_count": 279, - "metadata": {}, - "outputs": [], - "source": [ - "documents = [\n", - " {\n", - " \"title\": \"Bicycle law\",\n", - " \"body\": \"\"\"\n", - " Traffic Infractions and fees - For all information related to bicycle traffic infractions such as not wearing a helmet and fee information, please visit Section 3b for more information.\n", - " Riding on the road - When riding on a roadway, a cyclist has all the rights and responsibilities of a vehicle driver (RCW 46.61.755). Bicyclists who violate traffic laws may be ticketed (RCW 46.61.750).\n", - " Roads closed to bicyclists - Some designated sections of the state's limited access highway system may be closed to bicyclists. See the permanent bike restrictions map for more information. In addition, local governments may adopt ordinances banning cycling on specific roads or on sidewalks within business districts.\n", - " Children bicycling - Parents or guardians may not knowingly permit bicycle traffic violations by their ward (RCW 46.61.700).\n", - " Riding side by side - Bicyclists may ride side by side, but not more than two abreast (RCW 46.61.770).\n", - " Riding at night - For night bicycle riding, a white front light (not a reflector) visible for 500 feet and a red rear reflector are required. A red rear light may be used in addition to the required reflector (RCW 46.61.780).\n", - " Shoulder vs. bike lane - Bicyclists may choose to ride on the path, bike lane, shoulder or travel lane as suits their safety needs (RCW 46.61.770).\n", - " Bicycle helmets - Currently, there is no state law requiring helmet use. However, some cities and counties do require helmets. For specific information along with location for bicycle helmet law please reference to section 21a.\n", - " Bicycle equipment - Bicycles must be equipped with a white front light visible for 500 feet and a red rear reflector (RCW 46.61.780). A red rear light may be used in addition to the required reflector.\n", - "\"\"\",\n", - " },\n", - " {\n", - " \"title\": \"Bicycle helmet requirement\",\n", - " \"body\": \"Currently, there is no state law requiring helmet use. However, some cities and counties do require helmet use with bicycles. Here is a list of those locations and when the laws were enacted. For specific information along with location for bicycle helmet law please reference to section 21a.\",\n", - " },\n", - " {\n", - " \"title\": \"Section 21a\",\n", - " \"body\": \"\"\"helmet rules by location: These are city and county level rules. The following group must wear helmets.\n", - " Location name | Who is affected | Effective date\n", - " Aberdeen | All ages | 2001\n", - " Bainbridge Island | All ages | 2001\n", - " Bellevue | All ages | 2001\n", - " Bremerton | All ages | 2000\n", - " DuPont | All ages | 2008\n", - " Eatonville | All ages | 1996\n", - " Fircrest | All ages | 1995\n", - " Gig Harbor | All ages | 1996\n", - " Kent | All ages | 1999\n", - " Lynnwood | All ages | 2004\n", - " Lakewood | All ages | 1996\n", - " Milton | All ages | 1997\n", - " Orting | Under 17 | 1997\n", - "\n", - " For fines and rules, you will be charged in according with Section 3b of the law.\n", - " \"\"\",\n", - " },\n", - " {\n", - " \"title\": \"Section 3b\",\n", - " \"body\": \"\"\"Traffic infraction - A person operating a bicycle upon a roadway or highway shall be subject to the provisions of this chapter relating to traffic infractions.\n", - " 1. Stop for people in crosswalks. Every intersection is a crosswalk - It’s the law. Drivers must stop for pedestrians at intersections, whether it’s an unmarked or marked crosswalk, and bicyclists in crosswalks are considered pedestrians. Also, it is illegal to pass another vehicle stopped for someone at a crosswalk. In Washington, the leading action motorists take that results in them hitting someone is a failure to yield to pedestrians.\n", - " 2. Put the phone down. Hand-held cell phone use and texting is prohibited for all Washington drivers and may result in a $136 fine for first offense, $235 on the second distracted-driving citation.\n", - " 3. Helmets are required for all bicyclists according to the state and municipal laws. If you are in a group required to wear a helmet but do not wear it you can be fined $48. # If you are the parent or legal guardian of a child under 17 and knowingly allow them to ride without a helmet, you can be fined $136.\n", - "\"\"\",\n", - " },\n", - "]\n", - "db = pd.DataFrame(documents)\n", - "# comebine title and body\n", - "db[\"combined\"] = \"Title: \" + db[\"title\"] + \"\\n\" + \"Body: \" + db[\"body\"]\n", - "# generate embedding\n", - "embeddings = co.embed(\n", - " texts=db.combined.tolist(), model=\"embed-english-v3.0\", input_type=\"search_document\"\n", - ")\n", - "db[\"embeddings\"] = embeddings.embeddings\n" - ] - }, - { - "cell_type": "code", - "execution_count": 329, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
titlebodycombinedembeddings
0Bicycle law\\n Traffic Infractions and fees - For a...Title: Bicycle law\\nBody: \\n Traffic In...[-0.024673462, -0.034729004, 0.0418396, 0.0121...
1Bicycle helmet requirementCurrently, there is no state law requiring hel...Title: Bicycle helmet requirement\\nBody: Curre...[-0.019180298, -0.037384033, 0.0027389526, -0....
2Section 21ahelmet rules by location: These are city and c...Title: Section 21a\\nBody: helmet rules by loca...[0.031097412, 0.0007619858, -0.023010254, -0.0...
3Section 3bTraffic infraction - A person operating a bicy...Title: Section 3b\\nBody: Traffic infraction - ...[0.015602112, -0.016143799, 0.032958984, 0.000...
\n", - "
" - ], - "text/plain": [ - " title \\\n", - "0 Bicycle law \n", - "1 Bicycle helmet requirement \n", - "2 Section 21a \n", - "3 Section 3b \n", - "\n", - " body \\\n", - "0 \\n Traffic Infractions and fees - For a... \n", - "1 Currently, there is no state law requiring hel... \n", - "2 helmet rules by location: These are city and c... \n", - "3 Traffic infraction - A person operating a bicy... \n", - "\n", - " combined \\\n", - "0 Title: Bicycle law\\nBody: \\n Traffic In... \n", - "1 Title: Bicycle helmet requirement\\nBody: Curre... \n", - "2 Title: Section 21a\\nBody: helmet rules by loca... \n", - "3 Title: Section 3b\\nBody: Traffic infraction - ... \n", - "\n", - " embeddings \n", - "0 [-0.024673462, -0.034729004, 0.0418396, 0.0121... \n", - "1 [-0.019180298, -0.037384033, 0.0027389526, -0.... \n", - "2 [0.031097412, 0.0007619858, -0.023010254, -0.0... \n", - "3 [0.015602112, -0.016143799, 0.032958984, 0.000... " - ] - }, - "execution_count": 329, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "db" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Tools \n", - "\n", - "Following functions and tools will be used in the subsequent tasks. " - ] - }, - { - "cell_type": "code", - "execution_count": 220, - "metadata": {}, - "outputs": [], - "source": [ - "def retrieve_documents(query: str, n=1) -> dict:\n", - " \"\"\"\n", - " Function to retrieve documents a given query.\n", - "\n", - " Steps:\n", - " 1. Embed the query\n", - " 2. Calculate cosine similarity between the query embedding and the embeddings of the documents\n", - " 3. Return the top n documents with the highest similarity scores\n", - " \"\"\"\n", - " query_emb = co.embed(\n", - " texts=[query], model=\"embed-english-v3.0\", input_type=\"search_query\"\n", - " )\n", - "\n", - " similarity_scores = cosine_similarity(\n", - " [query_emb.embeddings[0]], db.embeddings.tolist()\n", - " )\n", - " similarity_scores = similarity_scores[0]\n", - "\n", - " top_indices = similarity_scores.argsort()[::-1][:n]\n", - " top_matches = db.iloc[top_indices]\n", - "\n", - " return {\"top_matched_document\": top_matches.combined}\n", - "\n", - "\n", - "functions_map = {\n", - " \"retrieve_documents\": retrieve_documents,\n", - "}\n", - "\n", - "tools = [\n", - " {\n", - " \"name\": \"retrieve_documents\",\n", - " \"description\": \"given a query, retrieve documents from a database to answer user's question\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\"description\": \"query\", \"type\": \"str\", \"required\": True}\n", - " },\n", - " }\n", - "]\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## RAG function \n" - ] - }, - { - "cell_type": "code", - "execution_count": 237, - "metadata": {}, - "outputs": [], - "source": [ - "def simple_rag(query, db):\n", - " \"\"\"\n", - " Given user's query, retrieve top documents and generate response using documents parameter.\n", - " \"\"\"\n", - " top_matched_document = retrieve_documents(query)[\"top_matched_document\"]\n", - "\n", - " print(\"top_matched_document\", top_matched_document)\n", - "\n", - " output = co.chat(\n", - " message=query, model=COHERE_MODEL, documents=[top_matched_document]\n", - " )\n", - "\n", - " return output.text\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Agentic RAG - cohere_agent()" - ] - }, - { - "cell_type": "code", - "execution_count": 325, - "metadata": {}, - "outputs": [], - "source": [ - "def cohere_agent(\n", - " message: str,\n", - " preamble: str,\n", - " tools: list[dict],\n", - " force_single_step=False,\n", - " verbose: bool = False,\n", - " temperature: float = 0.3,\n", - ") -> str:\n", - " \"\"\"\n", - " Function to handle multi-step tool use api.\n", - "\n", - " Args:\n", - " message (str): The message to send to the Cohere AI model.\n", - " preamble (str): The preamble or context for the conversation.\n", - " tools (list of dict): List of tools to use in the conversation.\n", - " verbose (bool, optional): Whether to print verbose output. Defaults to False.\n", - "\n", - " Returns:\n", - " str: The final response from the call.\n", - " \"\"\"\n", - "\n", - " counter = 1\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=message,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=force_single_step,\n", - " temperature=temperature,\n", - " )\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning 0th step.\")\n", - " print(response.text)\n", - "\n", - " while response.tool_calls:\n", - " tool_results = []\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning {counter}th step.\")\n", - "\n", - " for tool_call in response.tool_calls:\n", - " output = functions_map[tool_call.name](**tool_call.parameters)\n", - " outputs = [output]\n", - " tool_results.append({\"call\": tool_call, \"outputs\": outputs})\n", - "\n", - " if verbose:\n", - " print(\n", - " f\"= running tool {tool_call.name}, with parameters: \\n{tool_call.parameters}\"\n", - " )\n", - " print(f\"== tool results:\")\n", - " pprint(output)\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=\"\",\n", - " chat_history=response.chat_history,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=force_single_step,\n", - " tool_results=tool_results,\n", - " temperature=temperature,\n", - " )\n", - "\n", - " if verbose:\n", - " print(response.text)\n", - " counter += 1\n", - "\n", - " return response.text\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Question 1 - single-stage retrieval \n", - "\n", - "Here we are asking a question that can be answered easily with single-stage retrieval. Both regular and agentic RAG should be able to answer this question easily. Below is the comparsion of the response. \n", - "\n", - "| Question | Simple Rag | Agentic Rag | \n", - "|--------------|---------|---------|\n", - "| Is there a state level law for wearing helmets? | There is currently no state law requiring the use of helmets when riding a bicycle. However, some cities and counties do require helmet use. | There is currently no state law requiring helmet use. However, some cities and counties do require helmet use with bicycles. | \n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 179, - "metadata": {}, - "outputs": [], - "source": [ - "question1 = \"Is there a state level law for wearing helmets?\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Simple RAG " - ] - }, - { - "cell_type": "code", - "execution_count": 180, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "top_matched_document 1 Title: Bicycle helmet requirement\\nBody: Curre...\n", - "Name: combined, dtype: object\n", - "There is currently no state law requiring the use of helmets when riding a bicycle. However, some cities and counties do require helmet use.\n" - ] - } - ], - "source": [ - "output = simple_rag(question1, db)\n", - "print(output)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Agentic RAG " - ] - }, - { - "cell_type": "code", - "execution_count": 183, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will search for 'state level law for wearing helmets' in the documents provided and write an answer based on what I find.\n", - "\n", - "running 1th step.\n", - "= running tool retrieve_documents, with parameters: \n", - "{'query': 'state level law for wearing helmets'}\n", - "== tool results:\n", - "{'top_matched_document': 1 Title: Bicycle helmet requirement\\nBody: Curre...\n", - "Name: combined, dtype: object}\n", - "There is currently no state law requiring helmet use. However, some cities and counties do require helmet use with bicycles.\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert assistant that helps users answers question about legal documents and policies.\n", - "Use the provided documents to answer questions about an employee's specific situation.\n", - "\"\"\"\n", - "\n", - "output = cohere_agent(question1, preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Question 2 - double-stage retrieval \n", - "\n", - "The second question requires a double-stage retrieval because top matched document references another document. You will see below that the agentic RAG is unable to produce the correct answer initially. But when given proper tools and instructions, it finds the correct answer. \n", - "\n", - "\n", - "| Question | Simple Rag | Agentic Rag | \n", - "|--------------|---------|---------|\n", - "|I live in orting, do I need to wear a helmet with a bike?|In the state of Washington, there is no law requiring you to wear a helmet when riding a bike. However, some cities and counties do require helmet use, so it is worth checking your local laws.|Yes, you do need to wear a helmet with a bike in Orting if you are under 17.|" - ] - }, - { - "cell_type": "code", - "execution_count": 188, - "metadata": {}, - "outputs": [], - "source": [ - "question2 = \"I live in orting, do I need to wear a helmet with a bike?\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Simple RAG " - ] - }, - { - "cell_type": "code", - "execution_count": 189, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "top_matched_document 1 Title: Bicycle helmet requirement\\nBody: Curre...\n", - "Name: combined, dtype: object\n", - "In the state of Washington, there is no law requiring you to wear a helmet when riding a bike. However, some cities and counties do require helmet use, so it is worth checking your local laws.\n" - ] - } - ], - "source": [ - "output = simple_rag(question2, db)\n", - "print(output)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Agentic RAG\n", - "\n", - "Produces same quality answer as the simple rag." - ] - }, - { - "cell_type": "code", - "execution_count": 190, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will search for 'helmet with a bike' and then write an answer.\n", - "\n", - "running 1th step.\n", - "= running tool retrieve_documents, with parameters: \n", - "{'query': 'helmet with a bike'}\n", - "== tool results:\n", - "{'top_matched_document': 1 Title: Bicycle helmet requirement\\nBody: Curre...\n", - "Name: combined, dtype: object}\n", - "There is no state law requiring helmet use, however, some cities and counties do require helmet use with bicycles. I cannot find any information about Orting specifically, but you should check with your local authority.\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert assistant that helps users answers question about legal documents and policies.\n", - "Use the provided documents to answer questions about an employee's specific situation.\n", - "\"\"\"\n", - "\n", - "output = cohere_agent(question2, preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Agentic RAG - New Tools \n", - "\n", - "In order for the model to retrieve correct documents, we do two things: \n", - "1. New reference_extractor() function is added. This function finds the references to other documents when given query and documents. \n", - "2. We update the instruction that directs the agent to keep retrieving relevant documents. " - ] - }, - { - "cell_type": "code", - "execution_count": 247, - "metadata": {}, - "outputs": [], - "source": [ - "def reference_extractor(query: str, documents: list[str]) -> str:\n", - " \"\"\"\n", - " Given a query and document, find references to other documents.\n", - " \"\"\"\n", - " prompt = f\"\"\"\n", - " # instruction\n", - " Does the reference document mention any other documents? If so, list them.\n", - " If not, return empty string.\n", - "\n", - " # user query\n", - " {query}\n", - "\n", - " # retrieved documents\n", - " {documents}\n", - " \"\"\"\n", - "\n", - " return co.chat(message=prompt, model=COHERE_MODEL, preamble=None).text\n", - "\n", - "\n", - "def retrieve_documents(query: str, n=1) -> dict:\n", - " \"\"\"\n", - " Function to retrieve most relevant documents a given query.\n", - " It also returns other references mentioned in the top matched documents.\n", - " \"\"\"\n", - " query_emb = co.embed(\n", - " texts=[query], model=\"embed-english-v3.0\", input_type=\"search_query\"\n", - " )\n", - "\n", - " similarity_scores = cosine_similarity(\n", - " [query_emb.embeddings[0]], db.embeddings.tolist()\n", - " )\n", - " similarity_scores = similarity_scores[0]\n", - "\n", - " top_indices = similarity_scores.argsort()[::-1][:n]\n", - " top_matches = db.iloc[top_indices]\n", - " other_references = reference_extractor(query, top_matches.combined.tolist())\n", - "\n", - " return {\n", - " \"top_matched_document\": top_matches.combined,\n", - " \"other_references_to_query\": other_references,\n", - " }\n", - "\n", - "\n", - "functions_map = {\n", - " \"retrieve_documents\": retrieve_documents,\n", - "}\n", - "\n", - "tools = [\n", - " {\n", - " \"name\": \"retrieve_documents\",\n", - " \"description\": \"given a query, retrieve documents from a database to answer user's question. It also finds references to other documents that should be leveraged to retrieve more documents\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"user's question or question or name of other document sections or references.\",\n", - " \"type\": \"str\",\n", - " \"required\": True,\n", - " }\n", - " },\n", - " }\n", - "]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 249, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will search for 'Orting' and 'bike helmet' to find the relevant information.\n", - "\n", - "running 1th step.\n", - "= running tool retrieve_documents, with parameters: \n", - "{'query': 'Orting bike helmet'}\n", - "== tool results:\n", - "{'other_references_to_query': 'Section 21a, Section 3b',\n", - " 'top_matched_document': 0 Title: Bicycle law\\nBody: \\n Riding on ...\n", - "Name: combined, dtype: object}\n", - "I have found that there is no state law requiring helmet use, but some cities and counties do require helmets. I will now search for 'Section 21a' to find out if Orting is one of these cities or counties.\n", - "\n", - "running 2th step.\n", - "= running tool retrieve_documents, with parameters: \n", - "{'query': 'Section 21a'}\n", - "== tool results:\n", - "{'other_references_to_query': '- Section 3b',\n", - " 'top_matched_document': 2 Title: Section 21a\\nBody: helmet rules by loca...\n", - "Name: combined, dtype: object}\n", - "Yes, you do need to wear a helmet when riding a bike in Orting if you are under 17.\n" - ] - } - ], - "source": [ - "preamble2 = \"\"\"# Instruction\n", - "You are an expert assistant that helps users answers question about legal documents and policies.\n", - "\n", - "Please follow these steps:\n", - "1. Using user's query, use `retrieve_documents` tool to retrieve the most relevant document from the database.\n", - "2. If you see `other_references_to_query` in the tool result, search the mentioned referenced using `retrieve_documents()` tool to retrieve more documents.\n", - "3. Keep trying until you find the answer.\n", - "4. Answer with yes or no as much as you can to answer the question directly.\n", - "\"\"\"\n", - "\n", - "output = cohere_agent(question2, preamble2, tools, verbose=True)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_multi_stage_rag_native.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/agentic-RAG/agentic_rag_langchain.ipynb b/notebooks/agents/agentic-RAG/agentic_rag_langchain.ipynb index cdefbbaa..8756dec6 100644 --- a/notebooks/agents/agentic-RAG/agentic_rag_langchain.ipynb +++ b/notebooks/agents/agentic-RAG/agentic_rag_langchain.ipynb @@ -1,997 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Notebook Overview\n", - "\n", - "## Motivation\n", - "Asking questions over documents continues to be an important retrieval augmented generation (RAG) task. However, the document complexity can significantly influence overall RAG performance, particularly when the documents are PDFs that contain a mix of text and tables. Finding an optimal strategy to parse this information, chunk, embed and retrieve it is thus quite critical to obtaining accurate results. Furthermore, if the questions being asked over the retrieved documents require mathematical reasoning, then having a model that can validate those operations is quite useful.\n", - "\n", - "## Objective\n", - "In this notebook we will guide you through the best practices of setting up a RAG pipeline to process documents that contain both tables and text. In addition, we will show you how to create a Cohere ReAct agent with access to a RAG pipeline tool to improve accuracy. The general structure of the nb is as follows:\n", - "\n", - "1. individual components around parsing, retrieval and generation are covered for documents with mixed tabular and textual data\n", - "2. a class object is created that can be used to instantiate the pipeline with parametric input\n", - "3. the RAG pipeline is then used as a tool for a cohere react agent\n", - "\n", - "# Reference Documents\n", - "we recommend the following as a guide on doing [semi-structured RAG](https://github.com/langchain-ai/langchain/blob/master/cookbook/Semi_Structured_RAG.ipynb)\n", - "\n", - "we recommend this notebook to explore various parsing techniques for [PDFs](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/Document_Parsing_For_Enterprises.ipynb)\n", - "\n", - "various langchain supported [parsers](https://python.langchain.com/docs/modules/data_connection/document_loaders/pdf/)\n", - "\n", - "## Table of Contents\n", - "- Section 1\n", - " - [Parsing](#sec_step1)\n", - " - [Vector Store Setup](#sec_step2)\n", - " - [RAG Pipeline](#sec_step3)\n", - "- Section 2\n", - " - [RAG Pipeline Class](#sec_step4)\n", - "- Section 3\n", - " - [ReAct Agent with RAG Tool](#sec_step5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "PVT6Sl3msjNe" - }, - "source": [ - "## Install Dependencies" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_langchain.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "0m31LaFDjCIY", - "outputId": "7177e241-a864-47ed-cf0a-5fc9fa70a7ec" - }, - "outputs": [], - "source": [ - "# there may be other dependencies that will need installation\n", - "# ! pip install --quiet langchain langchain_cohere langchain_experimental\n", - "# !pip --quiet install faiss-cpu tiktoken\n", - "# !pip install pypdf\n", - "# !pip install pytesseract\n", - "# !pip install opencv-python --upgrade\n", - "# !pip install \"unstructured[all-docs]\"\n", - "# !pip install chromadb" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "K0GELKJVnadW" - }, - "outputs": [], - "source": [ - "# LLM\n", - "import os\n", - "from langchain.text_splitter import RecursiveCharacterTextSplitter\n", - "from langchain_community.document_loaders import WebBaseLoader\n", - "from langchain_community.vectorstores import FAISS\n", - "from langchain_cohere import CohereEmbeddings\n", - "from pydantic import BaseModel\n", - "from unstructured.partition.pdf import partition_pdf\n", - "from langchain_community.document_loaders import PyPDFLoader\n", - "import os\n", - "from typing import Any\n", - "import uuid\n", - "from langchain.retrievers.multi_vector import MultiVectorRetriever\n", - "from langchain.storage import InMemoryStore\n", - "from langchain_community.vectorstores import Chroma\n", - "from langchain_core.documents import Document\n", - "import cohere, json\n", - "import pandas as pd\n", - "from datasets import load_dataset\n", - "from joblib import Parallel, delayed\n", - "\n", - "\n", - "os.environ['COHERE_API_KEY'] = \"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# Parsing\n", - "\n", - "To improve RAG performance on PDFs with mixed types (text and tables), we investigated a number of parsing and chunking strategies from various libraries:\n", - "- PyPDFLoader (LC)\n", - "- LlamaParse (Llama-Index)\n", - "- Unstructured IO\n", - "\n", - "\n", - "We have found that the best option for parsing is unstructured.io since the parser can:\n", - "- separate tables from text\n", - "- automatically chunk the tables and text by title during the parsing step so that similar elements are grouped\n" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "eqcorKP4YEH6" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "This function will be deprecated in a future release and `unstructured` will simply use the DEFAULT_MODEL from `unstructured_inference.model.base` to set default model name\n", - "Some weights of the model checkpoint at microsoft/table-transformer-structure-recognition were not used when initializing TableTransformerForObjectDetection: ['model.backbone.conv_encoder.model.layer4.0.downsample.1.num_batches_tracked', 'model.backbone.conv_encoder.model.layer3.0.downsample.1.num_batches_tracked', 'model.backbone.conv_encoder.model.layer2.0.downsample.1.num_batches_tracked']\n", - "- This IS expected if you are initializing TableTransformerForObjectDetection from the checkpoint of a model trained on another task or with another architecture (e.g. initializing a BertForSequenceClassification model from a BertForPreTraining model).\n", - "- This IS NOT expected if you are initializing TableTransformerForObjectDetection from the checkpoint of a model that you expect to be exactly identical (initializing a BertForSequenceClassification model from a BertForSequenceClassification model).\n" - ] - } - ], - "source": [ - "# UNSTRUCTURED pdf loader\n", - "# Get elements\n", - "raw_pdf_elements = partition_pdf(\n", - " filename=\"city_ny_popular_fin_report.pdf\",\n", - " # Unstructured first finds embedded image blocks\n", - " extract_images_in_pdf=False,\n", - " # Use layout model (YOLOX) to get bounding boxes (for tables) and find titles\n", - " # Titles are any sub-section of the document\n", - " infer_table_structure=True,\n", - " # Post processing to aggregate text once we have the title\n", - " chunking_strategy=\"by_title\",\n", - " # Chunking params to aggregate text blocks\n", - " # Attempt to create a new chunk 3800 chars\n", - " # Attempt to keep chunks > 2000 chars\n", - " max_characters=4000,\n", - " new_after_n_chars=3800,\n", - " combine_text_under_n_chars=2000,\n", - " image_output_dir_path='.',\n", - ")\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "14\n", - "24\n" - ] - } - ], - "source": [ - "# extract table and textual objects from parser\n", - "class Element(BaseModel):\n", - " type: str\n", - " text: Any\n", - "\n", - "# Categorize by type\n", - "categorized_elements = []\n", - "for element in raw_pdf_elements:\n", - " if \"unstructured.documents.elements.Table\" in str(type(element)):\n", - " categorized_elements.append(Element(type=\"table\", text=str(element)))\n", - " elif \"unstructured.documents.elements.CompositeElement\" in str(type(element)):\n", - " categorized_elements.append(Element(type=\"text\", text=str(element)))\n", - "\n", - "# Tables\n", - "table_elements = [e for e in categorized_elements if e.type == \"table\"]\n", - "print(len(table_elements))\n", - "\n", - "# Text\n", - "text_elements = [e for e in categorized_elements if e.type == \"text\"]\n", - "print(len(text_elements))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# Vector Store Setup\n", - "\n", - "There are many options to setup a vector store. Here we show how to set one up using Chroma and Langchains Multi-vector retrieval.\n", - "We use multi-vector retrieval because oftentimes a summary may be able to distill more accurately what a chunk is about, leading to better retrieval.\n", - "\n", - "You can read more about this here: https://python.langchain.com/docs/modules/data_connection/retrievers/multi_vector/\n", - "\n", - "The process is as follows:\n", - "- summaries of each chunk are embedded\n", - "- during inference, the multi-vector retrieval returns the full context document related to the summary" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "co = cohere.Client()\n", - "\n", - "def get_chat_output(message, preamble, chat_history, model, temp, documents=None):\n", - " return co.chat(\n", - " message=message,\n", - " preamble=preamble,\n", - " chat_history=chat_history,\n", - " documents=documents,\n", - " model=model,\n", - " temperature=temp\n", - " ).text\n", - "\n", - "def parallel_proc_chat(prompts,preamble,chat_history=None,model='command-r-plus',temp=0.1,n_jobs=10):\n", - " \"\"\"Parallel processing of chat endpoint calls.\"\"\"\n", - " responses = Parallel(n_jobs=n_jobs, prefer=\"threads\")(delayed(get_chat_output)(prompt,preamble,chat_history,model,temp) for prompt in prompts)\n", - " return responses\n", - "\n", - "def rerank_cohere(query, returned_documents,model:str=\"rerank-multilingual-v3.0\",top_n:int=3):\n", - " response = co.rerank(\n", - " query=query,\n", - " documents=returned_documents,\n", - " top_n=top_n,\n", - " model=model,\n", - " return_documents=True\n", - " )\n", - " top_chunks_after_rerank = [results.document.text for results in response.results]\n", - " return top_chunks_after_rerank\n" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "# generate table and text summaries\n", - "\n", - "prompt_text = \"\"\"You are an assistant tasked with summarizing tables and text. \\ \n", - "Give a concise summary of the table or text. Table or text chunk: {element}. Only provide the summary and no other text.\"\"\"\n", - "\n", - "table_prompts = [prompt_text.format(element=i.text) for i in table_elements]\n", - "table_summaries = parallel_proc_chat(table_prompts,None)\n", - "text_prompts = [prompt_text.format(element=i.text) for i in text_elements]\n", - "text_summaries = parallel_proc_chat(text_prompts,None)\n", - "tables = [i.text for i in table_elements]\n", - "texts = [i.text for i in text_elements]" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "# The vectorstore to use to index the child chunks\n", - "vectorstore = Chroma(collection_name=\"summaries\", embedding_function=CohereEmbeddings())\n", - "# The storage layer for the parent documents\n", - "store = InMemoryStore()\n", - "id_key = \"doc_id\"\n", - "# The retriever (empty to start)\n", - "retriever = MultiVectorRetriever(\n", - " vectorstore=vectorstore,\n", - " docstore=store,\n", - " id_key=id_key,\n", - ")\n", - "# Add texts\n", - "doc_ids = [str(uuid.uuid4()) for _ in texts]\n", - "summary_texts = [\n", - " Document(page_content=s, metadata={id_key: doc_ids[i]})\n", - " for i, s in enumerate(text_summaries)\n", - "]\n", - "retriever.vectorstore.add_documents(summary_texts)\n", - "retriever.docstore.mset(list(zip(doc_ids, texts)))\n", - "# Add tables\n", - "table_ids = [str(uuid.uuid4()) for _ in tables]\n", - "summary_tables = [\n", - " Document(page_content=s, metadata={id_key: table_ids[i]})\n", - " for i, s in enumerate(table_summaries)\n", - "]\n", - "retriever.vectorstore.add_documents(summary_tables)\n", - "retriever.docstore.mset(list(zip(table_ids, tables)))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# RAG Pipeline" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "the query process can be broken down into the following steps:\n", - "\n", - "1. augment the query, this really helps retrieve all the relevant information\n", - "2. use each augmented query to retrieve the top k docs and then rerank them\n", - "3. concatenate all the shortlisted/reranked docs and pass them to the generation model" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "def process_query(query, retriever):\n", - " \"\"\"Runs query augmentation, retrieval, rerank and final generation in one call.\"\"\"\n", - " augmented_queries=co.chat(message=query,model='command-r-plus',temperature=0.2, search_queries_only=True)\n", - " #augment queries\n", - " if augmented_queries.search_queries:\n", - " reranked_docs=[]\n", - " for itm in augmented_queries.search_queries:\n", - " docs=retriever.invoke(itm.text)\n", - " temp_rerank = rerank_cohere(itm.text,docs)\n", - " reranked_docs.extend(temp_rerank)\n", - " documents = [{\"title\": f\"chunk {i}\", \"snippet\": reranked_docs[i]} for i in range(len(reranked_docs))]\n", - " else:\n", - " #no queries will be run through RAG\n", - " documents = None\n", - " \n", - " preamble = \"\"\"\n", - "## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"\n", - " model = 'command-r-plus'\n", - " temp = 0.2\n", - "\n", - " \n", - " \n", - " response = co.chat(\n", - " message=query,\n", - " documents=documents,\n", - " preamble=preamble,\n", - " model=model,\n", - " temperature=temp\n", - " )\n", - "\n", - " final_answer_docs=\"\"\"The final answer is from the documents below:\n", - " \n", - " {docs}\"\"\".format(docs=str(response.documents))\n", - "\n", - " final_answer = response.text\n", - " return final_answer, final_answer_docs" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Example " - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The charges for services in 2022 were $5,266 million.\n", - "The final answer is from the documents below:\n", - " \n", - " [{'id': 'doc_0', 'snippet': 'Program and General Revenues FY 2023 FY 2022 FY 2021 Category (in millions) Charges for Services (CS) $5,769 $5,266 $5,669 Operating Grants and Contributions (OGC) 27,935 31,757 28,109 Capital Grants and Contributions (CGC) 657 656 675 Real Estate Taxes (RET) 31,502 29,507 31,421 Sales and Use Taxes (SUT) 10,577 10,106 7,614 Personal Income Taxes (PIT) 15,313 15,520 15,795 Income Taxes, Other (ITO) 13,181 9,521 9,499 Other Taxes* (OT) 3,680 3,777 2,755 Investment Income* (II) 694 151 226 Unrestricted Federal and State Aid (UFSA) 234 549 108 Other* (O) Total Program and General Revenues - Primary Government 2,305 $110,250 $107,535 $104,176 708 725', 'title': 'chunk 0'}]\n" - ] - } - ], - "source": [ - "query = \"what are the charges for services in 2022\"\n", - "final_answer, final_answer_docs = process_query(query, retriever)\n", - "print(final_answer)\n", - "print(final_answer_docs)\n", - "\n", - "\n", - "chat_history=[{'role':\"USER\", 'message':query},{'role':\"CHATBOT\", 'message':f'The final answer is: {final_answer}.' + final_answer_docs}]\n", - " " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "the final answer is correct based on page 12 in the PDF and we can see that the information retrieved is linked to that table :) " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### chat history management" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "an example of asking a follow up question that relies on the chat history but does not require a re-run of RAG.\n", - "\n", - "The search_queries_only flag can be used to determine whether RAG needs to be rerun or not i.e. it can help easily identify if the query passed needs retrieval.\n", - "\n", - "In the example below, the else statement is invoked based on query2. In the else we pass in history without documents as the new query does not need to call the RAG pipeline " - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "RAG is not needed\n", - "Final answer:\n", - "The result of dividing the charges for services in 2022 by two is $2,633.\n" - ] - } - ], - "source": [ - "query2='divide this by two'\n", - "augmented_queries=co.chat(message=query2,model='command-r-plus',temperature=0.2, search_queries_only=True)\n", - "if augmented_queries.search_queries:\n", - " print('RAG is needed')\n", - " final_answer, final_answer_docs = process_query(query, retriever)\n", - " print(final_answer)\n", - "else:\n", - " print('RAG is not needed')\n", - " response = co.chat(\n", - " message=query2,\n", - " model='command-r-plus',\n", - " chat_history=chat_history,\n", - " temperature=0.3\n", - " )\n", - " \n", - " print(\"Final answer:\")\n", - " print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## ------------------------------------------------------- ##" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# RAG Pipeline Class\n", - "\n", - "Here we connect all the pieces discussed above into one class object that is then used as a tool for a cohere react agent. This class is to help consolidate and clarify the key parameters used to define the RAG pipeline." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [], - "source": [ - "co = cohere.Client()" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [], - "source": [ - "class Element(BaseModel):\n", - " type: str\n", - " text: Any\n", - " \n", - "class RAG_pipeline():\n", - " def __init__(self,paths):\n", - " self.embedding_model=\"embed-english-v3.0\"\n", - " self.generation_model=\"command-r-plus\"\n", - " self.summary_model=\"command-r-plus\"\n", - " self.rerank_model=\"rerank-multilingual-v3.0\"\n", - " self.num_docs_to_retrieve = 10\n", - " self.top_k_rerank=3\n", - " self.temperature=0.2\n", - " self.preamble=\"\"\"\n", - "## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\" \n", - " self.n_jobs=10 #number of parallel processes to run summarization of chunks\n", - " self.extract_images_in_pdf=False\n", - " self.infer_table_structure=True\n", - " self.chunking_strategy=\"by_title\"\n", - " self.max_characters=4000\n", - " self.new_after_n_chars=3800\n", - " self.combine_text_under_n_chars=2000\n", - " self.image_output_dir_path='.'\n", - " self.paths = paths\n", - " self.parse_and_build_retriever()\n", - " \n", - " def parse_and_build_retriever(self,):\n", - " #step1, parse pdfs\n", - " # if condition just for debugging since perf_audit.pdf is parsed in the prev step, no need to rerun\n", - " parsed_pdf_list=self.parse_pdfs(self.paths)\n", - " #separate tables and text\n", - " extracted_tables, extracted_text = self.extract_text_and_tables(parsed_pdf_list)\n", - " #generate summaries for everything\n", - " tables, table_summaries, texts, text_summaries=self.generate_summaries(extracted_tables,extracted_text)\n", - " self.tables = tables\n", - " self.table_summaries = table_summaries\n", - " self.texts = texts\n", - " self.text_summaries=text_summaries\n", - " #setup the multivector retriever\n", - " self.make_retriever(tables, table_summaries, texts, text_summaries)\n", - " \n", - " def extract_text_and_tables(self,parsed_pdf_list):\n", - " # extract table and textual objects from parser\n", - " # Categorize by type\n", - " all_table_elements = []\n", - " all_text_elements = []\n", - " for raw_pdf_elements in parsed_pdf_list:\n", - " categorized_elements = []\n", - " for element in raw_pdf_elements:\n", - " if \"unstructured.documents.elements.Table\" in str(type(element)):\n", - " categorized_elements.append(Element(type=\"table\", text=str(element)))\n", - " elif \"unstructured.documents.elements.CompositeElement\" in str(type(element)):\n", - " categorized_elements.append(Element(type=\"text\", text=str(element)))\n", - " \n", - " # Tables\n", - " table_elements = [e for e in categorized_elements if e.type == \"table\"]\n", - " print(len(table_elements))\n", - " \n", - " # Text\n", - " text_elements = [e for e in categorized_elements if e.type == \"text\"]\n", - " print(len(text_elements))\n", - " all_table_elements.extend(table_elements)\n", - " all_text_elements.extend(text_elements)\n", - "\n", - " return all_table_elements, all_text_elements\n", - " \n", - " def parse_pdfs(self, paths):\n", - "\n", - " path_raw_elements = []\n", - " for path in paths:\n", - " raw_pdf_elements = partition_pdf(\n", - " filename=path,\n", - " # Unstructured first finds embedded image blocks\n", - " extract_images_in_pdf=self.extract_images_in_pdf,\n", - " # Use layout model (YOLOX) to get bounding boxes (for tables) and find titles\n", - " # Titles are any sub-section of the document\n", - " infer_table_structure=self.infer_table_structure,\n", - " # Post processing to aggregate text once we have the title\n", - " chunking_strategy=self.chunking_strategy,\n", - " # Chunking params to aggregate text blocks\n", - " # Attempt to create a new chunk 3800 chars\n", - " # Attempt to keep chunks > 2000 chars\n", - " max_characters=self.max_characters,\n", - " new_after_n_chars=self.new_after_n_chars,\n", - " combine_text_under_n_chars=self.combine_text_under_n_chars,\n", - " image_output_dir_path=self.image_output_dir_path,\n", - " )\n", - " path_raw_elements.append(raw_pdf_elements)\n", - " print('PDFs parsed')\n", - " return path_raw_elements\n", - " \n", - "\n", - " def get_chat_output(self,message, preamble, model, temp):\n", - " # print(\"**message\")\n", - " # print(message)\n", - " \n", - " response=co.chat(\n", - " message=message,\n", - " preamble=preamble,\n", - " model=model,\n", - " temperature=temp\n", - " ).text\n", - " # print(\"**output\")\n", - " # print(response)\n", - " return response\n", - "\n", - " def parallel_proc_chat(self,prompts,preamble,model,temp,n_jobs):\n", - " \"\"\"Parallel processing of chat endpoint calls.\"\"\"\n", - " responses = Parallel(n_jobs=n_jobs, prefer=\"threads\")(delayed(self.get_chat_output)(prompt,preamble,model,temp) for prompt in prompts)\n", - " return responses\n", - " \n", - " def rerank_cohere(self,query, returned_documents,model, top_n):\n", - " response = co.rerank(\n", - " query=query,\n", - " documents=returned_documents,\n", - " top_n=top_n,\n", - " model=model,\n", - " return_documents=True\n", - " )\n", - " top_chunks_after_rerank = [results.document.text for results in response.results]\n", - " return top_chunks_after_rerank\n", - "\n", - " def generate_summaries(self,table_elements,text_elements):\n", - " # generate table and text summaries\n", - "\n", - " summarize_prompt = \"\"\"You are an assistant tasked with summarizing tables and text. \\ \n", - " Give a concise summary of the table or text. Table or text chunk: {element}. Only provide the summary and no other text.\"\"\"\n", - " \n", - " table_prompts = [summarize_prompt.format(element=i.text) for i in table_elements]\n", - " table_summaries = self.parallel_proc_chat(table_prompts,self.preamble,self.summary_model,self.temperature,self.n_jobs)\n", - " text_prompts = [summarize_prompt.format(element=i.text) for i in text_elements]\n", - " text_summaries = self.parallel_proc_chat(text_prompts,self.preamble,self.summary_model,self.temperature,self.n_jobs)\n", - " tables = [i.text for i in table_elements]\n", - " texts = [i.text for i in text_elements]\n", - " print('summaries generated')\n", - " return tables, table_summaries, texts, text_summaries\n", - "\n", - " def make_retriever(self,tables, table_summaries, texts, text_summaries):\n", - " # The vectorstore to use to index the child chunks\n", - " vectorstore = Chroma(collection_name=\"summaries\", embedding_function=CohereEmbeddings())\n", - " # The storage layer for the parent documents\n", - " store = InMemoryStore()\n", - " id_key = \"doc_id\"\n", - " # The retriever (empty to start)\n", - " retriever = MultiVectorRetriever(\n", - " vectorstore=vectorstore,\n", - " docstore=store,\n", - " id_key=id_key,\n", - " search_kwargs={\"k\": self.num_docs_to_retrieve}\n", - " )\n", - " # Add texts\n", - " doc_ids = [f'text_{i}' for i in range(len(texts))]#[str(uuid.uuid4()) for _ in texts]\n", - " summary_texts = [\n", - " Document(page_content=s, metadata={id_key: doc_ids[i]})\n", - " for i, s in enumerate(text_summaries)\n", - " ]\n", - " retriever.vectorstore.add_documents(summary_texts,ids=doc_ids)\n", - " retriever.docstore.mset(list(zip(doc_ids, texts)))\n", - " # Add tables\n", - " table_ids = [f'table_{i}' for i in range(len(texts))]#[str(uuid.uuid4()) for _ in tables]\n", - " summary_tables = [\n", - " Document(page_content=s, metadata={id_key: table_ids[i]})\n", - " for i, s in enumerate(table_summaries)\n", - " ]\n", - " retriever.vectorstore.add_documents(summary_tables,ids=table_ids)\n", - " retriever.docstore.mset(list(zip(table_ids, tables)))\n", - " self.retriever = retriever\n", - " print('retriever built')\n", - " \n", - " def process_query(self,query):\n", - " \"\"\"Runs query augmentation, retrieval, rerank and generation in one call.\"\"\"\n", - " augmented_queries=co.chat(message=query,model=self.generation_model,temperature=self.temperature, search_queries_only=True)\n", - " #augment queries\n", - " if augmented_queries.search_queries:\n", - " reranked_docs=[]\n", - " for itm in augmented_queries.search_queries:\n", - " docs=self.retriever.invoke(itm.text)\n", - " temp_rerank = self.rerank_cohere(itm.text,docs,model=self.rerank_model,top_n=self.top_k_rerank)\n", - " reranked_docs.extend(temp_rerank)\n", - " documents = [{\"title\": f\"chunk {i}\", \"snippet\": reranked_docs[i]} for i in range(len(reranked_docs))]\n", - " else:\n", - " documents = None\n", - " \n", - " response = co.chat(\n", - " message=query,\n", - " documents=documents,\n", - " preamble=self.preamble,\n", - " model=self.generation_model,\n", - " temperature=self.temperature\n", - " )\n", - " \n", - " final_answer_docs=\"\"\"The final answer is from the documents below:\n", - " \n", - " {docs}\"\"\".format(docs=str(response.documents))\n", - " \n", - " final_answer = response.text\n", - " return final_answer, final_answer_docs\n" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "This function will be deprecated in a future release and `unstructured` will simply use the DEFAULT_MODEL from `unstructured_inference.model.base` to set default model name\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "PDFs parsed\n", - "14\n", - "24\n", - "summaries generated\n", - "retriever built\n" - ] - } - ], - "source": [ - "rag_object=RAG_pipeline(paths=[\"city_ny_popular_fin_report.pdf\"])" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# Cohere REACT Agent with RAG Tool" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "we build a simple agent using the RAG pipeline previously defined. The e2e rag pipeline is provided as a tool in addition to a python tool. The premise in coupling these tools is so that the mathematical steps can be done using a python tool to improve accuracy." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [], - "source": [ - "from langchain.agents import Tool\n", - "from langchain_experimental.utilities import PythonREPL\n", - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "from langchain.tools.retriever import create_retriever_tool\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "from langchain_core.tools import tool\n", - "\n", - "class react_agent():\n", - " def __init__(self,rag_retriever,model=\"command-r-plus\",temperature=0.2):\n", - " self.llm = ChatCohere(model=model, temperature=temperature)\n", - " self.preamble=\"\"\"\n", - "## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\n", - "## Guidelines\n", - "You are an expert who answers the user's question. \n", - "You have access to a vectorsearch tool that will use your query to search through documents and find the relevant answer.\n", - "You also have access to a python interpreter tool which you can use to run code for mathematical operations.\n", - "\"\"\"\n", - " self.get_tools(rag_retriever)\n", - " self.build_agent()\n", - " \n", - " def get_tools(self,rag_retriever):\n", - " @tool\n", - " def vectorsearch(query: str):\n", - " \"\"\"Uses the query to search through a list of documents and return the most relevant documents as well as the answer.\"\"\"\n", - " final_answer, final_answer_docs=rag_retriever.process_query(query)\n", - " return final_answer + final_answer_docs\n", - " vectorsearch.name = \"vectorsearch\" # use python case\n", - " vectorsearch.description = \"Uses the query to search through a list of documents and return the most relevant documents as well as the answer.\"\n", - " class vectorsearch_inputs(BaseModel):\n", - " query: str = Field(description=\"the users query\")\n", - " vectorsearch.args_schema = vectorsearch_inputs\n", - "\n", - " \n", - " python_repl = PythonREPL()\n", - " python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - " )\n", - " python_tool.name = \"python_interpreter\"\n", - " class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - " python_tool.args_schema = ToolInput\n", - "\n", - " self.alltools = [vectorsearch,python_tool]\n", - "\n", - " def build_agent(self):\n", - " # Prompt template\n", - " prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - " # Create the ReAct agent\n", - " agent = create_cohere_react_agent(\n", - " llm=self.llm,\n", - " tools=self.alltools,\n", - " prompt=prompt,\n", - " )\n", - " self.agent_executor = AgentExecutor(agent=agent, tools=self.alltools, verbose=True,return_intermediate_steps=True)\n", - "\n", - "\n", - " def run_agent(self,query,history=None):\n", - " if history:\n", - " response=self.agent_executor.invoke({\n", - " \"input\": query,\n", - " \"preamble\": self.preamble,\n", - " \"chat_history\": history\n", - " })\n", - " else:\n", - " response=self.agent_executor.invoke({\n", - " \"input\": query,\n", - " \"preamble\": self.preamble,\n", - " })\n", - " return response\n", - " " - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [], - "source": [ - "agent_object=react_agent(rag_retriever=rag_object)" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for the charges for services in 2022 and 2023.\n", - "{'tool_name': 'vectorsearch', 'parameters': {'query': 'charges for services in 2022 and 2023'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mThe charges for services in 2022 were $5,266 million and in 2023 were $5,769 million.The final answer is from the documents below:\n", - " \n", - " [{'id': 'doc_0', 'snippet': 'Program and General Revenues FY 2023 FY 2022 FY 2021 Category (in millions) Charges for Services (CS) $5,769 $5,266 $5,669 Operating Grants and Contributions (OGC) 27,935 31,757 28,109 Capital Grants and Contributions (CGC) 657 656 675 Real Estate Taxes (RET) 31,502 29,507 31,421 Sales and Use Taxes (SUT) 10,577 10,106 7,614 Personal Income Taxes (PIT) 15,313 15,520 15,795 Income Taxes, Other (ITO) 13,181 9,521 9,499 Other Taxes* (OT) 3,680 3,777 2,755 Investment Income* (II) 694 151 226 Unrestricted Federal and State Aid (UFSA) 234 549 108 Other* (O) Total Program and General Revenues - Primary Government 2,305 $110,250 $107,535 $104,176 708 725', 'title': 'chunk 0'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The charges for services in 2022 were $5,266 million and in 2023 were $5,769 million.\n", - "Grounded answer: The charges for services in 2022 were $5,266 million and in 2023 were $5,769 million.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "step1_response=agent_object.run_agent(\"what are the charges for services in 2022 and 2023\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Example of how to handle history with the langchain agent" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": {}, - "outputs": [], - "source": [ - "from langchain_core.messages import HumanMessage, AIMessage" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [], - "source": [ - "chat_history=[\n", - "HumanMessage(content=step1_response['input']),\n", - "AIMessage(content=step1_response['output'])\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Python REPL can execute arbitrary code. Use with caution.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[32;1m\u001b[1;3m\n", - "I will use the Python Interpreter tool to calculate the mean of the two values.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import numpy as np\\n\\n# Data\\nvalues = [5266, 5769]\\n\\n# Calculate the mean\\nmean_value = np.mean(values)\\n\\nprint(f\"The mean of the two values is: {mean_value:.0f} million\")'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3mThe mean of the two values is: 5518 million\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The mean of the two values is 5518 million.\n", - "Grounded answer: The mean of the two values is 5518 million.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'what is the mean of the two values',\n", - " 'preamble': \"\\n## Task & Context\\nYou help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\\n\\n## Style Guide\\nUnless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\\n\\n## Guidelines\\nYou are an expert who answers the user's question. \\nYou have access to a vectorsearch tool that will use your query to search through documents and find the relevant answer.\\nYou also have access to a python interpreter tool which you can use to run code for mathematical operations.\\n\",\n", - " 'chat_history': [HumanMessage(content='what are the charges for services in 2022 and 2023'),\n", - " AIMessage(content='The charges for services in 2022 were $5,266 million and in 2023 were $5,769 million.')],\n", - " 'output': 'The mean of the two values is 5518 million.',\n", - " 'citations': [CohereCitation(start=30, end=42, text='5518 million', documents=[{'output': 'The mean of the two values is: 5518 million\\n'}])],\n", - " 'intermediate_steps': [(AgentActionMessageLog(tool='python_interpreter', tool_input={'code': 'import numpy as np\\n\\n# Data\\nvalues = [5266, 5769]\\n\\n# Calculate the mean\\nmean_value = np.mean(values)\\n\\nprint(f\"The mean of the two values is: {mean_value:.0f} million\")'}, log='\\nI will use the Python Interpreter tool to calculate the mean of the two values.\\n{\\'tool_name\\': \\'python_interpreter\\', \\'parameters\\': {\\'code\\': \\'import numpy as np\\\\n\\\\n# Data\\\\nvalues = [5266, 5769]\\\\n\\\\n# Calculate the mean\\\\nmean_value = np.mean(values)\\\\n\\\\nprint(f\"The mean of the two values is: {mean_value:.0f} million\")\\'}}\\n', message_log=[AIMessage(content='\\nPlan: I will use the Python Interpreter tool to calculate the mean of the two values.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"python_interpreter\",\\n \"parameters\": {\\n \"code\": \"import numpy as np\\\\n\\\\n# Data\\\\nvalues = [5266, 5769]\\\\n\\\\n# Calculate the mean\\\\nmean_value = np.mean(values)\\\\n\\\\nprint(f\\\\\"The mean of the two values is: {mean_value:.0f} million\\\\\")\"\\n }\\n }\\n]\\n```')]),\n", - " 'The mean of the two values is: 5518 million\\n')]}" - ] - }, - "execution_count": 28, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_object.run_agent(\"what is the mean of the two values\",history=chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.14" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/agentic-RAG/multi_purpose_agent.ipynb b/notebooks/agents/agentic-RAG/multi_purpose_agent.ipynb index eee9c9b8..21f87c00 100644 --- a/notebooks/agents/agentic-RAG/multi_purpose_agent.ipynb +++ b/notebooks/agents/agentic-RAG/multi_purpose_agent.ipynb @@ -1,891 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Multi-Purpose Agentic Assistant with RAG, Evaluation, Human Input, Web Search, and Python Tool\n", - "\n", - "## Motivation\n", - "\n", - "Tool use enhances the capabilities of LLMs by enabling them to offload tasks that are ill-suited for next-token-prediction language modeling. Tools allow models to (indirectly) perform mathematical or other deterministic operations, run code, and search a wide array of data sources. In this notebook, we demonstrate that Cohere's Command model can be used to extend this paradigm, to encompass diverse use cases that rely on information retrieval, programming, and human input.\n", - "\n", - "In an enterprise setting, information is often distributed across a wide range of knowledge bases - for instance: cloud-based document repositories such as Notion, Jira, Google Drive, or Microsoft SharePoint; chat logs from Slack, Microsoft Teams and others; or meeting transcripts and internal documents. By building out bespoke tools for each knowledge base, we allow the agent to access whatever sources of information are needed for a use case.\n", - "\n", - "Given these results, we can then allow the agent to determine if the information retrieved is sufficient to answer the query, or if a web search is needed. Here, this is done via a modified version of the [correctness](https://github.com/run-llama/llama_index/blob/main/llama-index-core/llama_index/core/evaluation/correctness.py) evaluator template from LlamaIndex. If the score is low, the agent asks the user for permission to do a web search to find the answer.\n", - "\n", - "## Objective\n", - "\n", - "This notebook will showcase how an agent can equip LLM with multiple tools like RAG and web search to become a useful assistant.\n", - "\n", - "## Questions\n", - "\n", - "- \"How much do we get for a referral?\" -> HR question\n", - "- \"How do I set up api key for cohere?\" -> IT Question\n", - "- \"Is cohere available on aws\" -> web search question\n", - "- \"How much do I get a year on learning and development, and can you calculate how much I can spend per week?\" --> HR question + Calculation\n", - "\n", - "## Data\n", - "\n", - "- Mock internal database of HR documents\n", - "- Mock internal database of IT documents (such as API docs)\n", - "\n", - "## Tools\n", - "\n", - "- Python Interpreter\n", - "- HR data retriever\n", - "- IT data retriever\n", - "- Web search tool with human permission\n" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "from pprint import pprint\n", - "\n", - "import cohere\n", - "import pandas as pd\n", - "\n", - "from langchain.agents import Tool\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "from langchain_experimental.utilities import PythonREPL\n", - "from sklearn.metrics.pairwise import cosine_similarity" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# uncomment if you need to install the following packages\n", - "# !pip install --quiet langchain langchain_experimental cohere --upgrade" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "cohere version: 5.5.1\n", - "langchain_core version: 0.2.0\n", - "langchain_experimental version: 0.0.59\n" - ] - } - ], - "source": [ - "# versions\n", - "import langchain\n", - "import langchain_core\n", - "import langchain_experimental\n", - "print('cohere version:', cohere.__version__)\n", - "print('langchain_core version:', langchain_core.__version__)\n", - "print('langchain_experimental version:', langchain_experimental.__version__)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n" - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [], - "source": [ - "COHERE_API_KEY = os.environ.get(\"COHERE_API_KEY\")\n", - "COHERE_MODEL = 'command-r-plus'\n", - "co = cohere.Client(api_key=COHERE_API_KEY)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Data\n", - "\n", - "Here we define HR and IT related documents, which will be used as a mock database.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [], - "source": [ - "# generated by LLM\n", - "hr_documents = [\n", - " {\n", - " \"title\": \"Remote Work Policy\",\n", - " \"content\": \"We embrace a remote-friendly work environment, allowing employees to work remotely on a full-time or hybrid basis. However, employees should expect to come to the office once a quarter. Expectations for remote work include maintaining regular working hours, responding promptly to communications, and attending virtual meetings. We provide remote workers with the necessary equipment and reimburse expenses for setting up a home office. Virtual collaboration tools, such as video conferencing software and project management platforms, are utilized to ensure effective remote work experiences. In-person meetings and team-building activities are also organized periodically to foster connections.\",\n", - " },\n", - " {\n", - " \"title\": \"Global Mobility Program\",\n", - " \"content\": \"Employees have the opportunity to work in different countries through our Global Mobility Program. This policy outlines the process for international assignments, including permanent transfers, short-term projects, and rotational programs. We provide support with visa sponsorship, relocation assistance, and cultural integration. Compensation packages are adjusted to align with the host country's market rates, and employees are offered pre-assignment training and ongoing support during their time abroad. Reassignment back to their home country or another location is also facilitated as part of this program. \",\n", - " },\n", - " {\n", - " \"title\": \"Paid Time Off and Sick Days\",\n", - " \"content\": \"We offer a competitive paid time off package, including vacation days, personal days, and sick leave. Employees are entitled to a set number of paid vacation days each year, which increases with tenure. Unlimited sick days are provided to ensure employees can take time off for their health and well-being. Additionally, we offer paid parental leave, bereavement leave, and volunteer time off. Our policy also outlines procedures for requesting and tracking time off, as well as guidelines for managing unused days, carry-over limits, and payout options.\",\n", - " },\n", - " {\n", - " \"title\": \"Learning and Development Reimbursement\",\n", - " \"content\": \"Employees are eligible up to $9000 per year for learning and development. Investing in our employees' growth, we offer a learning and development reimbursement policy. Employees can seek reimbursement for work-related courses, certifications, conferences, and training programs. This includes tuition fees, course materials, and travel expenses associated with attending educational events.\",\n", - " },\n", - " {\n", - " \"title\": \"Employee Referral Program\",\n", - " \"content\": \"We value employee referrals and have implemented a referral bonus program. Employees who refer successful hires are eligible for a monetary bonus upon the referred candidate's start date. Our policy outlines the bonus amounts, eligibility criteria, and the referral process. We also offer incentives for referring diverse talent and provide employees with resources and guidance on effective referral strategies, including access to networking events and referral training. We offer $5000 for every successful referral.\",\n", - " },\n", - "]\n", - "\n", - "# from https://github.com/cohere-ai/cohere-python/blob/main/README.md\n", - "it_documents = [\n", - " {\n", - " \"title\": \"Cohere SDK Streaming\",\n", - " \"content\": \"\"\"The SDK supports streaming endpoints. To take advantage of this feature for chat,\n", - " use `chat_stream`.\n", - "\n", - " ```Python\n", - " import cohere\n", - "\n", - " co = cohere.Client(\n", - " api_key=\"YOUR_API_KEY\",\n", - " )\n", - "\n", - " stream = co.chat_stream(\n", - " message=\"Tell me a short story\"\n", - " )\n", - "\n", - " for event in stream:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end='')\n", - " ```\"\"\",\n", - " },\n", - " {\n", - " \"title\": \"Cohere SDK environment variable\",\n", - " \"content\": \"\"\"> [!TIP]\n", - " > You can set a system environment variable `CO_API_KEY` to avoid writing your api key within your code, e.g. add `export CO_API_KEY=theapikeyforyouraccount`\n", - " > in your ~/.zshrc or ~/.bashrc, open a new terminal, then code calling `cohere.Client()` will read this key.\n", - " \"\"\",\n", - " },\n", - "]\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following function helps us to convert IT and HR documents as a pandas dataframe with embeddings.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [], - "source": [ - "def dbify(db):\n", - " \"\"\"\n", - " Convert a list of dictionaries to a pandas DataFrame and add embeddings to be used as a mock database\n", - " \"\"\"\n", - " db = pd.DataFrame(db)\n", - " # comebine title and body\n", - " db[\"combined\"] = \"Title: \" + db[\"title\"] + \"\\n\" + \"Body: \" + db[\"content\"]\n", - " # generate embedding\n", - " embeddings = co.embed(\n", - " texts=db.combined.tolist(),\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " )\n", - " db[\"embeddings\"] = embeddings.embeddings\n", - " return db\n", - "\n", - "\n", - "db_it = dbify(it_documents)\n", - "db_hr = dbify(hr_documents)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Tools\n", - "\n", - "Define tools that are used by the agent.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Retriever tools\n", - "\n", - "Define tools related to retrieval:\n", - "\n", - "- evaluator: evaluates the quality of the retrieved document.\n", - "- web_search: performs web search given query.\n", - "- retrieve_documents: retrieves top matching documents from a database.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": {}, - "outputs": [], - "source": [ - "def evaluator(query, retrieved_documents):\n", - " criteria = \"\"\"\n", - " You are an expert evaluation system for a question answering chatbot.\n", - "\n", - " You are given the following information:\n", - " - a user query, and\n", - " - a generated answer\n", - "\n", - " Your job is to judge the relevance and correctness of the generated answer.\n", - " Output a single score that represents a holistic evaluation.\n", - " You must return your response in a line with only the score.\n", - " Do not return answers in any other format.\n", - "\n", - " Follow these guidelines for scoring:\n", - " - Your score has to be between 1 and 5, where 1 is the worst and 5 is the best.\n", - " - If the generated answer is not relevant to the user query, \\\n", - " you should give a score of 1.\n", - " - If the generated answer is relevant but does not fully answer the question, \\\n", - " you should give a score between 2 and 3.\n", - " - If the generated answer is relevant and fully correct, \\\n", - " you should give a score between 4 and 5.\n", - " \"\"\"\n", - "\n", - " prompt = f\"\"\"\n", - " ## User Query\n", - " {query}\n", - "\n", - " ## Retrieved Documents\n", - " {retrieved_documents}\n", - "\n", - " ## Criteria\n", - " {criteria}\n", - "\n", - " ## Output format\n", - " Ouput a single score that represents a holistic evaluation.\n", - " \"\"\"\n", - " return co.chat(message=prompt, model=COHERE_MODEL, preamble=None).text\n", - "\n", - "\n", - "def web_search(query):\n", - " \"\"\"\n", - " Function to search the web for a given query.\n", - " \"\"\"\n", - " question = \"I could not find relevant information in the database. Do you want me to search the web? \\nPlease enter 'y' or 'n':\"\n", - "\n", - " while True:\n", - " response = input(question)\n", - " if response == \"y\":\n", - " print(\"You entered 'y'.\")\n", - " response = co.chat(\n", - " message=query,\n", - " connectors=[{\"id\": \"web-search\"}],\n", - " )\n", - " return {\"web_result\": response.text}\n", - " elif response == \"n\":\n", - " print(\"You entered 'n'.\")\n", - " return {\n", - " \"result\": \"User declined to search the web. Complete the conversation.\"\n", - " }\n", - " else:\n", - " print(\"Invalid input. Please enter 'y' or 'n'.\")\n", - "\n", - "\n", - "def retrieve_documents(query: str, db, n):\n", - " \"\"\"\n", - " Function to retrieve most relevant documents a given query.\n", - " \"\"\"\n", - "\n", - " query_emb = co.embed(\n", - " texts=[query], model=\"embed-english-v3.0\", input_type=\"search_query\"\n", - " )\n", - "\n", - " similarity_scores = cosine_similarity(\n", - " [query_emb.embeddings[0]], db.embeddings.tolist()\n", - " )\n", - " similarity_scores = similarity_scores[0]\n", - "\n", - " top_indices = similarity_scores.argsort()[::-1][:n]\n", - " top_matches = db.iloc[top_indices]\n", - "\n", - " evaluator_score = float(evaluator(query, top_matches.combined.tolist()))\n", - "\n", - " if evaluator_score >= 4:\n", - " status_message = \"Success: Retrieved documents are relevant and correct. Please answer user's question.\"\n", - " else:\n", - " status_message = (\n", - " \"Warning: Retrieved documents are not relevant, please search the web.\"\n", - " )\n", - "\n", - " return {\n", - " \"top_matched_document\": top_matches.combined,\n", - " \"evaluator_score\": evaluator_score,\n", - " \"status_message\": status_message,\n", - " }\n", - "\n", - "\n", - "def retrieve_it_documents(query: str, db=db_it, n=2) -> dict:\n", - " \"\"\"\n", - " Function to retrieve most relevant documents a given query.\n", - " It also returns other references mentioned in the top matched documents.\n", - " \"\"\"\n", - " return retrieve_documents(query, db, n)\n", - "\n", - "\n", - "def retrieve_hr_documents(query: str, db=db_hr, n=2) -> dict:\n", - " \"\"\"\n", - " Function to retrieve most relevant documents a given query.\n", - " It also returns other references mentioned in the top matched documents.\n", - " \"\"\"\n", - " return retrieve_documents(query, db, n)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Python tool\n" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [], - "source": [ - "python_repl = PythonREPL()\n", - "python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "python_tool.name = \"python_interpreter\"\n", - "\n", - "\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "\n", - "\n", - "python_tool.args_schema = ToolInput\n", - "\n", - "\n", - "def run_python_code(code: str) -> dict:\n", - " \"\"\"\n", - " Function to run given python code\n", - " \"\"\"\n", - " input_code = ToolInput(code=code)\n", - " return {\"python_answer\": python_tool.func(input_code.code)}\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Functions map\n", - "\n", - "Define mapping of functions and function definitions for the agent to refer.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "functions_map = {\n", - " \"retrieve_it_documents\": retrieve_it_documents,\n", - " \"retrieve_hr_documents\": retrieve_hr_documents,\n", - " \"web_search\": web_search,\n", - " \"run_python_code\": run_python_code,\n", - "\n", - "}\n", - "\n", - "tools = [\n", - " {\n", - " \"name\": \"retrieve_it_documents\",\n", - " \"description\": \"given a query, retrieve documents from a database to answer user's question related to IT\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"user's question or query\",\n", - " \"type\": \"str\",\n", - " \"required\": True,\n", - " }\n", - " },\n", - " },\n", - " {\n", - " \"name\": \"retrieve_hr_documents\",\n", - " \"description\": \"given a query, retrieve documents from a database to answer user's question related to HR.\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"user's question or query\",\n", - " \"type\": \"str\",\n", - " \"required\": True,\n", - " }\n", - " },\n", - " },\n", - " {\n", - " \"name\": \"web_search\",\n", - " \"description\": \"Search web to answer user's queston\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"user's question or query\",\n", - " \"type\": \"str\",\n", - " \"required\": True,\n", - " }\n", - " },\n", - " },\n", - " {\n", - " \"name\": \"run_python_code\",\n", - " \"description\": \"given a python code, runs it\",\n", - " \"parameter_definitions\": {\n", - " \"code\": {\n", - " \"description\": \"executable python code\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " },\n", - "\n", - "]\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Cohere Agent\n", - "\n", - "Wrapper of Cohere API to handle multi step tool use.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [], - "source": [ - "def cohere_agent(\n", - " message: str,\n", - " preamble: str,\n", - " tools: list[dict],\n", - " force_single_step=False,\n", - " verbose: bool = False,\n", - " temperature: float = 0.3,\n", - ") -> str:\n", - " \"\"\"\n", - " Function to handle multi-step tool use api.\n", - "\n", - " Args:\n", - " message (str): The message to send to the Cohere AI model.\n", - " preamble (str): The preamble or context for the conversation.\n", - " tools (list of dict): List of tools to use in the conversation.\n", - " verbose (bool, optional): Whether to print verbose output. Defaults to False.\n", - "\n", - " Returns:\n", - " str: The final response from the call.\n", - " \"\"\"\n", - "\n", - " counter = 1\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=message,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=force_single_step,\n", - " temperature=temperature,\n", - " )\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning step 0.\")\n", - " print(response.text)\n", - "\n", - " while response.tool_calls:\n", - " tool_results = []\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning step {counter}.\")\n", - "\n", - " for tool_call in response.tool_calls:\n", - " if tool_call.parameters:\n", - " output = functions_map[tool_call.name](**tool_call.parameters)\n", - " else:\n", - " output = functions_map[tool_call.name]()\n", - "\n", - " outputs = [output]\n", - " tool_results.append({\"call\": tool_call, \"outputs\": outputs})\n", - "\n", - " if verbose:\n", - " print(\n", - " f\"= running tool {tool_call.name}, with parameters: \\n{tool_call.parameters}\"\n", - " )\n", - " print(f\"== tool results:\")\n", - " pprint(output)\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=\"\",\n", - " chat_history=response.chat_history,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=force_single_step,\n", - " tool_results=tool_results,\n", - " temperature=temperature,\n", - " )\n", - "\n", - " if verbose:\n", - " print(response.text)\n", - " counter += 1\n", - "\n", - " return response.text\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Preamble\n", - "\n", - "Preamble is a system level instruction that the agent follow.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [], - "source": [ - "preamble = \"\"\"\n", - "You are helpful assitant for employees that has access to multiple databases such as HR and IT.\n", - "Search relevant databases first. If you cannot find relevant information, search the web.\n", - "You may need to use python to run some code or make calculations.\n", - "\n", - "Walk me through each step on what you are considering and going to do.\n", - "\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Questions\n", - "\n", - "List of questions to ask the agent.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "questions = [\n", - " \"how much do we get for a referral?\",\n", - " \"how do I set up api key for cohere?\",\n", - " \"Is cohere available on aws\",\n", - " \"how much do I get a year on learning and development. and can you calculate how much I can spend per week?\"\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Question 1 - \"how much do we get for a referral?\"\n", - "\n", - "This is an HR related question, so the agent decides to search the HR database for an answer.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running step 0.\n", - "I will search the HR database for information about referral bonuses.\n", - "\n", - "running step 1.\n", - "= running tool retrieve_hr_documents, with parameters: \n", - "{'query': 'referral bonus'}\n", - "== tool results:\n", - "{'evaluator_score': 5.0,\n", - " 'status_message': 'Success: Retrieved documents are relevant and correct. '\n", - " \"Please answer user's question.\",\n", - " 'top_matched_document': 4 Title: Employee Referral Program\\nBody: We val...\n", - "3 Title: Learning and Development Reimbursement\\...\n", - "Name: combined, dtype: object}\n", - "Employees who refer successful hires are eligible for a $5000 bonus upon the referred candidate's start date.\n" - ] - } - ], - "source": [ - "output = cohere_agent(questions[0], preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Question 2 - \"how do I set up api key for cohere?\"\n", - "\n", - "This is an IR related question. So the agent decides to search the IR database to answer the question.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running step 0.\n", - "I will search the IT database for information on how to set up an API key for Cohere.\n", - "\n", - "running step 1.\n", - "= running tool retrieve_it_documents, with parameters: \n", - "{'query': 'how to set up api key for cohere'}\n", - "== tool results:\n", - "{'evaluator_score': 5.0,\n", - " 'status_message': 'Success: Retrieved documents are relevant and correct. '\n", - " \"Please answer user's question.\",\n", - " 'top_matched_document': 1 Title: Cohere SDK environment variable\\nBody: ...\n", - "0 Title: Cohere SDK Streaming\\nBody: The SDK sup...\n", - "Name: combined, dtype: object}\n", - "To set up an API key for Cohere, you can use the following code:\n", - "```Python\n", - "import cohere\n", - "\n", - "co = cohere.Client(\n", - " api_key=\"YOUR_API_KEY\",\n", - ")```\n" - ] - } - ], - "source": [ - "output = cohere_agent(questions[1], preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Question 3 - \"Is cohere available on aws\"\n", - "\n", - "This is an IT related question, but our database does not contain the correct information. Therefore, you see that evaluator_score is very low as the retrieved documents do not contain the information to answer user question. Thus, it tries to perform web search.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running step 0.\n", - "I will search the HR and IT databases for information on whether Cohere is available on AWS.\n", - "\n", - "running step 1.\n", - "= running tool retrieve_it_documents, with parameters: \n", - "{'query': 'Is cohere available on aws?'}\n", - "== tool results:\n", - "{'evaluator_score': 1.0,\n", - " 'status_message': 'Warning: Retrieved documents are not relevant, please '\n", - " 'search the web.',\n", - " 'top_matched_document': 0 Title: Cohere SDK Streaming\\nBody: The SDK sup...\n", - "1 Title: Cohere SDK environment variable\\nBody: ...\n", - "Name: combined, dtype: object}\n", - "= running tool retrieve_hr_documents, with parameters: \n", - "{'query': 'Is cohere available on aws?'}\n", - "== tool results:\n", - "{'evaluator_score': 1.0,\n", - " 'status_message': 'Warning: Retrieved documents are not relevant, please '\n", - " 'search the web.',\n", - " 'top_matched_document': 0 Title: Remote Work Policy\\nBody: We embrace a ...\n", - "3 Title: Learning and Development Reimbursement\\...\n", - "Name: combined, dtype: object}\n", - "I could not find any relevant information in the HR and IT databases. I will now search the web to find out if Cohere is available on AWS.\n", - "\n", - "running step 2.\n", - "You entered 'y'.\n", - "= running tool web_search, with parameters: \n", - "{'query': 'Is cohere available on aws?'}\n", - "== tool results:\n", - "{'web_result': 'Yes, Cohere is available on AWS. Developers can access a range '\n", - " 'of Cohere language models in a private environment via '\n", - " \"Amazon's AWS Cloud platform. Cohere's models are supported on \"\n", - " 'two Amazon services: Amazon SageMaker and Amazon Bedrock.'}\n", - "Yes, Cohere is available on AWS. Developers can access a range of Cohere language models in a private environment via Amazon's AWS Cloud platform. Cohere's models are supported on two Amazon services: Amazon SageMaker and Amazon Bedrock.\n" - ] - } - ], - "source": [ - "# gives permission to search the web\n", - "output = cohere_agent(questions[2], preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running step 0.\n", - "I will search the databases to see if Cohere is available on AWS.\n", - "\n", - "running step 1.\n", - "= running tool retrieve_it_documents, with parameters: \n", - "{'query': 'Is cohere available on aws?'}\n", - "== tool results:\n", - "{'evaluator_score': 1.0,\n", - " 'status_message': 'Warning: Retrieved documents are not relevant, please '\n", - " 'search the web.',\n", - " 'top_matched_document': 0 Title: Cohere SDK Streaming\\nBody: The SDK sup...\n", - "1 Title: Cohere SDK environment variable\\nBody: ...\n", - "Name: combined, dtype: object}\n", - "I couldn't find any relevant information in the databases. I will now search the web to see if Cohere is available on AWS.\n", - "\n", - "running step 2.\n", - "You entered 'n'.\n", - "= running tool web_search, with parameters: \n", - "{'query': 'Is cohere available on aws?'}\n", - "== tool results:\n", - "{'result': 'User declined to search the web. Complete the conversation.'}\n", - "I'm sorry, I can't answer this question.\n" - ] - } - ], - "source": [ - "# does not give permission to search the web\n", - "output = cohere_agent(questions[2], preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Question 4 - \"how much do I get a year on learning and development. and can you calculate how much I can spend per week?\"\n", - "\n", - "This is an HR related question that requires a basic calculation to answer. The agent uses the Python tool to carry out the math.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running step 0.\n", - "I will first search the HR database for information on how much the user gets a year on learning and development. Then, I will calculate how much they can spend per week.\n", - "\n", - "running step 1.\n", - "= running tool retrieve_hr_documents, with parameters: \n", - "{'query': 'how much do I get a year on learning and development'}\n", - "== tool results:\n", - "{'evaluator_score': 5.0,\n", - " 'status_message': 'Success: Retrieved documents are relevant and correct. '\n", - " \"Please answer user's question.\",\n", - " 'top_matched_document': 3 Title: Learning and Development Reimbursement\\...\n", - "4 Title: Employee Referral Program\\nBody: We val...\n", - "Name: combined, dtype: object}\n" - ] + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/multi_purpose_agent.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Python REPL can execute arbitrary code. Use with caution.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I found that employees are eligible for up to $9000 per year for learning and development. Now, I will calculate how much they can spend per week.\n", - "\n", - "running step 2.\n", - "= running tool run_python_code, with parameters: \n", - "{'code': \"import math\\n\\n# Total amount per year\\ntotal_amount = 9000\\n\\n# Calculate weekly amount\\nweekly_amount = total_amount / 52\\n\\nprint(f'You can spend up to ${weekly_amount:.2f} per week on learning and development.')\"}\n", - "== tool results:\n", - "{'python_answer': 'You can spend up to $173.08 per week on learning and '\n", - " 'development.\\n'}\n", - "You are eligible for up to $9000 per year for learning and development. This means you can spend up to $173.08 per week.\n" - ] - } - ], - "source": [ - "output = cohere_agent(questions[3], preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This notebook provides a demonstration of an agent working with a diverse set of tools. It combines search across multiple databases and the internet, with Python code execution to provide useful and accurate information to the user.\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/agents_with_deterministic_functions.ipynb b/notebooks/agents/agents_with_deterministic_functions.ipynb index 6fa7b94d..232a543f 100644 --- a/notebooks/agents/agents_with_deterministic_functions.ipynb +++ b/notebooks/agents/agents_with_deterministic_functions.ipynb @@ -1,624 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "# Notebook Overview\n", - "\n", - "## Motivation\n", - "Agents can play a crucial role in different enterprise scenarios. One such example is creating a request to fetch results from an existing enterprise API with specific requirements. For example, given a user query:\n", - "\n", - "```Retrieve id 7410e652-639d-402e-984e-8fd7025f0aac 8bb21b93-2ddf-4a63-af63-ddb6b1be49a1, ref GLPNT0005GUJOGGE GLSBR000BGASOBRE, nmg 0000234GLCHL0200ARTINDIUS'```\n", - "\n", - "The expected API request is:\n", - "\n", - "```[{\"uuid\":[\"7410e652-639d-402e-984e-8fd7025f0aac\",\"8bb21b93-2ddf-4a63-af63-ddb6b1be49a1\"],\"page\":0,\"size\":10}, {\"objref\":[\"GLPNT0005GUJOGGE\",\"GLSBR000BGASOBRE\"],\"page\":0,\"size\":10},{\"nmgs\":[\"0000234GLCHL0200ARTINDIUS\"],\"page\":0,\"size\":10}]```\n", - "\n", - "This kind of task poses a major challenge, namely, the model must be very precise at identifying the codes in the query, based on their alphanumeric patterns, and matching these codes with the right parameter - for example, the code ```GLSBR000BGASOBRE``` needs to map to\n", - "```objref```. The task is even more challenging when multiple APIs are available, and the model has to deal with a plethora of parameters.\n", - "\n", - "## Solution\n", - "In this notebook, we propose a simple but effective solution to the challenge defined above: We create a [Langchain ReAct Agent](https://github.com/langchain-ai/langchain-cohere/blob/main/libs/cohere/langchain_cohere/cohere_agent.py) that has access to a deterministic tool that extracts the alphanumeric patterns in the query, and returns a dictionary in which the keys are the parameters, and the values the extracted patterns. The output of the tool is then used to generate the final request.\n", - "\n", - "Using such a tool is just one of the possibilities that the Agent has to generate the query: As we will see below, when a more semantic understanding of the query is required, we can ignore the tool and leverage the linguistic capabilities of the LLM powering the Agent to generate the final output.\n", - "\n", - "With this approach, we bring together the best of two worlds: on the one hand, the ability of LLMs to use tool and generate outputs, on the other one, the reliability and efficiency of deterministic functions.\n", - "\n", - "## Table of Contents\n", - "\n", - "- [Step 1: Setup](#sec_step1)\n", - "- [Step 2: Define the Tool and the Agent](#sec_step2)\n", - "- [Step 3: Run the Agent](#sec_step3)\n", - "- [Conclusions](#sec_conclusion)\n", - " " - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 1: Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "\n", - "# !pip install cohere\n", - "# !pip install python-dotenv\n", - "# !pip install pandas\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "import os\n", - "import json\n", - "import re\n", - "import getpass\n", - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "from langchain_core.tools import tool" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# load the cohere api key\n", - "os.environ[\"COHERE_API_KEY\"] = getpass.getpass()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 2: Define the Tool and the Agent\n", - "Here we create a tool which implements the deterministic function to extract alphanumeric strings from the user's query and match them to the right parameter." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "@tool\n", - "def regex_extractor(user_query: str) -> dict:\n", - " \"\"\"Function which, given the query from the user, returns a dictionary parameter:value.\"\"\"\n", - "\n", - " uuid = re.findall(\"\\s([a-f0-9]{8}-[a-f0-9]{4}-[a-f0-9]{4}-[a-f0-9]{4}-[a-f0-9]{12})\", user_query)\n", - " nmgs = re.findall(\"(0000[A-Z0-9]{21})\", user_query)\n", - " objref = re.findall(\"\\s([A-Z]{5,9}\\d{3,4}[A-Z]{3,8})\", user_query)\n", - " urn = re.findall(\"urn:[a-f0-9]{8}-[a-f0-9]{4}-[a-f0-9]{4}-[a-f0-9]{4}-[a-f0-9]{12}\",user_query,)\n", - " d = {\"uuid\": uuid,\n", - " \"nmgs\": nmgs,\n", - " \"objref\": objref,\n", - " \"urn\": urn}\n", - " d_filtered = {k: v for k, v in d.items() if v != []}\n", - " return d_filtered\n", - "\n", - "\n", - "class extract_code_v1productssearch(BaseModel):\n", - " user_query: str = Field(\n", - " description=\"This is the full input query as received from the user. Do not truncate or modify the query in any way.\"\n", - " )\n", - "\n", - "regex_extractor.name = \"regex_extractor\"\n", - "regex_extractor.args_schema = extract_code_v1productssearch\n", - "tools=[regex_extractor]" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# Let's define the preamble for the Agent.\n", - "# The preamble includes info about:\n", - "# - the tool the Agent has access to\n", - "# - the cases in which the Agent has to produce an output without using the tool\n", - "# - some examples to clarify the task\n", - "preamble = \"\"\"You are an assistant that given a user's query about, generates a request an API.\n", - " You can use the tool named \"regex_extractor\".\n", - " Pass to the \"regex_extractor\" tool the entire text of the the input query.\n", - " The tool returns a dictionary, in which keys are the name of the codes, and values a list of extracted codes.\n", - " Create a JSON for each of the key-value pairs in the dictionary.\n", - "\n", - " Return a list of JSONs. Make sure each JSON is properly defined. Do not return any other explanation or comment.\n", - " You MUST generate a perfect JSON object: make sure that each string in the lists is included between quotes.\n", - "\n", - " If the request mentions one of the tags listed below, or a related word, create a dictionary in which the key is \"taxonomies\" and the value the list of capitalized tags.\n", - " Tags list: cars, trucks, clothes, sport\n", - "\n", - "\n", - " Find a list of examples here:\n", - " User question | parameter for the tool | what you should understand\n", - " Look products GLCMS004AGTCAMIS; 0000234GLCMS0100ANORAKCAA, GLCHL000CGUCHALE | Look products GLCMS004AGTCAMIS; 0000234GLCMS0100ANORAKCAA, GLCHL000CGUCHALE | [{\"objref\":[\"GLCMS004AGTCAMIS\",\"GLCHL000CGUCHALE\"]},{\"nmgs\":[\"0000234GLCMS0100ANORAKCAA\"]}]\n", - " Retrieve id 7410e652-639d-402e-984e-8fd7025f0aac 8bb21b93-2ddf-4a63-af63-ddb6b1be49a1, ref GLPNT0005GUJOGGE GLSBR000BGASOBRE, nmg 0000234GLCHL0200ARTINDIUS | Retrieve id 7410e652-639d-402e-984e-8fd7025f0aac 8bb21b93-2ddf-4a63-af63-ddb6b1be49a1, ref GLPNT0005GUJOGGE GLSBR000BGASOBRE, nmg 0000234GLCHL0200ARTINDIUS | [{\"uuid\":[\"7410e652-639d-402e-984e-8fd7025f0aac\",\"8bb21b93-2ddf-4a63-af63-ddb6b1be49a1\"]}, {\"objref\":[\"GLPNT0005GUJOGGE\",\"GLSBR000BGASOBRE\"]},{\"nmgs\":[\"0000234GLCHL0200ARTINDIUS\"]}]\n", - " Look for items of cars and trucks | Look for items of pants and t-shirts | [{'taxonomies': ['CARS', 'TRUCKS']}]\n", - " Search products sport | Search products dress and jumpsuit | [{'taxonomies': ['SPORT']}]\n", - " \"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# Define the prompt\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "# Define the agent\n", - "llm = ChatCohere(model=\"command-r-plus\", temperature=0)\n", - "\n", - "# instantiate agent and agent executor\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=tools,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=tools,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "# finally, let's write a function to convert the Agents output to a json\n", - "def convert_to_json(string: str) -> json:\n", - " return json.loads(\n", - " string.replace(\"\\xa0\", \" \")\n", - " .replace(\"json\", \"\")\n", - " .replace(\"`\", \"\")\n", - " .replace(\"`\", \"\")\n", - " )\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Step 3: Run the Agent\n", - "Let's now test the Agent we just defined!" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will use the regex_extractor tool to extract the codes from the user query.\n", - "{'tool_name': 'regex_extractor', 'parameters': {'user_query': 'Look for urn:75f2b737-06dd-4399-9206-a6c11b65138e, GLCMS004AGTCAMIS; 0000234GLCMS0100ANORAKCAA, GLCHL000CGUCHALE'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m{'nmgs': ['0000234GLCMS0100ANORAKCAA'], 'objref': ['GLCMS004AGTCAMIS', 'GLCHL000CGUCHALE'], 'urn': ['urn:75f2b737-06dd-4399-9206-a6c11b65138e']}\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: ```json\n", - "[\n", - "    {\n", - "        \"urn\": [\"urn:75f2b737-06dd-4399-9206-a6c11b65138e\"],\n", - "        \"objref\": [\"GLCMS004AGTCAMIS\", \"GLCHL000CGUCHALE\"],\n", - "        \"nmgs\": [\"0000234GLCMS0100ANORAKCAA\"]\n", - "    }\n", - "]\n", - "```\n", - "Grounded answer: ```json\n", - " [\n", - "    {\n", - "        \"urn\": [\"urn:75f2b737-06dd-4399-9206-a6c11b65138e\"],\n", - "        \"objref\": [\"GLCMS004AGTCAMIS\", \"GLCHL000CGUCHALE\"],\n", - "        \"nmgs\": [\"0000234GLCMS0100ANORAKCAA\"]\n", - "    }\n", - "]\n", - "```\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "query_1 = \"Look for urn:75f2b737-06dd-4399-9206-a6c11b65138e, GLCMS004AGTCAMIS; 0000234GLCMS0100ANORAKCAA, GLCHL000CGUCHALE\"\n", - "response_1 = agent_executor.invoke(\n", - " {\n", - " \"input\": query_1,\n", - " \"preamble\": preamble,\n", - " }\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "In the reasoning chain above, we can see that the Agent uses the tool we provided it to extract the strings in the query.\n", - "The output of the tool is then used to generate the request." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "data": { - "text/plain": [ - "[{'urn': ['urn:75f2b737-06dd-4399-9206-a6c11b65138e'],\n", - " 'objref': ['GLCMS004AGTCAMIS', 'GLCHL000CGUCHALE'],\n", - " 'nmgs': ['0000234GLCMS0100ANORAKCAA']}]" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# let's have a look at the final output\n", - "convert_to_json(response_1['output'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "As mentioned above, the Agent can use the tool when specific alphanumeric patterns have to be extracted from the query; however, it can also generate the output based on its semantic understanding of the query. For example:" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will use the regex_extractor tool to extract the relevant information from the user request.\n", - "{'tool_name': 'regex_extractor', 'parameters': {'user_query': 'I need tennis products'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m{}\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: None\n", - "Cited Documents: None\n", - "Answer: ```json\n", - "[\n", - " {\n", - " \"taxonomies\": [\n", - " \"SPORT\"\n", - " ]\n", - " }\n", - "]\n", - "```\n", - "Grounded answer: ```json\n", - " [\n", - " {\n", - " \"taxonomies\": [\n", - " \"SPORT\"\n", - " ]\n", - " }\n", - "]\n", - "```\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "query_2 = \"I need tennis products\"\n", - "\n", - "response_2 = agent_executor.invoke(\n", - " {\n", - " \"input\": query_2,\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "The Agent run the tool to check if any target string was in the query, then it generated the request body based on its understanding." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "data": { - "text/plain": [ - "[{'taxonomies': ['SPORT']}]" - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "convert_to_json(response_2['output'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Finally, the two paths to generation - deterministic and semantic - can be applied in parallel by the Agent, as shown below:" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will use the regex_extractor tool to extract the codes from the user query. Then, I will create a JSON for each of the key-value pairs in the dictionary.\n", - "{'tool_name': 'regex_extractor', 'parameters': {'user_query': 'Look for GLBRL0000GACHALE, nmg 0000234GLCZD0000GUREDTOAA and car products'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m{'nmgs': ['0000234GLCZD0000GUREDTOAA'], 'objref': ['GLBRL0000GACHALE']}\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: ```json\n", - "[\n", - "    {\n", - "        \"objref\": [\"GLBRL0000GACHALE\"],\n", - "        \"nmgs\": [\"0000234GLCZD0000GUREDTOAA\"]\n", - "    },\n", - "    {\n", - "        \"taxonomies\": [\"CARS\"]\n", - "    }\n", - "]\n", - "```\n", - "Grounded answer: ```json\n", - " [\n", - "    {\n", - "        \"objref\": [\"GLBRL0000GACHALE\"],\n", - "        \"nmgs\": [\"0000234GLCZD0000GUREDTOAA\"]\n", - "    },\n", - "    {\n", - "        \"taxonomies\": [\"CARS\"]\n", - "    }\n", - "]\n", - "```\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "query_3 = \"Look for GLBRL0000GACHALE, nmg 0000234GLCZD0000GUREDTOAA and car products\"\n", - "\n", - "response_3 = agent_executor.invoke(\n", - " {\n", - " \"input\": query_3,\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [ - { - "data": { - "text/plain": [ - "[{'objref': ['GLBRL0000GACHALE'], 'nmgs': ['0000234GLCZD0000GUREDTOAA']},\n", - " {'taxonomies': ['CARS']}]" - ] - }, - "execution_count": 13, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "convert_to_json(response_3['output'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Conclusions\n", - "\n", - "In this notebook we showed how Agents can be used to solve a real-world use case, in which the goal is to create API requests based on the user's query. We did it by providing the Agent with a deterministic tool to extract relevant alphanumeric strings in the query, and matching them to the right parameter name. In parallel, the Agent can leverage the semantic understanding of the query provided by the LLM powering it." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.14" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agents_with_deterministic_functions.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/financial-csv-agent/README.md b/notebooks/agents/financial-csv-agent/README.md index 098760cb..56becd5e 100644 --- a/notebooks/agents/financial-csv-agent/README.md +++ b/notebooks/agents/financial-csv-agent/README.md @@ -1,5 +1 @@ -In these notebooks we explore how to setup a Cohere ReAct Agent to answer questions over the income statement and balance sheet from Apple’s SEC10K 2020 form. - -## Notebooks -- [Financial CSV Agent](financial_csv_publication.ipynb): This notebook demonstrates how to setup a Langchain Cohere ReAct Agent to answer questions over the income statement and balance sheet from Apple’s SEC10K 2020 form. -- [Native Financial CSV Agent](financial_csv_publication_native.ipynb): This notebook demonstrates how to setup a Cohere Native API sequence of tool calls to answer questions over the income statement and balance sheet from Apple’s SEC10K 2020 form. This notebook does not use Langchain. \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/agents/financial-csv-agent/financial_csv_publication.ipynb b/notebooks/agents/financial-csv-agent/financial_csv_publication.ipynb index c7ee3fbf..88fc3362 100644 --- a/notebooks/agents/financial-csv-agent/financial_csv_publication.ipynb +++ b/notebooks/agents/financial-csv-agent/financial_csv_publication.ipynb @@ -1,708 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "5c0d5271-8d35-4471-b667-265695cbf11a", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "id": "1d71f595-01ef-4c24-99eb-81b01eec048b", - "metadata": {}, - "source": [ - "# Notebook Overview\n", - "\n", - "## Motivation\n", - "Tabular data reasoning continues to be an exciting application of Agents, particularly in the financial domain, where multiple reasoning steps may be needed to identify the right answer. For example, a financial analyst working with financial statements may be interested in computing various financial ratios with natural language queries.\n", - "\n", - "Some examples may include:\n", - "- ROE (Return on Equity) = Net Income / Shareholder's Equity\n", - "- Net Profit Margin = Net Income / Revenue\n", - "- Asset Turnover = Revenue / Average Total Assets\n", - "- Financial Leverage = Average Total Assets / Shareholder's Equity\n", - "\n", - "Having an Agent which is able to correctly compute these and other ratios would be a great help for any analyst in the field of Finance.\n", - "\n", - "## Objective\n", - "In this notebook we explore how to setup a [Cohere ReAct Agent](https://github.com/langchain-ai/langchain-cohere/blob/main/libs/cohere/langchain_cohere/cohere_agent.py) to answer questions over tables in Apple's SEC10K 2020 form. We show how this can be done with two variants of a langchain python tool, one that requires you to pass the full path of the dataframe and another that requires the dataframe objects to be loaded in memory. While there is no major difference between the two approaches, we present both to show how to manage files that are loaded in memory vs files in storage. \n", - "\n", - "## Table of Contents\n", - "\n", - "- [Setup](#sec_step0)\n", - "- [Introduction](#sec_step1)\n", - "- [QnA over Single Table](#sec_step2)\n", - " - [Agent with Python Tool](#sec_step2_sub1)\n", - " - [Agent with Python Tool that takes tables as input](#sec_step2_sub2)\n", - "- [QnA over Multiple Tables](#sec_step3)" - ] - }, - { - "cell_type": "markdown", - "id": "68e373fd", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "bce0ca82-fc00-40bb-9d0e-a274bd2eb8a7", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/financial_csv_publication.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "bce0ca82-fc00-40bb-9d0e-a274bd2eb8a7", - "outputId": "c6c45466-2abc-40fb-8e0e-823d5339e902" - }, - "outputs": [], - "source": [ - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "import pandas as pd\n", - "from langchain.agents import Tool\n", - "from langchain_experimental.utilities import PythonREPL\n", - "from langchain_experimental.tools.python.tool import PythonAstREPLTool" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "76287bf9-d98d-4a34-84c8-46134b85de53", - "metadata": {}, - "outputs": [], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "\n", - "#!pip install --quiet langchain langchain_cohere langchain_experimental --upgrade\n", - "#!pip install sec-api" - ] - }, - { - "cell_type": "markdown", - "id": "b0d3275d", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "\n", - "# Introduction\n", - "\n", - "The aim of this notebook is to showcase how Cohere Langchain Agents can be used to answer questions over tabular data.\n", - "This notebook assumes the input is a set of csv files extracted from Apples SEC 10K filings." - ] - }, - { - "cell_type": "markdown", - "id": "b698a511-8f2a-4094-9770-ebea661982bc", - "metadata": {}, - "source": [ - "### Data Loading\n", - "\n", - "We use the sec-api to download the income statement and balance sheet from the SEC 10K .htm file. Please note that the tables need to be parsed such that the index is numerical as the python code generation struggles to filter based on index. We have processed the tables and provided them for you." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "936212a7-fda6-4e12-a5e3-2fdd373660ae", - "metadata": {}, - "outputs": [], - "source": [ - "income_statement = pd.read_csv('income_statement.csv')\n", - "balance_sheet = pd.read_csv('balance_sheet.csv')" - ] - }, - { - "cell_type": "markdown", - "id": "d3e42dd8-20d0-4b97-90fd-4a4ce5836c64", - "metadata": {}, - "source": [ - "\n", - "# QnA over Single Table " - ] - }, - { - "cell_type": "markdown", - "id": "fa7a67ef-7dbf-40cb-acce-cfec61eac855", - "metadata": {}, - "source": [ - "\n", - "## Agent with Python Tool\n", - "\n", - "In the example below, we show how the python tool can be used to load a dataframe and extract information from it. To do this successfully we need to:\n", - "\n", - "1) pass the file name to the preamble so the model knows how to load the dataframe\n", - "2) pass a preview of the dataframe in the preamble so the model knows which columns/rows to query\n", - "\n", - "First, let's implement the ReAct agent" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "9be6f9eb-b1ba-4a4f-a5f3-5b775f0db69f", - "metadata": {}, - "outputs": [], - "source": [ - "# instantiate the Cohere llm\n", - "llm = ChatCohere(model=\"command-r\", temperature=0.1,cohere_api_key=\"\",verbose=True)\n", - "\n", - "# create the python tool\n", - "python_repl = PythonREPL()\n", - "python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "python_tool.name = \"python_interpreter\"\n", - "\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "python_tool.args_schema = ToolInput\n", - "tools=[python_tool] \n", - "\n", - "# define the prompt template\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "# instantiate the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=tools,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=tools,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )\n", - "# finally, define the preamble\n", - "preamble = \"\"\"\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `income_statement.csv`.\n", - "Here is a preview of the dataframe:\n", - "{head_df}\n", - "\"\"\".format(head_df=income_statement.head(3).to_markdown())\n" - ] - }, - { - "cell_type": "markdown", - "id": "e82dd596", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Then, we define the dictionary including the questions we want the Agent to answer, and their answer." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "6b478e3c", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "question_dict ={\n", - " 'q1': ['what is the highest value of cost of goods and service?',169559000000],\n", - " 'q2': ['what is the largest gross profit margin?',0.3836194330595236],\n", - " 'q3': ['what is the minimum ratio of operating income loss divided by non operating income expense',35.360599]\n", - "}" - ] - }, - { - "cell_type": "markdown", - "id": "d8b575d3", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Let's now see how the Agent answers to each of the questions" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "5ebb2d00-315d-462c-919b-749027133d89", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "question:q1\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to find the highest value of Cost of Goods and Services Sold from the provided dataframe.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import pandas as pd\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Find the highest value in the \\'CostOfGoodsAndServicesSold\\' column\\nmax_value = df[\\'CostOfGoodsAndServicesSold\\'].max()\\n\\nprint(f\"The highest value of Cost of Goods and Services Sold is {max_value}\")'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mThe highest value of Cost of Goods and Services Sold is 169559000000.0\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The highest value of Cost of Goods and Services Sold is 169559000000.0.\n", - "Grounded answer: The highest value of Cost of Goods and Services Sold is 169559000000.0.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "GT Answer:169559000000\n", - "--------------------------------------------------\n", - "question:q2\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to calculate the largest gross profit margin from the data frame provided.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import pandas as pd\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Calculate the gross profit margin as a percentage\\ndf[\\'GrossProfitMargin\\'] = (df[\\'GrossProfit\\'] / df[\\'RevenueFromContractWithCustomerExcludingAssessedTax\\']) * 100\\n\\n# Find the row with the maximum gross profit margin\\nmax_margin_row = df.loc[df[\\'GrossProfitMargin\\'].idxmax()]\\n\\nprint(f\"The largest gross profit margin is {max_margin_row[\\'GrossProfitMargin\\']}% on {max_margin_row[\\'index\\']}\")\\n'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mThe largest gross profit margin is 38.36194330595236% on 2019-12-29-2020-03-28\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The largest gross profit margin is approximately 38.36% on 2019-12-29 to 2020-03-28.\n", - "Grounded answer: The largest gross profit margin is approximately 38.36% on 2019-12-29 to 2020-03-28.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "GT Answer:0.3836194330595236\n", - "--------------------------------------------------\n", - "question:q3\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to calculate the minimum ratio of Operating Income Loss divided by Non Operating Income Expense.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import pandas as pd\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Calculate the ratio\\nratios = df[\\'OperatingIncomeLoss\\'] / df[\\'NonoperatingIncomeExpense\\']\\n\\nprint(\"Minimum ratio:\", ratios.min())'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mMinimum ratio: 35.36059850374065\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The minimum ratio of Operating Income Loss divided by Non Operating Income Expense is approximately **35.36**.\n", - "Grounded answer: The minimum ratio of Operating Income Loss divided by Non Operating Income Expense is approximately **35.36**.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "GT Answer:35.360599\n", - "--------------------------------------------------\n" - ] - } - ], - "source": [ - "for qsn,val in question_dict.items():\n", - " print(f'question:{qsn}')\n", - " agent_executor.invoke({\n", - " \"input\": val[0],\n", - " \"preamble\": preamble,\n", - " })\n", - " print(f'GT Answer:{val[1]}')\n", - " print('-'*50)" - ] - }, - { - "cell_type": "markdown", - "id": "8a1fc000", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Nice! The agent uses the Python tool to write the code to access the data in the tables, and perform the required calculations." - ] - }, - { - "cell_type": "markdown", - "id": "899e9b6e-3d3d-4127-85eb-7d8d2fb35920", - "metadata": {}, - "source": [ - "\n", - "## Agent with Python Tool that takes tables as input\n", - "\n", - "In the example above, the model needs to load the dataframe in the python call before carrying out operations. In this example, we show how to pass the dataframes to the python tool so it has the file already loaded." - ] - }, - { - "cell_type": "code", - "execution_count": 163, - "id": "88cb7c3d-6862-42af-865f-39b45b87defb", - "metadata": {}, - "outputs": [], - "source": [ - "# call the PythonAstREPLTool in order to pass tables to the tool\n", - "df_locals = {'df':pd.read_csv('income_statement.csv')}\n", - "tools = [PythonAstREPLTool(locals=df_locals)]\n", - "\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=tools,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=tools,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )\n", - "\n", - "preamble = \"\"\"\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `df`.\n", - "Here is a preview of the dataframe:\n", - "{head_df}\n", - "\"\"\".format(head_df=income_statement.head(3).to_markdown())\n" - ] - }, - { - "cell_type": "markdown", - "id": "2af2fa42", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Let's loop again over the same dictionary of questions" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "7bd700bd-d3ac-44ac-acb0-bebf8c0a09bf", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "question:q1\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to find the highest value of Cost of Goods and Services Sold from the provided dataframe.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv('income_statement.csv')\\n\\n# Find the highest value in the 'CostOfGoodsAndServicesSold' column\\nmax_value = df['CostOfGoodsAndServicesSold'].max()\\n\\nprint(f'The highest value of Cost of Goods and Services Sold is {max_value:.2f}')\\n\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mThe highest value of Cost of Goods and Services Sold is 169559000000.00\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The highest value of Cost of Goods and Services Sold is 169559000000.00.\n", - "Grounded answer: The highest value of Cost of Goods and Services Sold is 169559000000.00.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "GT Answer:169559000000\n", - "--------------------------------------------------\n", - "question:q2\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to calculate the largest gross profit margin from the data frame provided.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': 'import pandas as pd\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Calculate the gross profit margin as a percentage\\ndf[\\'GrossProfitMargin\\'] = (df[\\'GrossProfit\\'] / df[\\'RevenueFromContractWithCustomerExcludingAssessedTax\\']) * 100\\n\\n# Find the row with the maximum gross profit margin\\nmax_margin_row = df.loc[df[\\'GrossProfitMargin\\'].idxmax()]\\n\\nprint(f\"The largest gross profit margin is {max_margin_row[\\'GrossProfitMargin\\']}% on {max_margin_row[\\'index\\']}\")\\n'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mThe largest gross profit margin is 38.36194330595236% on 2019-12-29-2020-03-28\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The largest gross profit margin is approximately 38.36% on 2019-12-29 to 2020-03-28.\n", - "Grounded answer: The largest gross profit margin is approximately 38.36% on 2019-12-29 to 2020-03-28.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "GT Answer:0.3836194330595236\n", - "--------------------------------------------------\n", - "question:q3\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to calculate the minimum ratio of Operating Income Loss divided by Non Operating Income Expense.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\n\\n# Read the CSV file into a DataFrame\\ndf = pd.read_csv('income_statement.csv')\\n\\n# Calculate the ratio\\nratios = df['OperatingIncomeLoss'] / df['NonoperatingIncomeExpense']\\n\\n# Find the minimum ratio\\nmin_ratio = ratios.min()\\n\\nprint(f'Minimum ratio: {min_ratio:.2f}')\\n\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mMinimum ratio: 35.36\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The minimum ratio of Operating Income Loss divided by Non Operating Income Expense is approximately **35.36**.\n", - "Grounded answer: The minimum ratio of Operating Income Loss divided by Non Operating Income Expense is approximately **35.36**.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "GT Answer:35.360599\n", - "--------------------------------------------------\n" - ] - } - ], - "source": [ - "for qsn,val in question_dict.items():\n", - " print(f'question:{qsn}')\n", - " agent_executor.invoke({\n", - " \"input\": val[0],\n", - " \"preamble\": preamble,\n", - " })\n", - " print(f'GT Answer:{val[1]}')\n", - " print('-'*50)" - ] - }, - { - "cell_type": "markdown", - "id": "61b9d964", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Also in this case, the Agent correctly answers all the questions." - ] - }, - { - "cell_type": "markdown", - "id": "f6d9adda-9836-4ef9-a40c-cdda3fe76658", - "metadata": {}, - "source": [ - "\n", - "# QnA over Multiple Tables\n", - "\n", - "We now make the task for the Agent more complicated, by asking it questions whose answer can be computed only by retrieving relevant information from multiple tables." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "4f799645-c2a3-4f9b-a5cb-b9a6e57a3650", - "metadata": {}, - "outputs": [], - "source": [ - "# define the Agent\n", - "python_repl = PythonREPL()\n", - "python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "python_tool.name = \"python_interpreter\"\n", - "\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "python_tool.args_schema = ToolInput\n", - "tools=[python_tool] \n", - "\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=tools,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=tools,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )\n", - "\n", - "preamble = \"\"\"\n", - "You are an expert who answers the user's question in complete sentences. You are working with two pandas dataframe in Python. Ensure your output is a string.\n", - "\n", - "Here is a preview of the `income_statement.csv` dataframe:\n", - "{table_1}\n", - "\n", - "Here is a preview of the `balance_sheet.csv` dataframe:\n", - "{table_2}\n", - "\"\"\".format(table_1=income_statement.head(3).to_markdown(),table_2=balance_sheet.head(3).to_markdown())\n" - ] - }, - { - "cell_type": "markdown", - "id": "538efc17", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "We now define a new question" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "f2662094", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [ - "question_dict ={\n", - " 'q1': ['what is the ratio of the largest stockholders equity to the smallest revenue'],\n", - "}" - ] - }, - { - "cell_type": "markdown", - "id": "3e6442e1", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "The answer to this question can be obtained only by accessing both the balance sheet and the income statement, as show below:" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "id": "236ecbf8-74a2-4d31-af89-2c557ed511cd", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The largest stockholders equity value is: 134047000000.0\n", - "The smallest revenue value is: 53809000000.0\n", - "Their ratio is: 2.4911631883142227\n" - ] - } - ], - "source": [ - "# get the largest stockholders equity\n", - "x = balance_sheet['StockholdersEquity'].astype(float).max()\n", - "print(f\"The largest stockholders equity value is: {x}\")\n", - "\n", - "# get the smallest revenue\n", - "y = income_statement['RevenueFromContractWithCustomerExcludingAssessedTax'].astype(float).min()\n", - "print(f\"The smallest revenue value is: {y}\")\n", - "\n", - "# compute the ratio\n", - "ratio = x/y\n", - "print(f\"Their ratio is: {ratio}\")" - ] - }, - { - "cell_type": "markdown", - "id": "6fbf6bb3", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "Let's now get the answer from the Agent" - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "id": "bf5d72f4-2243-4cc8-a36a-34cec927ee42", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "question:q1\n", - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write and execute Python code to find the largest and smallest values in the relevant columns of the dataframes, then calculate the ratio.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\n\\nincome_statement = pd.read_csv('income_statement.csv')\\nbalance_sheet = pd.read_csv('balance_sheet.csv')\\n\\n# Find largest and smallest values in relevant columns\\nmax_stockholders_equity = max([balance_sheet['StockholdersEquity'].iloc[i] for i in range(len(balance_sheet))])\\nmin_revenue = min([income_statement['RevenueFromContractWithCustomerExcludingAssessedTax'].iloc[i] for i in range(len(income_statement))])\\n\\n# Calculate ratio\\nratio = max_stockholders_equity / min_revenue\\n\\nprint(f'The ratio of the largest stockholders equity to the smallest revenue is {ratio:.2f}'\\n )\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mNameError(\"name 'balance_sheet' is not defined\")\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "My code has thrown an error because the variable `balance_sheet` has not been defined. I will fix this by adding the line `balance_sheet = pd.read_csv('balance_sheet.csv')` to the beginning of my code.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\n\\nincome_statement = pd.read_csv('income_statement.csv')\\n\\nbalance_sheet = pd.read_csv('balance_sheet.csv')\\n\\n# Find largest and smallest values in relevant columns\\nmax_stockholders_equity = max([balance_sheet['StockholdersEquity'].iloc[i] for i in range(len(balance_sheet))])\\nmin_revenue = min([income_statement['RevenueFromContractWithCustomerExcludingAssessedTax'].iloc[i] for i in range(len(income_statement))])\\n\\n# Calculate ratio\\nratio = max_stockholders_equity / min_revenue\\n\\nprint(f'The ratio of the largest stockholders equity to the smallest revenue is {ratio:.2f}'\\n )\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mNameError(\"name 'balance_sheet' is not defined\")\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "The same error has occurred again, so I will check my code carefully to make sure the variable is being defined correctly.\n", - "{'tool_name': 'python_interpreter', 'parameters': {'code': \"import pandas as pd\\n\\n# Load data\\nincome_statement = pd.read_csv('income_statement.csv')\\nbalance_sheet = pd.read_csv('balance_sheet.csv')\\n\\n# Find largest and smallest values in relevant columns\\nmax_stockholders_equity = max(balance_sheet['StockholdersEquity'])\\nmin_revenue = min(income_statement['RevenueFromContractWithCustomerExcludingAssessedTax'])\\n\\n# Calculate ratio\\nratio = max_stockholders_equity / min_revenue\\n\\nprint(f'The ratio of the largest stockholders equity to the smallest revenue is {ratio:.2f}')\"}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mThe ratio of the largest stockholders equity to the smallest revenue is 2.49\n", - "\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0,1,2\n", - "Cited Documents: 2\n", - "Answer: The ratio of the largest stockholders equity to the smallest revenue is approximately **2.49**.\n", - "Grounded answer: The ratio of the largest stockholders equity to the smallest revenue is approximately **2.49**.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - } - ], - "source": [ - "for qsn,val in question_dict.items():\n", - " print(f'question:{qsn}')\n", - " agent_executor.invoke({\n", - " \"input\": val[0],\n", - " \"preamble\": preamble,\n", - " })" - ] - }, - { - "cell_type": "markdown", - "id": "077a5aa5", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "source": [ - "The Agent defined a plan (\"write and execute Python code to find the largest and smallest values in the relevant columns of the dataframes, then calculate the ratio\") and executed it. It made some mistakes when coding, that resulted in NameError errors, but it fixed them and finally got to the correct answer" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "77a3f5ac", - "metadata": { - "collapsed": false, - "jupyter": { - "outputs_hidden": false - } - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.14" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/financial-csv-agent/financial_csv_publication_native.ipynb b/notebooks/agents/financial-csv-agent/financial_csv_publication_native.ipynb index 6532a8d0..53c77158 100644 --- a/notebooks/agents/financial-csv-agent/financial_csv_publication_native.ipynb +++ b/notebooks/agents/financial-csv-agent/financial_csv_publication_native.ipynb @@ -1,1107 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "549c3504", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "id": "321b7fb8", - "metadata": {}, - "source": [ - "# Notebook Overview\n", - "\n", - "## Motivation \n", - "\n", - "Tabular data reasoning continues to be an exciting application of Agents, particularly in the financial domain, where multiple reasoning steps may be needed to identify the right answer. For example, a financial analyst working with financial statements may be interested in computing various financial ratios with natural language queries.\n", - "\n", - "Some examples may include:\n", - "- ROE (Return on Equity) = Net Income / Shareholder's Equity\n", - "- Net Profit Margin = Net Income / Revenue\n", - "- Asset Turnover = Revenue / Average Total Assets\n", - "- Financial Leverage = Average Total Assets / Shareholder's Equity\n", - "\n", - "Having an Agent which is able to correctly compute these and other ratios would be a great help for any analyst in the field of Finance.\n", - "\n", - "## Objective\n", - "\n", - "In this notebook we explore how to setup a [Cohere Agent](https://docs.cohere.com/docs/multi-step-tool-use) to answer questions over tables in Apple's SEC10K 2020 form. [financial_csv_publication.ipynb](#TODO) already showed how to use langchain to ask questions about your data. This notebook will demonstrate how you can build the same agent using Cohere's native API with langchain python tool. We will also explore how to make your agent more resilient to errors. \n", - "\n", - "## Table of Contents\n", - "\n", - "- [Setup](#setup)\n", - "- [Define Python Tool](#define_python_tool)\n", - "- [Create Cohere Agent](#create_cohere_agent)\n", - "- [QnA over Single Table](#qna_over_single_table)\n", - "- [QnA over Multiple Tables](#qna_over_multiple_tables)\n", - "- [Error Resilience](#error_resilience)\n", - " - [Add Viewing Tool](#add_viewing_tool)\n" - ] - }, - { - "cell_type": "markdown", - "id": "a9f349f1", - "metadata": {}, - "source": [ - "\n", - "\n", - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "id": "bce0ca82-fc00-40bb-9d0e-a274bd2eb8a7", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/financial_csv_publication_native.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "bce0ca82-fc00-40bb-9d0e-a274bd2eb8a7", - "outputId": "c6c45466-2abc-40fb-8e0e-823d5339e902" - }, - "outputs": [], - "source": [ - "import os\n", - "from typing import List\n", - "\n", - "import cohere\n", - "import langchain\n", - "import langchain_core\n", - "import langchain_experimental\n", - "import pandas as pd\n", - "from langchain.agents import Tool\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "from langchain_experimental.utilities import PythonREPL" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "b4231925", - "metadata": {}, - "outputs": [], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "\n", - "# !pip install --quiet langchain langchain_experimental cohere --upgrade" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "56a5af0d", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "cohere version: 5.5.1\n", - "langchain version: 0.2.0\n", - "langchain_core version: 0.2.0\n", - "langchain_experimental version: 0.0.59\n" - ] - } - ], - "source": [ - "# versions\n", - "print('cohere version:', cohere.__version__)\n", - "print('langchain version:', langchain.__version__)\n", - "print('langchain_core version:', langchain_core.__version__)\n", - "print('langchain_experimental version:', langchain_experimental.__version__)" - ] - }, - { - "cell_type": "markdown", - "id": "353e63cb", - "metadata": {}, - "source": [ - "### API Key " - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "ad9f883d", - "metadata": {}, - "outputs": [], - "source": [ - "COHERE_API_KEY = os.environ[\"COHERE_API_KEY\"]\n", - "CHAT_URL= \"https://api.cohere.ai/v1/chat\"\n", - "COHERE_MODEL = 'command-r-plus'\n", - "co = cohere.Client(api_key=COHERE_API_KEY)" - ] - }, - { - "cell_type": "markdown", - "id": "e670302a", - "metadata": {}, - "source": [ - "### Data Loading " - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "1d4f7bd7", - "metadata": {}, - "outputs": [], - "source": [ - "income_statement = pd.read_csv('income_statement.csv')\n", - "balance_sheet = pd.read_csv('balance_sheet.csv')" - ] - }, - { - "cell_type": "code", - "execution_count": 51, - "id": "a5a8ddd7", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
Unnamed: 0indexRevenueFromContractWithCustomerExcludingAssessedTaxCostOfGoodsAndServicesSoldGrossProfitResearchAndDevelopmentExpenseSellingGeneralAndAdministrativeExpenseOperatingExpensesOperatingIncomeLossNonoperatingIncomeExpenseIncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterestIncomeTaxExpenseBenefitNetIncomeLossEarningsPerShareBasicEarningsPerShareDilutedWeightedAverageNumberOfSharesOutstandingBasicWeightedAverageNumberOfDilutedSharesOutstanding
002017-10-01-2018-09-292655950000001.637560e+111018390000001.423600e+101.670500e+103.094100e+107.089800e+102.005000e+097.290300e+101.337200e+10595310000003.002.981.982151e+102.000044e+10
112018-09-30-2018-12-2984310000000NaN32031000000NaNNaNNaNNaNNaNNaNNaN199650000001.051.05NaNNaN
\n", - "
" - ], - "text/plain": [ - " Unnamed: 0 index \\\n", - "0 0 2017-10-01-2018-09-29 \n", - "1 1 2018-09-30-2018-12-29 \n", - "\n", - " RevenueFromContractWithCustomerExcludingAssessedTax \\\n", - "0 265595000000 \n", - "1 84310000000 \n", - "\n", - " CostOfGoodsAndServicesSold GrossProfit ResearchAndDevelopmentExpense \\\n", - "0 1.637560e+11 101839000000 1.423600e+10 \n", - "1 NaN 32031000000 NaN \n", - "\n", - " SellingGeneralAndAdministrativeExpense OperatingExpenses \\\n", - "0 1.670500e+10 3.094100e+10 \n", - "1 NaN NaN \n", - "\n", - " OperatingIncomeLoss NonoperatingIncomeExpense \\\n", - "0 7.089800e+10 2.005000e+09 \n", - "1 NaN NaN \n", - "\n", - " IncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterest \\\n", - "0 7.290300e+10 \n", - "1 NaN \n", - "\n", - " IncomeTaxExpenseBenefit NetIncomeLoss EarningsPerShareBasic \\\n", - "0 1.337200e+10 59531000000 3.00 \n", - "1 NaN 19965000000 1.05 \n", - "\n", - " EarningsPerShareDiluted WeightedAverageNumberOfSharesOutstandingBasic \\\n", - "0 2.98 1.982151e+10 \n", - "1 1.05 NaN \n", - "\n", - " WeightedAverageNumberOfDilutedSharesOutstanding \n", - "0 2.000044e+10 \n", - "1 NaN " - ] - }, - "execution_count": 51, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "income_statement.head(2)" - ] - }, - { - "cell_type": "code", - "execution_count": 52, - "id": "f254c1af", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
Unnamed: 0indexCashAndCashEquivalentsAtCarryingValueMarketableSecuritiesCurrentAccountsReceivableNetCurrentInventoryNetNontradeReceivablesCurrentOtherAssetsCurrentAssetsCurrentMarketableSecuritiesNoncurrent...LongTermDebtNoncurrentOtherLiabilitiesNoncurrentLiabilitiesNoncurrentLiabilitiesCommitmentsAndContingenciesCommonStocksIncludingAdditionalPaidInCapitalRetainedEarningsAccumulatedDeficitAccumulatedOtherComprehensiveIncomeLossNetOfTaxStockholdersEquityLiabilitiesAndStockholdersEquity
002017-09-30NaNNaNNaNNaNNaNNaNNaNNaN...NaNNaNNaNNaNNaNNaNNaNNaN134047000000NaN
112018-09-29NaNNaNNaNNaNNaNNaNNaNNaN...NaNNaNNaNNaNNaNNaNNaNNaN107147000000NaN
\n", - "

2 rows × 30 columns

\n", - "
" - ], - "text/plain": [ - " Unnamed: 0 index CashAndCashEquivalentsAtCarryingValue \\\n", - "0 0 2017-09-30 NaN \n", - "1 1 2018-09-29 NaN \n", - "\n", - " MarketableSecuritiesCurrent AccountsReceivableNetCurrent InventoryNet \\\n", - "0 NaN NaN NaN \n", - "1 NaN NaN NaN \n", - "\n", - " NontradeReceivablesCurrent OtherAssetsCurrent AssetsCurrent \\\n", - "0 NaN NaN NaN \n", - "1 NaN NaN NaN \n", - "\n", - " MarketableSecuritiesNoncurrent ... LongTermDebtNoncurrent \\\n", - "0 NaN ... NaN \n", - "1 NaN ... NaN \n", - "\n", - " OtherLiabilitiesNoncurrent LiabilitiesNoncurrent Liabilities \\\n", - "0 NaN NaN NaN \n", - "1 NaN NaN NaN \n", - "\n", - " CommitmentsAndContingencies CommonStocksIncludingAdditionalPaidInCapital \\\n", - "0 NaN NaN \n", - "1 NaN NaN \n", - "\n", - " RetainedEarningsAccumulatedDeficit \\\n", - "0 NaN \n", - "1 NaN \n", - "\n", - " AccumulatedOtherComprehensiveIncomeLossNetOfTax StockholdersEquity \\\n", - "0 NaN 134047000000 \n", - "1 NaN 107147000000 \n", - "\n", - " LiabilitiesAndStockholdersEquity \n", - "0 NaN \n", - "1 NaN \n", - "\n", - "[2 rows x 30 columns]" - ] - }, - "execution_count": 52, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "balance_sheet.head(2)" - ] - }, - { - "cell_type": "markdown", - "id": "58a1e1ce", - "metadata": {}, - "source": [ - "\n", - "\n", - "# Define Python Tool \n", - "\n", - "Here we define the python tool using langchain's PythonREPL. We also define `functions_map` that will later be used by the Cohere Agent to correctly map function name to the actual function. Lastly, we define the tools that will be passed in the Cohere API. \n" - ] - }, - { - "cell_type": "code", - "execution_count": 121, - "id": "d01b1681", - "metadata": {}, - "outputs": [], - "source": [ - "python_repl = PythonREPL()\n", - "python_tool = Tool(\n", - " name=\"python_repl\",\n", - " description=\"Executes python code and returns the result. The code runs in a static sandbox without interactive mode, so print output or save output to a file.\",\n", - " func=python_repl.run,\n", - ")\n", - "python_tool.name = \"python_interpreter\"\n", - "\n", - "class ToolInput(BaseModel):\n", - " code: str = Field(description=\"Python code to execute.\")\n", - "python_tool.args_schema = ToolInput\n", - "\n", - "def run_python_code(code: str) -> dict:\n", - " \"\"\"\n", - " Function to run given python code\n", - " \"\"\"\n", - " input_code = ToolInput(code=code)\n", - " return {'python_answer': python_tool.func(input_code.code)}\n", - "\n", - "functions_map = {\n", - " \"run_python_code\": run_python_code,\n", - "}\n", - "\n", - "tools = [\n", - " {\n", - " \"name\": \"run_python_code\",\n", - " \"description\": \"given a python code, runs it\",\n", - " \"parameter_definitions\": {\n", - " \"code\": {\n", - " \"description\": \"executable python code\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " },]" - ] - }, - { - "cell_type": "markdown", - "id": "e58c2745", - "metadata": {}, - "source": [ - "\n", - "\n", - "# Create Cohere Agent \n", - "\n", - "As [Vanilla_Multi_Step_Tool_Use.ipynb](https://github.com/cohere-ai/notebooks/blob/fbf6c8dad47d7557314e9248a267175c7a6908d8/notebooks/Vanilla_Multi_Step_Tool_Use.ipynb) shows, you have a lot of flexiblity on how you can customize and interact with the cohere agent. Here I am creating a wrapper so that it automatically determines when to stop calling the tools and output final answer. It will run maximum of 15 steps. " - ] - }, - { - "cell_type": "code", - "execution_count": 108, - "id": "8183d469", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will use Python to answer this question.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'print(1 + 1)'}\n", - "== tool results: [{'python_answer': '2\\n'}]\n", - "The answer is **2**.\n" - ] - } - ], - "source": [ - "def cohere_agent(\n", - " message: str,\n", - " preamble: str,\n", - " tools: List[dict],\n", - " force_single_step=False,\n", - " verbose: bool = False,\n", - ") -> str:\n", - " \"\"\"\n", - " Function to handle multi-step tool use api.\n", - "\n", - " Args:\n", - " message (str): The message to send to the Cohere AI model.\n", - " preamble (str): The preamble or context for the conversation.\n", - " tools (list of dict): List of tools to use in the conversation.\n", - " verbose (bool, optional): Whether to print verbose output. Defaults to False.\n", - "\n", - " Returns:\n", - " str: The final response from the call.\n", - " \"\"\"\n", - "\n", - " counter = 1\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=message,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=force_single_step,\n", - " )\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning 0th step.\")\n", - " print(response.text)\n", - "\n", - " while response.tool_calls:\n", - " tool_results = []\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning {counter}th step.\")\n", - "\n", - " for tool_call in response.tool_calls:\n", - " output = functions_map[tool_call.name](**tool_call.parameters)\n", - " outputs = [output]\n", - " tool_results.append({\"call\": tool_call, \"outputs\": outputs})\n", - "\n", - " if verbose:\n", - " print(\n", - " f\"= running tool {tool_call.name}, with parameters: {tool_call.parameters}\"\n", - " )\n", - " print(f\"== tool results: {outputs}\")\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=\"\",\n", - " chat_history=response.chat_history,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=force_single_step,\n", - " tool_results=tool_results,\n", - " )\n", - "\n", - " if verbose:\n", - " print(response.text)\n", - "\n", - " counter += 1\n", - "\n", - " return response.text\n", - "\n", - "\n", - "# test\n", - "output = cohere_agent(\"can you use python to answer 1 + 1\", None, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "d3e42dd8-20d0-4b97-90fd-4a4ce5836c64", - "metadata": {}, - "source": [ - "\n", - "\n", - "# QnA over Single Table \n", - "\n", - "In the example below, we show how the python tool can be used to load a dataframe and extract information from it. To do this successfully we need to:\n", - "\n", - "1) pass the file name to the preamble so the model knows how to load the dataframe\n", - "2) pass a preview of the dataframe in the preamble so the model knows which columns/rows to query\n", - "\n", - "We will ask the following questions given income statement data. \n", - "1. what is the highest value of cost of goods and service?\n", - "2. what is the largest gross profit margin?\n", - "3. what is the minimum ratio of operating income loss divided by non operating income expense? " - ] - }, - { - "cell_type": "code", - "execution_count": 109, - "id": "b77ca643", - "metadata": {}, - "outputs": [], - "source": [ - "question_dict ={\n", - " 'q1': ['what is the highest value of cost of goods and service?',169559000000],\n", - " 'q2': ['what is the largest gross profit margin?',0.3836194330595236],\n", - " 'q3': ['what is the minimum ratio of operating income loss divided by non operating income expense?',35.360599]\n", - "}" - ] - }, - { - "cell_type": "code", - "execution_count": 110, - "id": "ce94beed", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `income_statement.csv`.\n", - "Here is a preview of the dataframe:\n", - "| | Unnamed: 0 | index | RevenueFromContractWithCustomerExcludingAssessedTax | CostOfGoodsAndServicesSold | GrossProfit | ResearchAndDevelopmentExpense | SellingGeneralAndAdministrativeExpense | OperatingExpenses | OperatingIncomeLoss | NonoperatingIncomeExpense | IncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterest | IncomeTaxExpenseBenefit | NetIncomeLoss | EarningsPerShareBasic | EarningsPerShareDiluted | WeightedAverageNumberOfSharesOutstandingBasic | WeightedAverageNumberOfDilutedSharesOutstanding |\n", - "|---:|-------------:|:----------------------|------------------------------------------------------:|-----------------------------:|--------------:|--------------------------------:|-----------------------------------------:|--------------------:|----------------------:|----------------------------:|----------------------------------------------------------------------------------------------:|--------------------------:|----------------:|------------------------:|--------------------------:|------------------------------------------------:|--------------------------------------------------:|\n", - "| 0 | 0 | 2017-10-01-2018-09-29 | 265595000000 | 1.63756e+11 | 101839000000 | 1.4236e+10 | 1.6705e+10 | 3.0941e+10 | 7.0898e+10 | 2.005e+09 | 7.2903e+10 | 1.3372e+10 | 59531000000 | 3 | 2.98 | 1.98215e+10 | 2.00004e+10 |\n", - "| 1 | 1 | 2018-09-30-2018-12-29 | 84310000000 | nan | 32031000000 | nan | nan | nan | nan | nan | nan | nan | 19965000000 | 1.05 | 1.05 | nan | nan |\n", - "| 2 | 2 | 2018-09-30-2019-09-28 | 260174000000 | 1.61782e+11 | 98392000000 | 1.6217e+10 | 1.8245e+10 | 3.4462e+10 | 6.393e+10 | 1.807e+09 | 6.5737e+10 | 1.0481e+10 | 55256000000 | 2.99 | 2.97 | 1.84713e+10 | 1.85957e+10 |\n", - "\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `income_statement.csv`.\n", - "Here is a preview of the dataframe:\n", - "{head_df}\n", - "\"\"\".format(head_df=income_statement.head(3).to_markdown())\n", - "\n", - "print(preamble)" - ] - }, - { - "cell_type": "code", - "execution_count": 111, - "id": "6346184f", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "question:q1\n", - "\n", - "running 0th step.\n", - "I will use Python to find the highest value of 'CostOfGoodsAndServicesSold' in the 'income_statement.csv' dataframe.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Find the highest value of \\'CostOfGoodsAndServicesSold\\'\\nhighest_cost = df[\\'CostOfGoodsAndServicesSold\\'].max()\\n\\nprint(f\"The highest value of \\'CostOfGoodsAndServicesSold\\' is {highest_cost}\")'}\n", - "== tool results: [{'python_answer': \"The highest value of 'CostOfGoodsAndServicesSold' is 169559000000.0\\n\"}]\n", - "The highest value of 'CostOfGoodsAndServicesSold' is 169559000000.0.\n", - "GT Answer:169559000000\n", - "--------------------------------------------------\n", - "question:q2\n", - "\n", - "running 0th step.\n", - "I will write and execute Python code to find the largest gross profit margin.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Calculate gross profit margin\\ndf[\\'GrossProfitMargin\\'] = df[\\'GrossProfit\\'] / df[\\'RevenueFromContractWithCustomerExcludingAssessedTax\\'] * 100\\n\\n# Find the largest gross profit margin\\nlargest_gross_profit_margin = df[\\'GrossProfitMargin\\'].max()\\n\\nprint(f\"The largest gross profit margin is {largest_gross_profit_margin:.2f}%\")'}\n", - "== tool results: [{'python_answer': 'The largest gross profit margin is 38.36%\\n'}]\n", - "The largest gross profit margin is 38.36%.\n", - "GT Answer:0.3836194330595236\n", - "--------------------------------------------------\n", - "question:q3\n", - "\n", - "running 0th step.\n", - "I will use Python to find the minimum ratio of operating income loss divided by non-operating income expense.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\ndf = pd.read_csv(\"income_statement.csv\")\\n\\n# Calculate the ratio of operating income loss to non-operating income expense\\ndf[\"OperatingIncomeLossRatio\"] = df[\"OperatingIncomeLoss\"] / df[\"NonoperatingIncomeExpense\"]\\n\\n# Find the minimum ratio\\nmin_ratio = df[\"OperatingIncomeLossRatio\"].min()\\n\\nprint(f\"The minimum ratio of operating income loss to non-operating income expense is: {min_ratio:.2f}\")'}\n", - "== tool results: [{'python_answer': 'The minimum ratio of operating income loss to non-operating income expense is: 35.36\\n'}]\n", - "The minimum ratio of operating income loss to non-operating income expense is 35.36.\n", - "GT Answer:35.360599\n", - "--------------------------------------------------\n" - ] - } - ], - "source": [ - "for qsn,val in question_dict.items():\n", - " print(f'question:{qsn}')\n", - " question = val[0]\n", - " answer = val[1]\n", - " output = cohere_agent(question, preamble, tools, verbose=True)\n", - " print(f'GT Answer:{val[1]}')\n", - " print('-'*50)" - ] - }, - { - "cell_type": "markdown", - "id": "d0200ea4", - "metadata": {}, - "source": [ - "\n", - "\n", - "# QnA over Multiple Tables \n", - "\n", - "We now make the task for the Agent more complicated, by asking it a question that answer can be computed only by retrieving relevant information from multiple tables: \n", - "\n", - "- Q: What is the ratio of the largest stockholders equity to the smallest revenue?\n", - "\n", - "As you will see below, this question can be obtained only by accessing both the balance sheet and the income statement. \n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 112, - "id": "94ccdb3c", - "metadata": {}, - "outputs": [], - "source": [ - "question_dict ={\n", - " 'q1': ['what is the ratio of the largest stockholders equity to the smallest revenue'],\n", - "}" - ] - }, - { - "cell_type": "code", - "execution_count": 113, - "id": "59ee25cd", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The largest stockholders equity value is: 134047000000.0\n", - "The smallest revenue value is: 53809000000.0\n", - "Their ratio is: 2.4911631883142227\n" - ] - } - ], - "source": [ - "# get the largest stockholders equity\n", - "x = balance_sheet['StockholdersEquity'].astype(float).max()\n", - "print(f\"The largest stockholders equity value is: {x}\")\n", - "\n", - "# get the smallest revenue\n", - "y = income_statement['RevenueFromContractWithCustomerExcludingAssessedTax'].astype(float).min()\n", - "print(f\"The smallest revenue value is: {y}\")\n", - "\n", - "# compute the ratio\n", - "ratio = x/y\n", - "print(f\"Their ratio is: {ratio}\")" - ] - }, - { - "cell_type": "code", - "execution_count": 114, - "id": "a5f58e0f", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "You are an expert who answers the user's question in complete sentences. You are working with two pandas dataframe in Python. Ensure your output is a string.\n", - "\n", - "Here is a preview of the `income_statement.csv` dataframe:\n", - "| | Unnamed: 0 | index | RevenueFromContractWithCustomerExcludingAssessedTax | CostOfGoodsAndServicesSold | GrossProfit | ResearchAndDevelopmentExpense | SellingGeneralAndAdministrativeExpense | OperatingExpenses | OperatingIncomeLoss | NonoperatingIncomeExpense | IncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterest | IncomeTaxExpenseBenefit | NetIncomeLoss | EarningsPerShareBasic | EarningsPerShareDiluted | WeightedAverageNumberOfSharesOutstandingBasic | WeightedAverageNumberOfDilutedSharesOutstanding |\n", - "|---:|-------------:|:----------------------|------------------------------------------------------:|-----------------------------:|--------------:|--------------------------------:|-----------------------------------------:|--------------------:|----------------------:|----------------------------:|----------------------------------------------------------------------------------------------:|--------------------------:|----------------:|------------------------:|--------------------------:|------------------------------------------------:|--------------------------------------------------:|\n", - "| 0 | 0 | 2017-10-01-2018-09-29 | 265595000000 | 1.63756e+11 | 101839000000 | 1.4236e+10 | 1.6705e+10 | 3.0941e+10 | 7.0898e+10 | 2.005e+09 | 7.2903e+10 | 1.3372e+10 | 59531000000 | 3 | 2.98 | 1.98215e+10 | 2.00004e+10 |\n", - "| 1 | 1 | 2018-09-30-2018-12-29 | 84310000000 | nan | 32031000000 | nan | nan | nan | nan | nan | nan | nan | 19965000000 | 1.05 | 1.05 | nan | nan |\n", - "| 2 | 2 | 2018-09-30-2019-09-28 | 260174000000 | 1.61782e+11 | 98392000000 | 1.6217e+10 | 1.8245e+10 | 3.4462e+10 | 6.393e+10 | 1.807e+09 | 6.5737e+10 | 1.0481e+10 | 55256000000 | 2.99 | 2.97 | 1.84713e+10 | 1.85957e+10 |\n", - "\n", - "Here is a preview of the `balance_sheet.csv` dataframe:\n", - "| | Unnamed: 0 | index | CashAndCashEquivalentsAtCarryingValue | MarketableSecuritiesCurrent | AccountsReceivableNetCurrent | InventoryNet | NontradeReceivablesCurrent | OtherAssetsCurrent | AssetsCurrent | MarketableSecuritiesNoncurrent | PropertyPlantAndEquipmentNet | OtherAssetsNoncurrent | AssetsNoncurrent | Assets | AccountsPayableCurrent | OtherLiabilitiesCurrent | ContractWithCustomerLiabilityCurrent | CommercialPaper | LongTermDebtCurrent | LiabilitiesCurrent | LongTermDebtNoncurrent | OtherLiabilitiesNoncurrent | LiabilitiesNoncurrent | Liabilities | CommitmentsAndContingencies | CommonStocksIncludingAdditionalPaidInCapital | RetainedEarningsAccumulatedDeficit | AccumulatedOtherComprehensiveIncomeLossNetOfTax | StockholdersEquity | LiabilitiesAndStockholdersEquity |\n", - "|---:|-------------:|:-----------|----------------------------------------:|------------------------------:|-------------------------------:|---------------:|-----------------------------:|---------------------:|----------------:|---------------------------------:|-------------------------------:|------------------------:|-------------------:|--------------:|-------------------------:|--------------------------:|---------------------------------------:|------------------:|----------------------:|---------------------:|-------------------------:|-----------------------------:|------------------------:|--------------:|------------------------------:|-----------------------------------------------:|-------------------------------------:|--------------------------------------------------:|---------------------:|-----------------------------------:|\n", - "| 0 | 0 | 2017-09-30 | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | 134047000000 | nan |\n", - "| 1 | 1 | 2018-09-29 | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | nan | 107147000000 | nan |\n", - "| 2 | 2 | 2019-09-28 | 4.8844e+10 | 5.1713e+10 | 2.2926e+10 | 4.106e+09 | 2.2878e+10 | 1.2352e+10 | 1.62819e+11 | 1.05341e+11 | 3.7378e+10 | 3.2978e+10 | 1.75697e+11 | 3.38516e+11 | 4.6236e+10 | 3.772e+10 | 5.522e+09 | 5.98e+09 | 1.026e+10 | 1.05718e+11 | 9.1807e+10 | 5.0503e+10 | 1.4231e+11 | 2.48028e+11 | 0 | 4.5174e+10 | 4.5898e+10 | -5.84e+08 | 90488000000 | 3.38516e+11 |\n", - "\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert who answers the user's question in complete sentences. You are working with two pandas dataframe in Python. Ensure your output is a string.\n", - "\n", - "Here is a preview of the `income_statement.csv` dataframe:\n", - "{table_1}\n", - "\n", - "Here is a preview of the `balance_sheet.csv` dataframe:\n", - "{table_2}\n", - "\"\"\".format(table_1=income_statement.head(3).to_markdown(),table_2=balance_sheet.head(3).to_markdown())\n", - "\n", - "\n", - "print(preamble)" - ] - }, - { - "cell_type": "code", - "execution_count": 115, - "id": "537fef10", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "question:q1\n", - "\n", - "running 0th step.\n", - "I will use the provided code to find the ratio of the largest stockholders equity to the smallest revenue.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\n# Read the CSV files into dataframes\\nincome_statement = pd.read_csv(\\'income_statement.csv\\')\\nbalance_sheet = pd.read_csv(\\'balance_sheet.csv\\')\\n\\n# Find the smallest revenue\\nsmallest_revenue = income_statement[\\'RevenueFromContractWithCustomerExcludingAssessedTax\\'].min()\\n\\n# Find the largest stockholders equity\\nlargest_stockholders_equity = balance_sheet[\\'StockholdersEquity\\'].max()\\n\\n# Calculate the ratio\\nratio = largest_stockholders_equity / smallest_revenue\\nprint(f\"The ratio of the largest stockholders equity to the smallest revenue is {ratio:.2f}\")'}\n", - "== tool results: [{'python_answer': 'The ratio of the largest stockholders equity to the smallest revenue is 2.49\\n'}]\n", - "The ratio of the largest stockholders equity to the smallest revenue is 2.49.\n" - ] - } - ], - "source": [ - "for qsn,val in question_dict.items():\n", - " print(f'question:{qsn}')\n", - " question = val[0]\n", - " output = cohere_agent(question, preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "571c8c96", - "metadata": {}, - "source": [ - "\n", - "\n", - "# Error Resilience\n", - "\n", - "In the previous example over single table, the model successfully answered your questions. However, the model may not always have access to the preview of the data. You will see that when we remove the preview from the preamble, the model is run into an error and not produce the answer. We will solve this problem with two different ways: \n", - "\n", - "1. Asking the model to keep trying until it fixes the issue. \n", - "2. Giving the model another tool to view the data and telling it to preview the data before writing code. \n", - "\n", - "You will see that the second method is able to come to the answer with fewer steps. \n" - ] - }, - { - "cell_type": "code", - "execution_count": 116, - "id": "a7940e83", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will use Python to find the highest value of 'Cost of Goods and Service' in the 'income_statement.csv' file.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Find the highest value of \\'Cost of Goods and Service\\'\\nhighest_cost = df[\\'Cost of Goods and Service\\'].max()\\n\\nprint(f\"The highest value of \\'Cost of Goods and Service\\' is: {highest_cost}\")'}\n", - "== tool results: [{'python_answer': \"KeyError('Cost of Goods and Service')\"}]\n", - "Sorry, there is no column named 'Cost of Goods and Service' in the 'income_statement.csv' file.\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `income_statement.csv`.\n", - "\"\"\"\n", - "\n", - "question1 = \"what is the highest value of cost of goods and service?\"\n", - "\n", - "output = cohere_agent(question1, preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "85189096", - "metadata": {}, - "source": [ - "As you see above, the model failed to execute because it assumed certain column names but they turned out to be wrong. One simple fix is to tell the model to continue to solve the problem in the face of error. " - ] - }, - { - "cell_type": "code", - "execution_count": 123, - "id": "2156f145", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will use Python to find the highest value of cost of goods and service.\n", - "\n", - "running 1th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Find the highest value of \\'Cost of Goods and Services\\'\\nhighest_cost = df[\\'Cost of Goods and Services\\'].max()\\n\\nprint(f\"The highest value of \\'Cost of Goods and Services\\' is: {highest_cost}\")'}\n", - "== tool results: [{'python_answer': \"KeyError('Cost of Goods and Services')\"}]\n", - "I encountered an error. I will now view the data to understand the error.\n", - "\n", - "running 2th step.\n", - "= running tool run_python_code, with parameters: {'code': \"import pandas as pd\\n\\ndf = pd.read_csv('income_statement.csv')\\n\\nprint(df.columns)\"}\n", - "== tool results: [{'python_answer': \"Index(['Unnamed: 0', 'index',\\n 'RevenueFromContractWithCustomerExcludingAssessedTax',\\n 'CostOfGoodsAndServicesSold', 'GrossProfit',\\n 'ResearchAndDevelopmentExpense',\\n 'SellingGeneralAndAdministrativeExpense', 'OperatingExpenses',\\n 'OperatingIncomeLoss', 'NonoperatingIncomeExpense',\\n 'IncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterest',\\n 'IncomeTaxExpenseBenefit', 'NetIncomeLoss', 'EarningsPerShareBasic',\\n 'EarningsPerShareDiluted',\\n 'WeightedAverageNumberOfSharesOutstandingBasic',\\n 'WeightedAverageNumberOfDilutedSharesOutstanding'],\\n dtype='object')\\n\"}]\n", - "I found that the column name is 'CostOfGoodsAndServicesSold'. I will now use this to find the highest value.\n", - "\n", - "running 3th step.\n", - "= running tool run_python_code, with parameters: {'code': 'import pandas as pd\\n\\ndf = pd.read_csv(\\'income_statement.csv\\')\\n\\n# Find the highest value of \\'Cost of Goods and Services\\'\\nhighest_cost = df[\\'CostOfGoodsAndServicesSold\\'].max()\\n\\nprint(f\"The highest value of \\'Cost of Goods and Services\\' is: {highest_cost}\")'}\n", - "== tool results: [{'python_answer': \"The highest value of 'Cost of Goods and Services' is: 169559000000.0\\n\"}]\n", - "The highest value of 'Cost of Goods and Services' is 169559000000.0.\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `income_statement.csv`.\n", - "If you run into error, keep trying until you fix it. You may need to view the data to understand the error.\n", - "\"\"\"\n", - "\n", - "question1 = \"what is the highest value of cost of goods and service?\"\n", - "\n", - "output = cohere_agent(question1, preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "6f629e56", - "metadata": {}, - "source": [ - "\n", - "\n", - "### Add Viewing Tool " - ] - }, - { - "cell_type": "markdown", - "id": "d74ff2d9", - "metadata": {}, - "source": [ - "What if we directly give the model the ability to view the data as a tool so that it can explicitly use it instead of indirectly figuring it out? " - ] - }, - { - "cell_type": "code", - "execution_count": 119, - "id": "e8ac7156", - "metadata": {}, - "outputs": [], - "source": [ - "def view_csv_data(path: str) -> dict:\n", - " \"\"\"\n", - " Function to view the head, tail and shape of a given csv file.\n", - " \"\"\"\n", - " df = pd.read_csv(path)\n", - "\n", - " return {\n", - " \"head\": df.head().to_string(),\n", - " \"tail\": df.tail().to_string(),\n", - " \"shape\": str(df.shape),\n", - " }\n", - "\n", - "functions_map = {\n", - " \"run_python_code\": run_python_code,\n", - " \"view_csv_data\": view_csv_data\n", - "}\n", - "\n", - "tools = [\n", - " {\n", - " \"name\": \"run_python_code\",\n", - " \"description\": \"given a python code, runs it\",\n", - " \"parameter_definitions\": {\n", - " \"code\": {\n", - " \"description\": \"executable python code\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " },\n", - " {\n", - " \"name\": \"view_csv_data\",\n", - " \"description\": \"give path to csv data and get head, tail and shape of the data\",\n", - " \"parameter_definitions\": {\n", - " \"path\": {\n", - " \"description\": \"path to csv\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " },\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 120, - "id": "acb59e56", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running 0th step.\n", - "I will first view the data and then write and execute Python code to find the highest value of cost of goods and service.\n", - "\n", - "running 1th step.\n", - "= running tool view_csv_data, with parameters: {'path': 'income_statement.csv'}\n", - "== tool results: [{'head': ' Unnamed: 0 index RevenueFromContractWithCustomerExcludingAssessedTax CostOfGoodsAndServicesSold GrossProfit ResearchAndDevelopmentExpense SellingGeneralAndAdministrativeExpense OperatingExpenses OperatingIncomeLoss NonoperatingIncomeExpense IncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterest IncomeTaxExpenseBenefit NetIncomeLoss EarningsPerShareBasic EarningsPerShareDiluted WeightedAverageNumberOfSharesOutstandingBasic WeightedAverageNumberOfDilutedSharesOutstanding\\n0 0 2017-10-01-2018-09-29 265595000000 1.637560e+11 101839000000 1.423600e+10 1.670500e+10 3.094100e+10 7.089800e+10 2.005000e+09 7.290300e+10 1.337200e+10 59531000000 3.00 2.98 1.982151e+10 2.000044e+10\\n1 1 2018-09-30-2018-12-29 84310000000 NaN 32031000000 NaN NaN NaN NaN NaN NaN NaN 19965000000 1.05 1.05 NaN NaN\\n2 2 2018-09-30-2019-09-28 260174000000 1.617820e+11 98392000000 1.621700e+10 1.824500e+10 3.446200e+10 6.393000e+10 1.807000e+09 6.573700e+10 1.048100e+10 55256000000 2.99 2.97 1.847134e+10 1.859565e+10\\n3 3 2018-12-30-2019-03-30 58015000000 NaN 21821000000 NaN NaN NaN NaN NaN NaN NaN 11561000000 0.62 0.61 NaN NaN\\n4 4 2019-03-31-2019-06-29 53809000000 NaN 20227000000 NaN NaN NaN NaN NaN NaN NaN 10044000000 0.55 0.55 NaN NaN', 'tail': ' Unnamed: 0 index RevenueFromContractWithCustomerExcludingAssessedTax CostOfGoodsAndServicesSold GrossProfit ResearchAndDevelopmentExpense SellingGeneralAndAdministrativeExpense OperatingExpenses OperatingIncomeLoss NonoperatingIncomeExpense IncomeLossFromContinuingOperationsBeforeIncomeTaxesExtraordinaryItemsNoncontrollingInterest IncomeTaxExpenseBenefit NetIncomeLoss EarningsPerShareBasic EarningsPerShareDiluted WeightedAverageNumberOfSharesOutstandingBasic WeightedAverageNumberOfDilutedSharesOutstanding\\n6 6 2019-09-29-2019-12-28 91819000000 NaN 35217000000 NaN NaN NaN NaN NaN NaN NaN 22236000000 1.26 1.25 NaN NaN\\n7 7 2019-09-29-2020-09-26 274515000000 1.695590e+11 104956000000 1.875200e+10 1.991600e+10 3.866800e+10 6.628800e+10 803000000.0 6.709100e+10 9.680000e+09 57411000000 3.31 3.28 1.735212e+10 1.752821e+10\\n8 8 2019-12-29-2020-03-28 58313000000 NaN 22370000000 NaN NaN NaN NaN NaN NaN NaN 11249000000 0.64 0.64 NaN NaN\\n9 9 2020-03-29-2020-06-27 59685000000 NaN 22680000000 NaN NaN NaN NaN NaN NaN NaN 11253000000 0.65 0.65 NaN NaN\\n10 10 2020-06-28-2020-09-26 64698000000 NaN 24689000000 NaN NaN NaN NaN NaN NaN NaN 12673000000 0.74 0.73 NaN NaN', 'shape': '(11, 17)'}]\n", - "The column name is 'CostOfGoodsAndServicesSold'. I will now write and execute Python code to find the highest value in this column.\n", - "\n", - "running 2th step.\n", - "= running tool run_python_code, with parameters: {'code': \"import pandas as pd\\n\\ndf = pd.read_csv('income_statement.csv')\\n\\nprint(df['CostOfGoodsAndServicesSold'].max())\"}\n", - "== tool results: [{'python_answer': '169559000000.0\\n'}]\n", - "The highest value of cost of goods and services is 169559000000.0.\n" - ] - } - ], - "source": [ - "preamble = \"\"\"\n", - "You are an expert who answers the user's question. You are working with a pandas dataframe in Python. The name of the dataframe is `income_statement.csv`.\n", - "Always view the data first to write flawless code.\n", - "\"\"\"\n", - "\n", - "question1 = \"what is the highest value of cost of goods and service?\"\n", - "\n", - "output = cohere_agent(question1, preamble, tools, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "105cf4ee", - "metadata": {}, - "source": [ - "By being prescriptive, we were able to cut down a step and get to the answer faster. " - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/hr_assistant/agent.ipynb b/notebooks/agents/hr_assistant/agent.ipynb index 9fbd9240..f1f582d4 100644 --- a/notebooks/agents/hr_assistant/agent.ipynb +++ b/notebooks/agents/hr_assistant/agent.ipynb @@ -1,565 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "source": [ - "# Case Study: Agentic Chatbots for HR Applications\n", - "\n", - "In this cookbook, we will demonstrate an implementation of an agentic chatbot that facilitates HR operations at a fictionalized version of Cohere. Through its tools, the chatbot is able to retrieve and act upon relevant information and fetch data from external data sources (such as a database or API)." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Getting Started\n", - "\n", - "Let's start by setting up our imports and tool definitions. We provide our agent with mock data on Cohere employees, along with these tools:\n", - "\n", - "- `python_tool`: allow the model to write and execute Python code\n", - "- `get_info_employee`: fetch information regarding a (mock) Cohere employee from a database\n", - "- `search_cohere_policies`: fetch relevant documents about Cohere's HR policies\n", - "- `get_employee_timezone`: fetch current UTC offset for a location\n", - "- `internet_search`: browse the internet to find relevant information for the query\n", - "- `get_template`: get the template for a specific kind of communication, such as offer to a candidate, refusal, etc.\n", - "\n", - "To simplify the code below, we keep the definitions of these tools in the `tools.py` file. For this cookbook, you will also need two API keys: one from Cohere, and one from [Tavily](https://tavily.com/) to allow the model to search the internet. You can create a `.env` file in this directory to store these." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "outputs": [], - "source": [ - "# Uncomment if you need to install the following packages\n", - "#!pip install --quiet langchain langchain_cohere langchain_experimental --upgrade" - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "collapsed": true - }, - "outputs": [ - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 1, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import os\n", - "\n", - "from dotenv import load_dotenv\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain.agents import AgentExecutor\n", - "from langchain_core.messages.ai import AIMessage\n", - "from langchain_core.messages.human import HumanMessage\n", - "\n", - "from tools import python_tool, get_info_employee, search_cohere_policies, get_location_utc_offset, internet_search, get_template \n", - "\n", - "load_dotenv()" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# API Keys\n", - "cohere_api_key = os.getenv(\"CO_API_KEY\")" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false - }, - "outputs": [], - "source": [ - "# define the tools\n", - "TOOLS = [python_tool, get_info_employee, search_cohere_policies, get_location_utc_offset, internet_search, get_template]" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false - }, - "outputs": [], - "source": [ - "# define the premable with the relevant information about the tools and the task\n", - "PREAMBLE = \"\"\"## Task And Context\n", - "You use your advanced complex reasoning capabilities to help people by answering their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You should focus on serving the user's needs as best you can, which will be wide-ranging. \n", - "\n", - "You will be equipped with various tools to help you generate responses. You may need to use multiple tools in parallel or sequentially to complete your task. Think step-by-step.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\n", - "## Additional Context\n", - "You are serving as an interface between a user and multiple data sources related to Human Resources at Cohere. You answer the user's question using the tools you have access to. \n", - "\n", - "The conversation will happen in a chat setting, so user queries may depend on previous context. Try to use previous context wherever possible, rather than recomputing answers.\n", - "\"\"\"\n", - "\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "collapsed": false - }, - "outputs": [], - "source": [ - "# Define the agent\n", - "llm = ChatCohere(model=\"command-r-plus\", temperature=0, cohere_api_key=cohere_api_key)\n", - "\n", - "# instantiate agent and agent executor\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=TOOLS,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=TOOLS,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "collapsed": false - }, - "outputs": [], - "source": [ - "# initialize empty chat history\n", - "chat_history = []\n", - "\n", - "# functions to converse with the Agent\n", - "def update_chat_history(chat_history, query, answer):\n", - " chat_history.append(HumanMessage(content=query))\n", - " chat_history.append(AIMessage(content=answer['output']))\n", - " return chat_history\n", - "\n", - "def run_agent(query, chat_history, preamble=PREAMBLE):\n", - " answer = agent_executor.invoke(\n", - " {\n", - " \"input\": query,\n", - " \"preamble\": preamble,\n", - " \"chat_history\": chat_history\n", - " }\n", - " )\n", - " chat_history = update_chat_history(chat_history, query, answer)\n", - "\n", - " return answer, chat_history" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "collapsed": false - }, - "source": [ - "## Basic Prompts\n", - "\n", - "We are now ready to run some basic queries. These initial queries do not depend on prior chat history, but require the usage of several tools." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "outputs": [], - "source": [ - "q0 = \"Generate an offer for the role of Machine Learning Engineer for the candidate Ines Villa, with starting date September 1st 2024, salary $100000 annually, and location in New York.\"\n", - "\n", - "a0, chat_history = run_agent(q0, chat_history)" - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "markdown", - "source": [ - "In the first example, the Agent uses the \"get_template\" tool to load the relevant template, and fills it with the relevant information provided by the user." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will search for the number of days off Cohere employees have.\n", - "{'tool_name': 'search_cohere_policies', 'parameters': {'query': 'number of days off'}}\n", - "\u001B[0m\u001B[38;5;200m\u001B[1;3mAt Cohere, every employee has 30 days off per year.\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Every Cohere employee has 30 days off per year.\n", - "Grounded answer: Every Cohere employee has 30 days off per year.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q1 = \"how many days off do Cohere employees have?\"\n", - "a1, chat_history = run_agent(q1, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "In the second example, the Agent uses \"search_cohere_policies\" to perform a RAG task, and answer the user's question based on the retrieved information." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will search for the number of days off Aaron has used.\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Aaron'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Aaron', 'used_days_off': 12, 'location': 'London', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Aaron has used 12 days off.\n", - "Grounded answer: Aaron has used 12 days off.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q2 = \"how many days off did Aaron use?\"\n", - "a2, chat_history = run_agent(q2, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "In the third example, the Agent uses the \"get_info_employee\" tool to retrieve information from a csv, and then generates the answers." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "markdown", - "source": [ - "As we have seen, in the examples above the Agent receives the input query and selects the most appropriate tool ('get_template', 'search_cohere_policies' and 'get_info_employee') to generate the answer." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## History-dependent Queries\n", - "\n", - "We will now see how the Agent can engage in a conversations, answering queries based on the prior chat history. However, the usage pattern is exactly the same as before!" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will use the 'get_info_employee' tool to find out how many days off Aaron has used, and then calculate how many days off he has left.\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Aaron'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Aaron', 'used_days_off': 12, 'location': 'London', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Aaron has 18 days off left.\n", - "Grounded answer: Aaron has 18 days off left.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q3 = \"how many days off does he have left?\"\n", - "\n", - "a3, chat_history = run_agent(q3, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "In order to understand q3, the Agent needs to remember who we are talking about - Aaron." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will search for the number of days off Harper has used, then subtract that from the total number of days off to calculate how many days off Harper has left.\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Harper'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Harper', 'used_days_off': 7, 'location': 'Singapore', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Harper has 23 days off left.\n", - "Grounded answer: Harper has 23 days off left.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q4 = \"And Harper?\"\n", - "\n", - "a4, chat_history = run_agent(q4, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "To answer q4, the Agent needs to remember what is the current topic, namely, the number of days off left for a specific employee." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will search for Harper's location using the 'get_info_employee' tool.\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Harper'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Harper', 'used_days_off': 7, 'location': 'Singapore', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Harper is based in Singapore.\n", - "Grounded answer: Harper is based in Singapore.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q5 = \"Where is he based?\"\n", - "\n", - "a5, chat_history = run_agent(q5, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "Again, the Agent here needs to solve the anaphora (\"he\") selecting the correct referent (\"Harper\")." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will first find out where Aaron is based, then I will use the 'get_employee_timezone' tool to find the time difference between Harper and Aaron.\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Aaron'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Aaron', 'used_days_off': 12, 'location': 'London', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3m\n", - "Aaron is based in London. Now I will use the 'get_employee_timezone' tool to find the time difference between Harper and Aaron.\n", - "{'tool_name': 'get_employee_timezone', 'parameters': {'city': 'Singapore'}}\n", - "\u001B[0m\u001B[36;1m\u001B[1;3m8.0\u001B[0m\u001B[32;1m\u001B[1;3m\n", - "{'tool_name': 'get_employee_timezone', 'parameters': {'city': 'London'}}\n", - "\u001B[0m\u001B[36;1m\u001B[1;3m1.0\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0,1,2\n", - "Cited Documents: 0,1,2\n", - "Answer: There is a 7-hour time difference between Harper and Aaron.\n", - "Grounded answer: There is a 7-hour time difference between Harper and Aaron.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q6 = \"What's the time difference between Harper and Aaron?\"\n", - "\n", - "a6, chat_history = run_agent(q6, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "Here, the Agent has to perform multiple tool calls, to get the final answer." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001B[1m> Entering new AgentExecutor chain...\u001B[0m\n", - "\u001B[32;1m\u001B[1;3m\n", - "I will search for the locations of Harper and Aaron and then use an online distance calculator to find out how far apart they are.\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Harper'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Harper', 'used_days_off': 7, 'location': 'Singapore', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3m\n", - "{'tool_name': 'get_info_employee', 'parameters': {'employee': 'Aaron'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m{'name': 'Aaron', 'used_days_off': 12, 'location': 'London', 'role': 'ML eng'}\u001B[0m\u001B[32;1m\u001B[1;3m\n", - "Harper is based in Singapore and Aaron is based in London. Now I will use an online distance calculator to find out how far apart they are.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'distance between singapore and london'}}\n", - "\u001B[0m\u001B[33;1m\u001B[1;3m[{'url': 'https://www.rome2rio.com/s/Singapore/London', 'content': 'The distance between Singapore and London is 6828 miles. How long does it take to get from Singapore to London? It takes approximately 16h 24m to get from Singapore to London, including transfers.'}, {'url': 'https://www.travelmath.com/distance/from/Singapore/to/London,+United+Kingdom', 'content': 'The total straight line flight distance from Singapore to London, United Kingdom is 6,742 miles. This is equivalent to 10 850 kilometers or 5,858 nautical miles. Your trip begins in Singapore. It ends in London, United Kingdom. Your flight direction from Singapore to London, United Kingdom is Northwest (-38 degrees from North).'}, {'url': 'https://www.rome2rio.com/s/London/Singapore', 'content': 'The cheapest way to get from London to Singapore costs only $513, and the quickest way takes just 16 hours. Find the travel option that best suits you. Rome2Rio. ... The distance between London and Singapore is 10925 km. How long does it take to get from London to Singapore?'}, {'url': 'https://www.rome2rio.com/s/London/Singapore-Country', 'content': 'The distance between London and Singapore is 10915 km. How long does it take to get from London to Singapore? It takes approximately 15h 40m to get from London to Singapore, including transfers.'}, {'url': 'https://www.distance.to/London/Singapore', 'content': 'Distance: 6,738.95 mi (10,845.29 km) The shortest distance (air line) between London and Singapore is 6,738.95 mi (10,845.29 km). Driving route: -- (- ) The shortest route between London and Singapore is according to the route planner. The driving time is approx. . Half of the trip is reached in . Time difference: 7h'}]\u001B[0m\u001B[32;1m\u001B[1;3mRelevant Documents: 0,1,2,3,4,5,6\n", - "Cited Documents: 0,1,2,3,4,5,6\n", - "Answer: Harper is based in Singapore and Aaron is based in London. The distance between Singapore and London is approximately 6,742 miles or 10,845 kilometres.\n", - "Grounded answer: Harper is based in Singapore and Aaron is based in London. The distance between Singapore and London is approximately 6,742 miles or 10,845 kilometres.\u001B[0m\n", - "\n", - "\u001B[1m> Finished chain.\u001B[0m\n" - ] - } - ], - "source": [ - "q7 = \"How far apart are Harper and Aaron?\"\n", - "\n", - "a7, chat_history = run_agent(q7, chat_history)" - ] - }, - { - "cell_type": "markdown", - "source": [ - "In the last example, the Agent has to use even more tools, to get the final answer." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "markdown", - "source": [ - "# Conclusions\n", - "In this notebook, we have seen how the Agent is able to select the right tools to answer users's question, leverage the information shared with the user during the conversations to answer follow-up question, and deal with complex queries which require using several tools." - ], - "metadata": { - "collapsed": false - } - }, - { - "cell_type": "code", - "execution_count": null, - "outputs": [], - "source": [], - "metadata": { - "collapsed": false - } - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.2" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agent.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/i-5O-sql-agent/sql_agent_demo.ipynb b/notebooks/agents/i-5O-sql-agent/sql_agent_demo.ipynb index e8402b26..e4cb38d7 100644 --- a/notebooks/agents/i-5O-sql-agent/sql_agent_demo.ipynb +++ b/notebooks/agents/i-5O-sql-agent/sql_agent_demo.ipynb @@ -1,1234 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "iqA_uIS_yTQh" - }, - "source": [ - "# SQL Agent Demo with Cohere and LangChain\n", - "\n", - "This tutorial demonstrates how to create a SQL agent using Cohere and LangChain. The agent can translate natural language queries coming from users into SQL, and execute them against a database. This powerful combination allows for intuitive interaction with databases without requiring direct SQL knowledge.\n", - "\n", - "Key topics covered:\n", - "1. Setting up the necessary libraries and environment\n", - "2. Connecting to a SQLite database\n", - "3. Configuring the LangChain SQL Toolkit\n", - "4. Creating a custom prompt template with few-shot examples\n", - "5. Building and running the SQL agent\n", - "\n", - "By the end of this tutorial, you'll have a functional SQL agent that can answer questions about your data using natural language.\n", - "\n", - "\n", - "This tutorial uses a mocked up data of a manufacturing environment where a product item's production is tracked across multiple stations, allowing for analysis of production efficiency, station performance, and individual item progress through the manufacturing process. This is modelled after a real customer use case.\n", - "\n", - "The database contains two tables:\n", - "- The `product_tracking` table records the movement of items through different zones in manufacturing stations, including start and end times, station names, and product IDs.\n", - "- The `status` table logs the operational status of stations, including timestamps, station names, and whether they are productive or in downtime.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "_CzR44NcyTQj" - }, - "source": [ - "**Table of contents** \n", - "- [Import the required libraries](#toc1_1_) \n", - "- [Load the database](#toc1_2_) \n", - "- [Setup the LangChain SQL Toolkit](#toc1_3_) \n", - "- [Create a prompt template with few shot examples](#toc1_4_) \n", - "- [Create the agent](#toc1_5_) \n", - "- [Run the agent](#toc1_6_) \n", - "\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yyyBqMYvyTQj" - }, - "source": [ - "## [Import the required libraries](#toc0_)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "OvpMUeiCyTQk" - }, - "source": [ - "First, let's import the necessary libraries for creating a SQL agent using Cohere and LangChain. These libraries enable natural language interaction with databases and provide tools for building AI-powered agents.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "zubD6E1Ty5X5" - }, - "outputs": [], - "source": [ - "import os\n", - "\n", - "os.environ[\"COHERE_API_KEY\"] = \"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "66IzK-H-zH8u", - "outputId": "d9d1bb14-4a46-44d2-b1a6-f734c105f28f" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m85.5/85.5 MB\u001b[0m \u001b[31m8.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ], - "source": [ - "! pip install faiss-gpu -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "r0IG_1Ecyaqv", - "outputId": "51375ba8-bec3-4362-8399-b528e67f36e2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Collecting langchain-core\n", - " Downloading langchain_core-0.3.10-py3-none-any.whl.metadata (6.3 kB)\n", - "Collecting langchain-cohere\n", - " Downloading langchain_cohere-0.3.0-py3-none-any.whl.metadata (6.7 kB)\n", - "Collecting langchain-community\n", - " Downloading langchain_community-0.3.2-py3-none-any.whl.metadata (2.8 kB)\n", - "Requirement already satisfied: PyYAML>=5.3 in /usr/local/lib/python3.10/dist-packages (from langchain-core) (6.0.2)\n", - "Collecting jsonpatch<2.0,>=1.33 (from langchain-core)\n", - " Downloading jsonpatch-1.33-py2.py3-none-any.whl.metadata (3.0 kB)\n", - "Collecting langsmith<0.2.0,>=0.1.125 (from langchain-core)\n", - " Downloading langsmith-0.1.133-py3-none-any.whl.metadata (13 kB)\n", - "Requirement already satisfied: packaging<25,>=23.2 in /usr/local/lib/python3.10/dist-packages (from langchain-core) (24.1)\n", - "Requirement already satisfied: pydantic<3.0.0,>=2.5.2 in /usr/local/lib/python3.10/dist-packages (from langchain-core) (2.9.2)\n", - "Collecting tenacity!=8.4.0,<9.0.0,>=8.1.0 (from langchain-core)\n", - " Downloading tenacity-8.5.0-py3-none-any.whl.metadata (1.2 kB)\n", - "Requirement already satisfied: typing-extensions>=4.7 in /usr/local/lib/python3.10/dist-packages (from langchain-core) (4.12.2)\n", - "Collecting cohere<6.0,>=5.5.6 (from langchain-cohere)\n", - " Downloading cohere-5.11.0-py3-none-any.whl.metadata (3.4 kB)\n", - "Collecting langchain-experimental>=0.3.0 (from langchain-cohere)\n", - " Downloading langchain_experimental-0.3.2-py3-none-any.whl.metadata (1.7 kB)\n", - "Requirement already satisfied: pandas>=1.4.3 in /usr/local/lib/python3.10/dist-packages (from langchain-cohere) (2.2.2)\n", - "Requirement already satisfied: tabulate<0.10.0,>=0.9.0 in /usr/local/lib/python3.10/dist-packages (from langchain-cohere) (0.9.0)\n", - "Requirement already satisfied: SQLAlchemy<3,>=1.4 in /usr/local/lib/python3.10/dist-packages (from langchain-community) (2.0.35)\n", - "Requirement already satisfied: aiohttp<4.0.0,>=3.8.3 in /usr/local/lib/python3.10/dist-packages (from langchain-community) (3.10.8)\n", - "Collecting dataclasses-json<0.7,>=0.5.7 (from langchain-community)\n", - " Downloading dataclasses_json-0.6.7-py3-none-any.whl.metadata (25 kB)\n", - "Collecting langchain<0.4.0,>=0.3.3 (from langchain-community)\n", - " Downloading langchain-0.3.3-py3-none-any.whl.metadata (7.1 kB)\n", - "Requirement already satisfied: numpy<2,>=1 in /usr/local/lib/python3.10/dist-packages (from langchain-community) (1.26.4)\n", - "Collecting pydantic-settings<3.0.0,>=2.4.0 (from langchain-community)\n", - " Downloading pydantic_settings-2.5.2-py3-none-any.whl.metadata (3.5 kB)\n", - "Requirement already satisfied: requests<3,>=2 in /usr/local/lib/python3.10/dist-packages (from langchain-community) (2.32.3)\n", - "Requirement already satisfied: aiohappyeyeballs>=2.3.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (2.4.3)\n", - "Requirement already satisfied: aiosignal>=1.1.2 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (1.3.1)\n", - "Requirement already satisfied: attrs>=17.3.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (24.2.0)\n", - "Requirement already satisfied: frozenlist>=1.1.1 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (1.4.1)\n", - "Requirement already satisfied: multidict<7.0,>=4.5 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (6.1.0)\n", - "Requirement already satisfied: yarl<2.0,>=1.12.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (1.13.1)\n", - "Requirement already satisfied: async-timeout<5.0,>=4.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain-community) (4.0.3)\n", - "Collecting boto3<2.0.0,>=1.34.0 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading boto3-1.35.37-py3-none-any.whl.metadata (6.7 kB)\n", - "Collecting fastavro<2.0.0,>=1.9.4 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading fastavro-1.9.7-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (5.5 kB)\n", - "Collecting httpx>=0.21.2 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading httpx-0.27.2-py3-none-any.whl.metadata (7.1 kB)\n", - "Collecting httpx-sse==0.4.0 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading httpx_sse-0.4.0-py3-none-any.whl.metadata (9.0 kB)\n", - "Collecting parameterized<0.10.0,>=0.9.0 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading parameterized-0.9.0-py2.py3-none-any.whl.metadata (18 kB)\n", - "Requirement already satisfied: pydantic-core<3.0.0,>=2.18.2 in /usr/local/lib/python3.10/dist-packages (from cohere<6.0,>=5.5.6->langchain-cohere) (2.23.4)\n", - "Collecting sagemaker<3.0.0,>=2.232.1 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading sagemaker-2.232.2-py3-none-any.whl.metadata (16 kB)\n", - "Requirement already satisfied: tokenizers<1,>=0.15 in /usr/local/lib/python3.10/dist-packages (from cohere<6.0,>=5.5.6->langchain-cohere) (0.19.1)\n", - "Collecting types-requests<3.0.0,>=2.0.0 (from cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading types_requests-2.32.0.20240914-py3-none-any.whl.metadata (1.9 kB)\n", - "Collecting marshmallow<4.0.0,>=3.18.0 (from dataclasses-json<0.7,>=0.5.7->langchain-community)\n", - " Downloading marshmallow-3.22.0-py3-none-any.whl.metadata (7.2 kB)\n", - "Collecting typing-inspect<1,>=0.4.0 (from dataclasses-json<0.7,>=0.5.7->langchain-community)\n", - " Downloading typing_inspect-0.9.0-py3-none-any.whl.metadata (1.5 kB)\n", - "Collecting jsonpointer>=1.9 (from jsonpatch<2.0,>=1.33->langchain-core)\n", - " Downloading jsonpointer-3.0.0-py2.py3-none-any.whl.metadata (2.3 kB)\n", - "Collecting langchain-text-splitters<0.4.0,>=0.3.0 (from langchain<0.4.0,>=0.3.3->langchain-community)\n", - " Downloading langchain_text_splitters-0.3.0-py3-none-any.whl.metadata (2.3 kB)\n", - "Collecting orjson<4.0.0,>=3.9.14 (from langsmith<0.2.0,>=0.1.125->langchain-core)\n", - " Downloading orjson-3.10.7-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl.metadata (50 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m50.4/50.4 kB\u001b[0m \u001b[31m3.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hCollecting requests-toolbelt<2.0.0,>=1.0.0 (from langsmith<0.2.0,>=0.1.125->langchain-core)\n", - " Downloading requests_toolbelt-1.0.0-py2.py3-none-any.whl.metadata (14 kB)\n", - "Requirement already satisfied: python-dateutil>=2.8.2 in /usr/local/lib/python3.10/dist-packages (from pandas>=1.4.3->langchain-cohere) (2.8.2)\n", - "Requirement already satisfied: pytz>=2020.1 in /usr/local/lib/python3.10/dist-packages (from pandas>=1.4.3->langchain-cohere) (2024.2)\n", - "Requirement already satisfied: tzdata>=2022.7 in /usr/local/lib/python3.10/dist-packages (from pandas>=1.4.3->langchain-cohere) (2024.2)\n", - "Requirement already satisfied: annotated-types>=0.6.0 in /usr/local/lib/python3.10/dist-packages (from pydantic<3.0.0,>=2.5.2->langchain-core) (0.7.0)\n", - "Collecting python-dotenv>=0.21.0 (from pydantic-settings<3.0.0,>=2.4.0->langchain-community)\n", - " Downloading python_dotenv-1.0.1-py3-none-any.whl.metadata (23 kB)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain-community) (3.3.2)\n", - "Requirement already satisfied: idna<4,>=2.5 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain-community) (3.10)\n", - "Requirement already satisfied: urllib3<3,>=1.21.1 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain-community) (2.2.3)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain-community) (2024.8.30)\n", - "Requirement already satisfied: greenlet!=0.4.17 in /usr/local/lib/python3.10/dist-packages (from SQLAlchemy<3,>=1.4->langchain-community) (3.1.1)\n", - "Collecting botocore<1.36.0,>=1.35.37 (from boto3<2.0.0,>=1.34.0->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading botocore-1.35.37-py3-none-any.whl.metadata (5.7 kB)\n", - "Collecting jmespath<2.0.0,>=0.7.1 (from boto3<2.0.0,>=1.34.0->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading jmespath-1.0.1-py3-none-any.whl.metadata (7.6 kB)\n", - "Collecting s3transfer<0.11.0,>=0.10.0 (from boto3<2.0.0,>=1.34.0->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading s3transfer-0.10.3-py3-none-any.whl.metadata (1.7 kB)\n", - "Requirement already satisfied: anyio in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere<6.0,>=5.5.6->langchain-cohere) (3.7.1)\n", - "Collecting httpcore==1.* (from httpx>=0.21.2->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading httpcore-1.0.6-py3-none-any.whl.metadata (21 kB)\n", - "Requirement already satisfied: sniffio in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere<6.0,>=5.5.6->langchain-cohere) (1.3.1)\n", - "Collecting h11<0.15,>=0.13 (from httpcore==1.*->httpx>=0.21.2->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading h11-0.14.0-py3-none-any.whl.metadata (8.2 kB)\n", - "Requirement already satisfied: six>=1.5 in /usr/local/lib/python3.10/dist-packages (from python-dateutil>=2.8.2->pandas>=1.4.3->langchain-cohere) (1.16.0)\n", - "Collecting attrs>=17.3.0 (from aiohttp<4.0.0,>=3.8.3->langchain-community)\n", - " Downloading attrs-23.2.0-py3-none-any.whl.metadata (9.5 kB)\n", - "Requirement already satisfied: cloudpickle==2.2.1 in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2.2.1)\n", - "Collecting docker (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading docker-7.1.0-py3-none-any.whl.metadata (3.8 kB)\n", - "Requirement already satisfied: google-pasta in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.2.0)\n", - "Collecting importlib-metadata<7.0,>=1.4.0 (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading importlib_metadata-6.11.0-py3-none-any.whl.metadata (4.9 kB)\n", - "Requirement already satisfied: jsonschema in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (4.23.0)\n", - "Collecting pathos (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading pathos-0.3.3-py3-none-any.whl.metadata (11 kB)\n", - "Requirement already satisfied: platformdirs in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (4.3.6)\n", - "Requirement already satisfied: protobuf<5.0,>=3.12 in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.20.3)\n", - "Requirement already satisfied: psutil in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (5.9.5)\n", - "Collecting sagemaker-core<2.0.0,>=1.0.0 (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading sagemaker_core-1.0.10-py3-none-any.whl.metadata (4.9 kB)\n", - "Collecting sagemaker-mlflow (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading sagemaker_mlflow-0.1.0-py3-none-any.whl.metadata (3.3 kB)\n", - "Collecting schema (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading schema-0.7.7-py2.py3-none-any.whl.metadata (34 kB)\n", - "Collecting smdebug-rulesconfig==1.0.1 (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading smdebug_rulesconfig-1.0.1-py2.py3-none-any.whl.metadata (943 bytes)\n", - "Requirement already satisfied: tblib<4,>=1.7.0 in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.0.0)\n", - "Requirement already satisfied: tqdm in /usr/local/lib/python3.10/dist-packages (from sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (4.66.5)\n", - "Requirement already satisfied: huggingface-hub<1.0,>=0.16.4 in /usr/local/lib/python3.10/dist-packages (from tokenizers<1,>=0.15->cohere<6.0,>=5.5.6->langchain-cohere) (0.24.7)\n", - "Collecting mypy-extensions>=0.3.0 (from typing-inspect<1,>=0.4.0->dataclasses-json<0.7,>=0.5.7->langchain-community)\n", - " Downloading mypy_extensions-1.0.0-py3-none-any.whl.metadata (1.1 kB)\n", - "Requirement already satisfied: filelock in /usr/local/lib/python3.10/dist-packages (from huggingface-hub<1.0,>=0.16.4->tokenizers<1,>=0.15->cohere<6.0,>=5.5.6->langchain-cohere) (3.16.1)\n", - "Requirement already satisfied: fsspec>=2023.5.0 in /usr/local/lib/python3.10/dist-packages (from huggingface-hub<1.0,>=0.16.4->tokenizers<1,>=0.15->cohere<6.0,>=5.5.6->langchain-cohere) (2024.6.1)\n", - "Requirement already satisfied: zipp>=0.5 in /usr/local/lib/python3.10/dist-packages (from importlib-metadata<7.0,>=1.4.0->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.20.2)\n", - "Requirement already satisfied: rich<14.0.0,>=13.0.0 in /usr/local/lib/python3.10/dist-packages (from sagemaker-core<2.0.0,>=1.0.0->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (13.9.1)\n", - "Collecting mock<5.0,>4.0 (from sagemaker-core<2.0.0,>=1.0.0->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading mock-4.0.3-py3-none-any.whl.metadata (2.8 kB)\n", - "Requirement already satisfied: jsonschema-specifications>=2023.03.6 in /usr/local/lib/python3.10/dist-packages (from jsonschema->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2023.12.1)\n", - "Requirement already satisfied: referencing>=0.28.4 in /usr/local/lib/python3.10/dist-packages (from jsonschema->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.35.1)\n", - "Requirement already satisfied: rpds-py>=0.7.1 in /usr/local/lib/python3.10/dist-packages (from jsonschema->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.20.0)\n", - "Requirement already satisfied: exceptiongroup in /usr/local/lib/python3.10/dist-packages (from anyio->httpx>=0.21.2->cohere<6.0,>=5.5.6->langchain-cohere) (1.2.2)\n", - "Collecting ppft>=1.7.6.9 (from pathos->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading ppft-1.7.6.9-py3-none-any.whl.metadata (12 kB)\n", - "Collecting dill>=0.3.9 (from pathos->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading dill-0.3.9-py3-none-any.whl.metadata (10 kB)\n", - "Collecting pox>=0.3.5 (from pathos->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading pox-0.3.5-py3-none-any.whl.metadata (8.0 kB)\n", - "Collecting multiprocess>=0.70.17 (from pathos->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading multiprocess-0.70.17-py310-none-any.whl.metadata (7.2 kB)\n", - "Collecting mlflow>=2.8 (from sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading mlflow-2.16.2-py3-none-any.whl.metadata (29 kB)\n", - "Collecting mlflow-skinny==2.16.2 (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading mlflow_skinny-2.16.2-py3-none-any.whl.metadata (30 kB)\n", - "Requirement already satisfied: Flask<4 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2.2.5)\n", - "Collecting alembic!=1.10.0,<2 (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading alembic-1.13.3-py3-none-any.whl.metadata (7.4 kB)\n", - "Collecting graphene<4 (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading graphene-3.3-py2.py3-none-any.whl.metadata (7.7 kB)\n", - "Requirement already satisfied: markdown<4,>=3.3 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.7)\n", - "Requirement already satisfied: matplotlib<4 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.7.1)\n", - "Requirement already satisfied: pyarrow<18,>=4.0.0 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (16.1.0)\n", - "Requirement already satisfied: scikit-learn<2 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.5.2)\n", - "Requirement already satisfied: scipy<2 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.13.1)\n", - "Requirement already satisfied: Jinja2<4,>=2.11 in /usr/local/lib/python3.10/dist-packages (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.1.4)\n", - "Collecting gunicorn<24 (from mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading gunicorn-23.0.0-py3-none-any.whl.metadata (4.4 kB)\n", - "Requirement already satisfied: cachetools<6,>=5.0.0 in /usr/local/lib/python3.10/dist-packages (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (5.5.0)\n", - "Requirement already satisfied: click<9,>=7.0 in /usr/local/lib/python3.10/dist-packages (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (8.1.7)\n", - "Collecting databricks-sdk<1,>=0.20.0 (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading databricks_sdk-0.34.0-py3-none-any.whl.metadata (37 kB)\n", - "Collecting gitpython<4,>=3.1.9 (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading GitPython-3.1.43-py3-none-any.whl.metadata (13 kB)\n", - "Requirement already satisfied: opentelemetry-api<3,>=1.9.0 in /usr/local/lib/python3.10/dist-packages (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.27.0)\n", - "Requirement already satisfied: opentelemetry-sdk<3,>=1.9.0 in /usr/local/lib/python3.10/dist-packages (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.27.0)\n", - "Requirement already satisfied: sqlparse<1,>=0.4.0 in /usr/local/lib/python3.10/dist-packages (from mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.5.1)\n", - "Requirement already satisfied: markdown-it-py>=2.2.0 in /usr/local/lib/python3.10/dist-packages (from rich<14.0.0,>=13.0.0->sagemaker-core<2.0.0,>=1.0.0->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.0.0)\n", - "Requirement already satisfied: pygments<3.0.0,>=2.13.0 in /usr/local/lib/python3.10/dist-packages (from rich<14.0.0,>=13.0.0->sagemaker-core<2.0.0,>=1.0.0->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2.18.0)\n", - "Collecting Mako (from alembic!=1.10.0,<2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading Mako-1.3.5-py3-none-any.whl.metadata (2.9 kB)\n", - "Requirement already satisfied: Werkzeug>=2.2.2 in /usr/local/lib/python3.10/dist-packages (from Flask<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.0.4)\n", - "Requirement already satisfied: itsdangerous>=2.0 in /usr/local/lib/python3.10/dist-packages (from Flask<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2.2.0)\n", - "Collecting graphql-core<3.3,>=3.1 (from graphene<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading graphql_core-3.2.4-py3-none-any.whl.metadata (10 kB)\n", - "Collecting graphql-relay<3.3,>=3.1 (from graphene<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading graphql_relay-3.2.0-py3-none-any.whl.metadata (12 kB)\n", - "Collecting aniso8601<10,>=8 (from graphene<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading aniso8601-9.0.1-py2.py3-none-any.whl.metadata (23 kB)\n", - "Requirement already satisfied: MarkupSafe>=2.0 in /usr/local/lib/python3.10/dist-packages (from Jinja2<4,>=2.11->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2.1.5)\n", - "Requirement already satisfied: mdurl~=0.1 in /usr/local/lib/python3.10/dist-packages (from markdown-it-py>=2.2.0->rich<14.0.0,>=13.0.0->sagemaker-core<2.0.0,>=1.0.0->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.1.2)\n", - "Requirement already satisfied: contourpy>=1.0.1 in /usr/local/lib/python3.10/dist-packages (from matplotlib<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.3.0)\n", - "Requirement already satisfied: cycler>=0.10 in /usr/local/lib/python3.10/dist-packages (from matplotlib<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.12.1)\n", - "Requirement already satisfied: fonttools>=4.22.0 in /usr/local/lib/python3.10/dist-packages (from matplotlib<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (4.54.1)\n", - "Requirement already satisfied: kiwisolver>=1.0.1 in /usr/local/lib/python3.10/dist-packages (from matplotlib<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.4.7)\n", - "Requirement already satisfied: pillow>=6.2.0 in /usr/local/lib/python3.10/dist-packages (from matplotlib<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (10.4.0)\n", - "Requirement already satisfied: pyparsing>=2.3.1 in /usr/local/lib/python3.10/dist-packages (from matplotlib<4->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.1.4)\n", - "Requirement already satisfied: joblib>=1.2.0 in /usr/local/lib/python3.10/dist-packages (from scikit-learn<2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.4.2)\n", - "Requirement already satisfied: threadpoolctl>=3.1.0 in /usr/local/lib/python3.10/dist-packages (from scikit-learn<2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (3.5.0)\n", - "Requirement already satisfied: google-auth~=2.0 in /usr/local/lib/python3.10/dist-packages (from databricks-sdk<1,>=0.20.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (2.27.0)\n", - "Collecting gitdb<5,>=4.0.1 (from gitpython<4,>=3.1.9->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading gitdb-4.0.11-py3-none-any.whl.metadata (1.2 kB)\n", - "Requirement already satisfied: deprecated>=1.2.6 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-api<3,>=1.9.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.2.14)\n", - "Requirement already satisfied: opentelemetry-semantic-conventions==0.48b0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-sdk<3,>=1.9.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.48b0)\n", - "Requirement already satisfied: wrapt<2,>=1.10 in /usr/local/lib/python3.10/dist-packages (from deprecated>=1.2.6->opentelemetry-api<3,>=1.9.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (1.16.0)\n", - "Collecting smmap<6,>=3.0.1 (from gitdb<5,>=4.0.1->gitpython<4,>=3.1.9->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere)\n", - " Downloading smmap-5.0.1-py3-none-any.whl.metadata (4.3 kB)\n", - "Requirement already satisfied: pyasn1-modules>=0.2.1 in /usr/local/lib/python3.10/dist-packages (from google-auth~=2.0->databricks-sdk<1,>=0.20.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.4.1)\n", - "Requirement already satisfied: rsa<5,>=3.1.4 in /usr/local/lib/python3.10/dist-packages (from google-auth~=2.0->databricks-sdk<1,>=0.20.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (4.9)\n", - "Requirement already satisfied: pyasn1<0.7.0,>=0.4.6 in /usr/local/lib/python3.10/dist-packages (from pyasn1-modules>=0.2.1->google-auth~=2.0->databricks-sdk<1,>=0.20.0->mlflow-skinny==2.16.2->mlflow>=2.8->sagemaker-mlflow->sagemaker<3.0.0,>=2.232.1->cohere<6.0,>=5.5.6->langchain-cohere) (0.6.1)\n", - "Downloading langchain_core-0.3.10-py3-none-any.whl (404 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m404.4/404.4 kB\u001b[0m \u001b[31m10.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading langchain_cohere-0.3.0-py3-none-any.whl (43 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m44.0/44.0 kB\u001b[0m \u001b[31m2.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading langchain_community-0.3.2-py3-none-any.whl (2.4 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m2.4/2.4 MB\u001b[0m \u001b[31m37.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading cohere-5.11.0-py3-none-any.whl (249 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m249.2/249.2 kB\u001b[0m \u001b[31m16.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading httpx_sse-0.4.0-py3-none-any.whl (7.8 kB)\n", - "Downloading dataclasses_json-0.6.7-py3-none-any.whl (28 kB)\n", - "Downloading jsonpatch-1.33-py2.py3-none-any.whl (12 kB)\n", - "Downloading langchain-0.3.3-py3-none-any.whl (1.0 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.0/1.0 MB\u001b[0m \u001b[31m38.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading langchain_experimental-0.3.2-py3-none-any.whl (208 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m208.1/208.1 kB\u001b[0m \u001b[31m13.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading langsmith-0.1.133-py3-none-any.whl (295 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m295.8/295.8 kB\u001b[0m \u001b[31m18.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading pydantic_settings-2.5.2-py3-none-any.whl (26 kB)\n", - "Downloading tenacity-8.5.0-py3-none-any.whl (28 kB)\n", - "Downloading boto3-1.35.37-py3-none-any.whl (139 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m139.1/139.1 kB\u001b[0m \u001b[31m9.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading fastavro-1.9.7-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (3.1 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m69.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading httpx-0.27.2-py3-none-any.whl (76 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m76.4/76.4 kB\u001b[0m \u001b[31m5.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading httpcore-1.0.6-py3-none-any.whl (78 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m78.0/78.0 kB\u001b[0m \u001b[31m5.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading jsonpointer-3.0.0-py2.py3-none-any.whl (7.6 kB)\n", - "Downloading langchain_text_splitters-0.3.0-py3-none-any.whl (25 kB)\n", - "Downloading marshmallow-3.22.0-py3-none-any.whl (49 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m49.3/49.3 kB\u001b[0m \u001b[31m3.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading orjson-3.10.7-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (141 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m141.9/141.9 kB\u001b[0m \u001b[31m9.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading parameterized-0.9.0-py2.py3-none-any.whl (20 kB)\n", - "Downloading python_dotenv-1.0.1-py3-none-any.whl (19 kB)\n", - "Downloading requests_toolbelt-1.0.0-py2.py3-none-any.whl (54 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m54.5/54.5 kB\u001b[0m \u001b[31m3.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading sagemaker-2.232.2-py3-none-any.whl (1.6 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.6/1.6 MB\u001b[0m \u001b[31m55.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading smdebug_rulesconfig-1.0.1-py2.py3-none-any.whl (20 kB)\n", - "Downloading attrs-23.2.0-py3-none-any.whl (60 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m60.8/60.8 kB\u001b[0m \u001b[31m4.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading types_requests-2.32.0.20240914-py3-none-any.whl (15 kB)\n", - "Downloading typing_inspect-0.9.0-py3-none-any.whl (8.8 kB)\n", - "Downloading botocore-1.35.37-py3-none-any.whl (12.6 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m12.6/12.6 MB\u001b[0m \u001b[31m71.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading importlib_metadata-6.11.0-py3-none-any.whl (23 kB)\n", - "Downloading jmespath-1.0.1-py3-none-any.whl (20 kB)\n", - "Downloading mypy_extensions-1.0.0-py3-none-any.whl (4.7 kB)\n", - "Downloading s3transfer-0.10.3-py3-none-any.whl (82 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m82.6/82.6 kB\u001b[0m \u001b[31m5.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading sagemaker_core-1.0.10-py3-none-any.whl (388 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m388.4/388.4 kB\u001b[0m \u001b[31m23.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading docker-7.1.0-py3-none-any.whl (147 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m147.8/147.8 kB\u001b[0m \u001b[31m9.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading pathos-0.3.3-py3-none-any.whl (82 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m82.1/82.1 kB\u001b[0m \u001b[31m5.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading sagemaker_mlflow-0.1.0-py3-none-any.whl (24 kB)\n", - "Downloading schema-0.7.7-py2.py3-none-any.whl (18 kB)\n", - "Downloading dill-0.3.9-py3-none-any.whl (119 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m119.4/119.4 kB\u001b[0m \u001b[31m7.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading h11-0.14.0-py3-none-any.whl (58 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m4.0 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading mlflow-2.16.2-py3-none-any.whl (26.7 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m26.7/26.7 MB\u001b[0m \u001b[31m35.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading mlflow_skinny-2.16.2-py3-none-any.whl (5.6 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m5.6/5.6 MB\u001b[0m \u001b[31m78.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading mock-4.0.3-py3-none-any.whl (28 kB)\n", - "Downloading multiprocess-0.70.17-py310-none-any.whl (134 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m134.8/134.8 kB\u001b[0m \u001b[31m9.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading pox-0.3.5-py3-none-any.whl (29 kB)\n", - "Downloading ppft-1.7.6.9-py3-none-any.whl (56 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m56.8/56.8 kB\u001b[0m \u001b[31m4.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading alembic-1.13.3-py3-none-any.whl (233 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m233.2/233.2 kB\u001b[0m \u001b[31m14.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading graphene-3.3-py2.py3-none-any.whl (128 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m128.2/128.2 kB\u001b[0m \u001b[31m8.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading gunicorn-23.0.0-py3-none-any.whl (85 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m85.0/85.0 kB\u001b[0m \u001b[31m6.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading aniso8601-9.0.1-py2.py3-none-any.whl (52 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m52.8/52.8 kB\u001b[0m \u001b[31m3.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading databricks_sdk-0.34.0-py3-none-any.whl (565 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m565.6/565.6 kB\u001b[0m \u001b[31m29.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading GitPython-3.1.43-py3-none-any.whl (207 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m207.3/207.3 kB\u001b[0m \u001b[31m14.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading graphql_core-3.2.4-py3-none-any.whl (203 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m203.2/203.2 kB\u001b[0m \u001b[31m14.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading graphql_relay-3.2.0-py3-none-any.whl (16 kB)\n", - "Downloading Mako-1.3.5-py3-none-any.whl (78 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m78.6/78.6 kB\u001b[0m \u001b[31m5.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading gitdb-4.0.11-py3-none-any.whl (62 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m62.7/62.7 kB\u001b[0m \u001b[31m4.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hDownloading smmap-5.0.1-py3-none-any.whl (24 kB)\n", - "Installing collected packages: schema, aniso8601, types-requests, tenacity, smmap, smdebug-rulesconfig, python-dotenv, ppft, pox, parameterized, orjson, mypy-extensions, mock, marshmallow, Mako, jsonpointer, jmespath, importlib-metadata, httpx-sse, h11, gunicorn, graphql-core, fastavro, dill, attrs, typing-inspect, requests-toolbelt, multiprocess, jsonpatch, httpcore, graphql-relay, gitdb, docker, botocore, alembic, s3transfer, pydantic-settings, pathos, httpx, graphene, gitpython, dataclasses-json, databricks-sdk, langsmith, boto3, sagemaker-core, mlflow-skinny, langchain-core, mlflow, langchain-text-splitters, sagemaker-mlflow, langchain, sagemaker, langchain-community, langchain-experimental, cohere, langchain-cohere\n", - " Attempting uninstall: tenacity\n", - " Found existing installation: tenacity 9.0.0\n", - " Uninstalling tenacity-9.0.0:\n", - " Successfully uninstalled tenacity-9.0.0\n", - " Attempting uninstall: importlib-metadata\n", - " Found existing installation: importlib_metadata 8.4.0\n", - " Uninstalling importlib_metadata-8.4.0:\n", - " Successfully uninstalled importlib_metadata-8.4.0\n", - " Attempting uninstall: attrs\n", - " Found existing installation: attrs 24.2.0\n", - " Uninstalling attrs-24.2.0:\n", - " Successfully uninstalled attrs-24.2.0\n", - "Successfully installed Mako-1.3.5 alembic-1.13.3 aniso8601-9.0.1 attrs-23.2.0 boto3-1.35.37 botocore-1.35.37 cohere-5.11.0 databricks-sdk-0.34.0 dataclasses-json-0.6.7 dill-0.3.9 docker-7.1.0 fastavro-1.9.7 gitdb-4.0.11 gitpython-3.1.43 graphene-3.3 graphql-core-3.2.4 graphql-relay-3.2.0 gunicorn-23.0.0 h11-0.14.0 httpcore-1.0.6 httpx-0.27.2 httpx-sse-0.4.0 importlib-metadata-6.11.0 jmespath-1.0.1 jsonpatch-1.33 jsonpointer-3.0.0 langchain-0.3.3 langchain-cohere-0.3.0 langchain-community-0.3.2 langchain-core-0.3.10 langchain-experimental-0.3.2 langchain-text-splitters-0.3.0 langsmith-0.1.133 marshmallow-3.22.0 mlflow-2.16.2 mlflow-skinny-2.16.2 mock-4.0.3 multiprocess-0.70.17 mypy-extensions-1.0.0 orjson-3.10.7 parameterized-0.9.0 pathos-0.3.3 pox-0.3.5 ppft-1.7.6.9 pydantic-settings-2.5.2 python-dotenv-1.0.1 requests-toolbelt-1.0.0 s3transfer-0.10.3 sagemaker-2.232.2 sagemaker-core-1.0.10 sagemaker-mlflow-0.1.0 schema-0.7.7 smdebug-rulesconfig-1.0.1 smmap-5.0.1 tenacity-8.5.0 types-requests-2.32.0.20240914 typing-inspect-0.9.0\n" - ] - } - ], - "source": [ - "! pip install langchain-core langchain-cohere langchain-community" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "2DXDmpwryTQk", - "outputId": "91d8a8db-d554-473b-8bb2-d12c687f15b7" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "sagemaker.config INFO - Not applying SDK defaults from location: /etc/xdg/sagemaker/config.yaml\n", - "sagemaker.config INFO - Not applying SDK defaults from location: /root/.config/sagemaker/config.yaml\n" - ] - } - ], - "source": [ - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere import create_sql_agent\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "from langchain_community.utilities.sql_database import SQLDatabase\n", - "from langchain_community.agent_toolkits import SQLDatabaseToolkit\n", - "from langchain_community.vectorstores import FAISS\n", - "from langchain_core.example_selectors import SemanticSimilarityExampleSelector\n", - "from langchain_cohere import CohereEmbeddings\n", - "from datetime import datetime, timedelta\n", - "import os\n", - "import json" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "tlfyHsW9yTQl" - }, - "source": [ - "## [Load the database](#toc0_)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "G9sJplHDyTQl" - }, - "source": [ - "Next, we load the database for our manufacturing data.\n", - "\n", - "We create an in-memory SQLite database using SQL scripts for the `product_tracking` and `status` tables. You can get the [SQL tables here](https://github.com/cohere-ai/notebooks/tree/main/notebooks/agents/i-5O-sql-agent).\n", - "\n", - "We then create a SQLDatabase instance, which will be used by our LangChain tools and agents to interact with the data." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "_ft-Y7xFyTQl" - }, - "outputs": [], - "source": [ - "import sqlite3\n", - "import os\n", - "\n", - "from langchain_community.utilities.sql_database import SQLDatabase\n", - "from sqlalchemy import create_engine\n", - "from sqlalchemy.pool import StaticPool\n", - "\n", - "def get_engine_for_manufacturing_db():\n", - " \"\"\"Create an in-memory database with the manufacturing data tables.\"\"\"\n", - " connection = sqlite3.connect(\":memory:\", check_same_thread=False)\n", - "\n", - " # Read and execute the SQL scripts\n", - " for sql_file in ['product_tracking.sql', 'status.sql']:\n", - " with open(sql_file, 'r') as file:\n", - " sql_script = file.read()\n", - " connection.executescript(sql_script)\n", - "\n", - " return create_engine(\n", - " \"sqlite://\",\n", - " creator=lambda: connection,\n", - " poolclass=StaticPool,\n", - " connect_args={\"check_same_thread\": False},\n", - " )\n", - "\n", - "# Create the engine\n", - "engine = get_engine_for_manufacturing_db()\n", - "\n", - "# Create the SQLDatabase instance\n", - "db = SQLDatabase(engine)\n", - "\n", - "# Now you can use this db instance with your LangChain tools and agents" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 87 - }, - "id": "r94_RgFdyTQl", - "outputId": "2685d55a-6933-4a22-f1d4-ae687ed93006" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - }, - "text/plain": [ - "\"[('2024-05-09 19:28:00', 'Canada/Toronto', '2024-05-09', '19', '28', 'stn3', 'downtime'), ('2024-04-21 06:57:00', 'Canada/Toronto', '2024-04-21', '6', '57', 'stn3', 'productive'), ('2024-04-11 23:52:00', 'Canada/Toronto', '2024-04-11', '23', '52', 'stn4', 'productive'), ('2024-04-03 21:52:00', 'Canada/Toronto', '2024-04-03', '21', '52', 'stn2', 'downtime'), ('2024-04-30 05:01:00', 'Canada/Toronto', '2024-04-30', '5', '1', 'stn4', 'productive')]\"" - ] - }, - "execution_count": 4, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Test the connection\n", - "db.run(\"SELECT * FROM status LIMIT 5;\")" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 87 - }, - "id": "t9KO5OuuyTQm", - "outputId": "e9cb3de9-7237-4068-b05c-73f532dcc86f" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - }, - "text/plain": [ - "\"[('2024-05-27 17:22:00', '2024-05-27 17:57:00', 'Canada/Toronto', '2024-05-27', '17', 'stn2', 'wip', '187', '35'), ('2024-04-26 15:56:00', '2024-04-26 17:56:00', 'Canada/Toronto', '2024-04-26', '15', 'stn4', 'wip', '299', '120'), ('2024-04-12 04:36:00', '2024-04-12 05:12:00', 'Canada/Toronto', '2024-04-12', '4', 'stn3', 'wip', '60', '36'), ('2024-04-19 15:15:00', '2024-04-19 15:22:00', 'Canada/Toronto', '2024-04-19', '15', 'stn4', 'wait', '227', '7'), ('2024-04-24 19:10:00', '2024-04-24 21:07:00', 'Canada/Toronto', '2024-04-24', '19', 'stn4', 'wait', '169', '117')]\"" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/sql_agent_demo.ipynb." ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Test the connection\n", - "db.run(\"SELECT * FROM product_tracking LIMIT 5;\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "g9nHU221yTQm" - }, - "source": [ - "## [Setup the LangChain SQL Toolkit](#toc0_)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "OklZuvtByTQm" - }, - "source": [ - "Next, we initialize the LangChain SQL Toolkit and sets up the language model to use Cohere's model. This prepares the necessary components for querying the SQL database using natural language.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "kq4t4BksyTQm", - "outputId": "8a487f7b-3572-4530-b58b-2e0ee2a2a8fd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "**List of pre-defined Langchain Tools**\n", - "['sql_db_query', 'sql_db_schema', 'sql_db_list_tables', 'sql_db_query_checker']\n" - ] } - ], - "source": [ - "## Define model to use\n", - "import os\n", - "\n", - "MODEL=\"command-r-plus-08-2024\"\n", - "llm = ChatCohere(model=MODEL,\n", - " temperature=0.1,\n", - " verbose=True,\n", - " cohere_api_key=os.getenv(\"COHERE_API_KEY\"))\n", - "\n", - "\n", - "toolkit = SQLDatabaseToolkit(db=db, llm=llm)\n", - "context = toolkit.get_context()\n", - "tools = toolkit.get_tools()\n", - "\n", - "print('**List of pre-defined Langchain Tools**')\n", - "print([tool.name for tool in tools])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "tOQLAkL_yTQn" - }, - "source": [ - "## [Create a prompt template with few shot examples](#toc0_)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9sTrPZ4lyTQn" - }, - "source": [ - "Next, we create a prompt template with few-shot examples. Few-shot examples are used to provide the model with context and improve its performance on specific tasks. In this case, we'll prepare examples of natural language queries and their corresponding SQL queries to help the model generate accurate SQL statements for our database.\n", - "\n", - "In this example, we use `SemanticSimilarityExampleSelector` to select the top k examples that are most similar to an input query out of all the examples available." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "vM3CE7MqyTQn" - }, - "outputs": [], - "source": [ - "examples = [\n", - " {\n", - " \"input\": \"What was the average processing time for all stations on April 3rd 2024?\",\n", - " \"query\": \"SELECT station_name, AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND zone = 'wip' GROUP BY station_name ORDER BY station_name;\",\n", - " },\n", - " {\n", - " \"input\": \"What was the average processing time for all stations on April 3rd 2024 between 4pm and 6pm?\",\n", - " \"query\": \"SELECT station_name, AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND CAST(hour AS INTEGER) BETWEEN 16 AND 18 AND zone = 'wip' GROUP BY station_name ORDER BY station_name;\",\n", - " },\n", - " {\n", - " \"input\": \"What was the average processing time for stn4 on April 3rd 2024?\",\n", - " \"query\": \"SELECT AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND station_name = 'stn4' AND zone = 'wip';\",\n", - " },\n", - " {\n", - " \"input\": \"How much downtime did stn2 have on April 3rd 2024?\",\n", - " \"query\": \"SELECT COUNT(*) AS downtime_count FROM status WHERE date = '2024-04-03' AND station_name = 'stn2' AND station_status = 'downtime';\",\n", - " },\n", - " {\n", - " \"input\": \"What were the productive time and downtime numbers for all stations on April 3rd 2024?\",\n", - " \"query\": \"SELECT station_name, station_status, COUNT(*) as total_time FROM status WHERE date = '2024-04-03' GROUP BY station_name, station_status;\",\n", - " },\n", - " {\n", - " \"input\": \"What was the bottleneck station on April 3rd 2024?\",\n", - " \"query\": \"SELECT station_name, AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND zone = 'wip' GROUP BY station_name ORDER BY avg_processing_time DESC LIMIT 1;\",\n", - " },\n", - " {\n", - " \"input\": \"Which percentage of the time was stn5 down in the last week of May?\",\n", - " \"query\": \"SELECT SUM(CASE WHEN station_status = 'downtime' THEN 1 ELSE 0 END) * 100.0 / COUNT(*) AS percentage_downtime FROM status WHERE station_name = 'stn5' AND date >= '2024-05-25' AND date <= '2024-05-31';\",\n", - " },\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "id": "cjWTXsEgyTQn" - }, - "outputs": [], - "source": [ - "example_selector = SemanticSimilarityExampleSelector.from_examples(\n", - " examples,\n", - " CohereEmbeddings(cohere_api_key=os.getenv(\"COHERE_API_KEY\"),\n", - " model=\"embed-english-v3.0\"),\n", - " FAISS,\n", - " k=5,\n", - " input_keys=[\"input\"],\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "id": "fza3DILtyTQn" - }, - "outputs": [], - "source": [ - "from langchain_core.prompts import (\n", - " ChatPromptTemplate,\n", - " FewShotPromptTemplate,\n", - " MessagesPlaceholder,\n", - " PromptTemplate,\n", - " SystemMessagePromptTemplate,\n", - ")\n", - "\n", - "system_prefix = \"\"\"You are an agent designed to interact with a SQL database.\n", - "You are an expert at answering questions about manufacturing data.\n", - "Given an input question, create a syntactically correct {dialect} query to run, then look at the results of the query and return the answer.\n", - "Always start with checking the schema of the available tables.\n", - "Unless the user specifies a specific number of examples they wish to obtain, always limit your query to at most {top_k} results.\n", - "You can order the results by a relevant column to return the most interesting examples in the database.\n", - "Never query for all the columns from a specific table, only ask for the relevant columns given the question.\n", - "You have access to tools for interacting with the database.\n", - "Only use the given tools. Only use the information returned by the tools to construct your final answer.\n", - "You MUST double check your query before executing it. If you get an error while executing a query, rewrite the query and try again.\n", - "\n", - "DO NOT make any DML statements (INSERT, UPDATE, DELETE, DROP etc.) to the database.\n", - "\n", - "The current date is {date}.\n", - "\n", - "For questions regarding productive time, downtime, productive or productivity, use minutes as units.\n", - "\n", - "For questions regarding productive time, downtime, productive or productivity use the status table.\n", - "\n", - "For questions regarding processing time and average processing time, use minutes as units.\n", - "\n", - "For questions regarding bottlenecks, processing time and average processing time use the product_tracking table.\n", - "\n", - "If the question does not seem related to the database, just return \"I don't know\" as the answer.\n", - "\n", - "Here are some examples of user inputs and their corresponding SQL queries:\"\"\"\n", - "\n", - "few_shot_prompt = FewShotPromptTemplate(\n", - " example_selector=example_selector,\n", - " example_prompt=PromptTemplate.from_template(\n", - " \"User input: {input}\\nSQL query: {query}\"\n", - " ),\n", - " input_variables=[\"input\", \"dialect\", \"top_k\",\"date\"],\n", - " prefix=system_prefix,\n", - " suffix=\"\",\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "id": "727SGeIEyTQn" - }, - "outputs": [], - "source": [ - "full_prompt = ChatPromptTemplate.from_messages(\n", - " [\n", - " SystemMessagePromptTemplate(prompt=few_shot_prompt),\n", - " (\"human\", \"{input}\"),\n", - " MessagesPlaceholder(\"agent_scratchpad\"),\n", - " ]\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "sMAfAKBOyTQn", - "outputId": "1af879eb-664d-441e-e946-0f504876f9ed" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "System: You are an agent designed to interact with a SQL database.\n", - "You are an expert at answering questions about manufacturing data.\n", - "Given an input question, create a syntactically correct SQLite query to run, then look at the results of the query and return the answer.\n", - "Always start with checking the schema of the available tables.\n", - "Unless the user specifies a specific number of examples they wish to obtain, always limit your query to at most 5 results.\n", - "You can order the results by a relevant column to return the most interesting examples in the database.\n", - "Never query for all the columns from a specific table, only ask for the relevant columns given the question.\n", - "You have access to tools for interacting with the database.\n", - "Only use the given tools. Only use the information returned by the tools to construct your final answer.\n", - "You MUST double check your query before executing it. If you get an error while executing a query, rewrite the query and try again.\n", - "\n", - "DO NOT make any DML statements (INSERT, UPDATE, DELETE, DROP etc.) to the database.\n", - "\n", - "The current date is 2024-10-10 09:40:22.052973.\n", - "\n", - "For questions regarding productive time, downtime, productive or productivity, use minutes as units.\n", - "\n", - "For questions regarding productive time, downtime, productive or productivity use the status table. \n", - "\n", - "For questions regarding processing time and average processing time, use minutes as units. \n", - "\n", - "For questions regarding bottlenecks, processing time and average processing time use the product_tracking table. \n", - "\n", - "If the question does not seem related to the database, just return \"I don't know\" as the answer.\n", - "\n", - "Here are some examples of user inputs and their corresponding SQL queries:\n", - "\n", - "User input: What were the productive time and downtime numbers for all stations on April 3rd 2024?\n", - "SQL query: SELECT station_name, station_status, COUNT(*) as total_time FROM status WHERE date = '2024-04-03' GROUP BY station_name, station_status;\n", - "\n", - "User input: What was the average processing time for all stations on April 3rd 2024?\n", - "SQL query: SELECT station_name, AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND zone = 'wip' GROUP BY station_name ORDER BY station_name;\n", - "\n", - "User input: What was the average processing time for all stations on April 3rd 2024 between 4pm and 6pm?\n", - "SQL query: SELECT station_name, AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND CAST(hour AS INTEGER) BETWEEN 16 AND 18 AND zone = 'wip' GROUP BY station_name ORDER BY station_name;\n", - "\n", - "User input: What was the bottleneck station on April 3rd 2024?\n", - "SQL query: SELECT station_name, AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND zone = 'wip' GROUP BY station_name ORDER BY avg_processing_time DESC LIMIT 1;\n", - "\n", - "User input: What was the average processing time for stn4 on April 3rd 2024?\n", - "SQL query: SELECT AVG(CAST(duration AS INTEGER)) AS avg_processing_time FROM product_tracking WHERE date = '2024-04-03' AND station_name = 'stn4' AND zone = 'wip';\n", - "Human: What was the productive time for all stations today?\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Example formatted prompt\n", - "prompt_val = full_prompt.invoke(\n", - " {\n", - " \"input\": \"What was the productive time for all stations today?\",\n", - " \"top_k\": 5,\n", - " \"dialect\": \"SQLite\",\n", - " \"date\":datetime.now(),\n", - " \"agent_scratchpad\": [],\n", - " }\n", - ")\n", - "print(prompt_val.to_string())" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LqMk1Pj1yTQo" - }, - "source": [ - "## [Create the agent](#toc0_)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "hog2fff5yTQo" - }, - "source": [ - "Next, we create an instance of the SQL agent using the LangChain framework, specifically using `create_sql_agent`.\n", - "\n", - "This agent will be capable of interpreting natural language queries, converting them into SQL queries, and executing them against our database. The agent uses the LLM we defined earlier, along with the SQL toolkit and the custom prompt we created." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "id": "pDRp_Mi-yTQo" - }, - "outputs": [], - "source": [ - "agent = create_sql_agent(\n", - " llm=llm,\n", - " toolkit=toolkit,\n", - " prompt=full_prompt,\n", - " verbose=True\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "aJ2oFSJgyTQo" - }, - "source": [ - "## [Run the agent](#toc0_)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bLpPzqfwyTQo" - }, - "source": [ - "Now, we can run the agent and test it with a few different queries." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "hNyuwg_0yTQo", - "outputId": "acba82f4-b424-4cd5-fb56-c463b302f057" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new Cohere SQL Agent Executor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_list_tables` with `{}`\n", - "responded: I will first check the schema of the available tables. Then, I will write and execute a query to find the total downtime for each station during the month of May 2024.\n", - "\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mproduct_tracking, status\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_schema` with `{'table_names': 'product_tracking, status'}`\n", - "responded: I have found that the relevant tables are 'product_tracking' and 'status'. I will now check the schema of these tables.\n", - "\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE product_tracking (\n", - "\ttimestamp_start TEXT, \n", - "\ttimestamp_end TEXT, \n", - "\ttimezone TEXT, \n", - "\tdate TEXT, \n", - "\thour TEXT, \n", - "\tstation_name TEXT, \n", - "\tzone TEXT, \n", - "\tproduct_id TEXT, \n", - "\tduration TEXT\n", - ")\n", - "\n", - "/*\n", - "3 rows from product_tracking table:\n", - "timestamp_start\ttimestamp_end\ttimezone\tdate\thour\tstation_name\tzone\tproduct_id\tduration\n", - "2024-05-27 17:22:00\t2024-05-27 17:57:00\tCanada/Toronto\t2024-05-27\t17\tstn2\twip\t187\t35\n", - "2024-04-26 15:56:00\t2024-04-26 17:56:00\tCanada/Toronto\t2024-04-26\t15\tstn4\twip\t299\t120\n", - "2024-04-12 04:36:00\t2024-04-12 05:12:00\tCanada/Toronto\t2024-04-12\t4\tstn3\twip\t60\t36\n", - "*/\n", - "\n", - "\n", - "CREATE TABLE status (\n", - "\ttimestamp_event TEXT, \n", - "\ttimezone TEXT, \n", - "\tdate TEXT, \n", - "\thour TEXT, \n", - "\tminute TEXT, \n", - "\tstation_name TEXT, \n", - "\tstation_status TEXT\n", - ")\n", - "\n", - "/*\n", - "3 rows from status table:\n", - "timestamp_event\ttimezone\tdate\thour\tminute\tstation_name\tstation_status\n", - "2024-05-09 19:28:00\tCanada/Toronto\t2024-05-09\t19\t28\tstn3\tdowntime\n", - "2024-04-21 06:57:00\tCanada/Toronto\t2024-04-21\t6\t57\tstn3\tproductive\n", - "2024-04-11 23:52:00\tCanada/Toronto\t2024-04-11\t23\t52\tstn4\tproductive\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query_checker` with `{'query': \"SELECT station_name, COUNT(*) as total_downtime FROM status WHERE date LIKE '2024-05-%' AND station_status = 'downtime' GROUP BY station_name;\"}`\n", - "responded: I have found that the 'status' table contains the 'station_name' and 'station_status' columns, which are relevant to the user's request. I will now write and execute a query to find the total downtime for each station during the month of May 2024.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m```sql\n", - "SELECT station_name, COUNT(*) as total_downtime FROM status WHERE date LIKE '2024-05-%' AND station_status = 'downtime' GROUP BY station_name;\n", - "```\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query` with `{'query': \"SELECT station_name, COUNT(*) as total_downtime FROM status WHERE date LIKE '2024-05-%' AND station_status = 'downtime' GROUP BY station_name;\"}`\n", - "responded: I have checked the query and it is correct. I will now execute it.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[('stn2', 1), ('stn3', 2), ('stn5', 4)]\u001b[0m\u001b[32;1m\u001b[1;3mThe total downtime for each station during the month of May 2024 was:\n", - "\n", - "| station_name | total_downtime |\n", - "|---|---|\n", - "| stn2 | 1 |\n", - "| stn3 | 2 |\n", - "| stn5 | 4 |\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "The total downtime for each station during the month of May 2024 was:\n", - "\n", - "| station_name | total_downtime |\n", - "|---|---|\n", - "| stn2 | 1 |\n", - "| stn3 | 2 |\n", - "| stn5 | 4 |\n" - ] - } - ], - "source": [ - "# %%time\n", - "output=agent.invoke({\n", - " \"input\": \"What was the total downtime for each station during the month of May 2024?\",\n", - " \"date\": datetime.now()\n", - "})\n", - "print(output['output'])\n", - "\n", - "# Answer: stn2: 1 minute(s), stn3: 2 minute(s)stn5: 4 minute(s)" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ptIkKBfoyTQo", - "outputId": "74aa4dcf-9f1f-4816-fa04-d8504023317f" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new Cohere SQL Agent Executor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_list_tables` with `{}`\n", - "responded: I will first check the schema of the available tables. Then, I will write and execute a query to find the average processing duration at stn5 in the wip zone.\n", - "\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mproduct_tracking, status\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_schema` with `{'table_names': 'product_tracking'}`\n", - "responded: The available tables are product_tracking and status.\n", - "\n", - "I will now write and execute a query to find the average processing duration at stn5 in the wip zone.\n", - "\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE product_tracking (\n", - "\ttimestamp_start TEXT, \n", - "\ttimestamp_end TEXT, \n", - "\ttimezone TEXT, \n", - "\tdate TEXT, \n", - "\thour TEXT, \n", - "\tstation_name TEXT, \n", - "\tzone TEXT, \n", - "\tproduct_id TEXT, \n", - "\tduration TEXT\n", - ")\n", - "\n", - "/*\n", - "3 rows from product_tracking table:\n", - "timestamp_start\ttimestamp_end\ttimezone\tdate\thour\tstation_name\tzone\tproduct_id\tduration\n", - "2024-05-27 17:22:00\t2024-05-27 17:57:00\tCanada/Toronto\t2024-05-27\t17\tstn2\twip\t187\t35\n", - "2024-04-26 15:56:00\t2024-04-26 17:56:00\tCanada/Toronto\t2024-04-26\t15\tstn4\twip\t299\t120\n", - "2024-04-12 04:36:00\t2024-04-12 05:12:00\tCanada/Toronto\t2024-04-12\t4\tstn3\twip\t60\t36\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query_checker` with `{'query': \"SELECT AVG(CAST(duration AS INTEGER)) AS avg_processing_duration FROM product_tracking WHERE station_name = 'stn5' AND zone = 'wip';\"}`\n", - "responded: The available tables are product_tracking and status.\n", - "\n", - "I will now write and execute a query to find the average processing duration at stn5 in the wip zone.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mSELECT AVG(CAST(duration AS INTEGER)) AS avg_processing_duration FROM product_tracking WHERE station_name = 'stn5' AND zone = 'wip';\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query` with `{'query': \"SELECT AVG(CAST(duration AS INTEGER)) AS avg_processing_duration FROM product_tracking WHERE station_name = 'stn5' AND zone = 'wip';\"}`\n", - "responded: The available tables are product_tracking and status.\n", - "\n", - "I will now write and execute a query to find the average processing duration at stn5 in the wip zone.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[(39.166666666666664,)]\u001b[0m\u001b[32;1m\u001b[1;3mThe average processing duration at stn5 in the wip zone is 39.17 minutes.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "The average processing duration at stn5 in the wip zone is 39.17 minutes.\n" - ] - } - ], - "source": [ - "output=agent.invoke({\n", - " \"input\": \"What is the average processing duration at stn5 in the wip zone?\",\n", - " \"date\": datetime.now()\n", - "})\n", - "print(output['output'])\n", - "\n", - "# Answer: 39.17 minutes" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "6EMkPBJnyTQo", - "outputId": "183a9be5-2869-46e1-97e0-b0d6e0ab3581" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new Cohere SQL Agent Executor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_list_tables` with `{}`\n", - "responded: I will first check the schema of the available tables. Then I will write and execute a query to find the station with the highest total duration in the wait zone.\n", - "\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mproduct_tracking, status\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_schema` with `{'table_names': 'product_tracking, status'}`\n", - "responded: I have found that the relevant tables are product_tracking and status. I will now write and execute a query to find the station with the highest total duration in the wait zone.\n", - "\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE product_tracking (\n", - "\ttimestamp_start TEXT, \n", - "\ttimestamp_end TEXT, \n", - "\ttimezone TEXT, \n", - "\tdate TEXT, \n", - "\thour TEXT, \n", - "\tstation_name TEXT, \n", - "\tzone TEXT, \n", - "\tproduct_id TEXT, \n", - "\tduration TEXT\n", - ")\n", - "\n", - "/*\n", - "3 rows from product_tracking table:\n", - "timestamp_start\ttimestamp_end\ttimezone\tdate\thour\tstation_name\tzone\tproduct_id\tduration\n", - "2024-05-27 17:22:00\t2024-05-27 17:57:00\tCanada/Toronto\t2024-05-27\t17\tstn2\twip\t187\t35\n", - "2024-04-26 15:56:00\t2024-04-26 17:56:00\tCanada/Toronto\t2024-04-26\t15\tstn4\twip\t299\t120\n", - "2024-04-12 04:36:00\t2024-04-12 05:12:00\tCanada/Toronto\t2024-04-12\t4\tstn3\twip\t60\t36\n", - "*/\n", - "\n", - "\n", - "CREATE TABLE status (\n", - "\ttimestamp_event TEXT, \n", - "\ttimezone TEXT, \n", - "\tdate TEXT, \n", - "\thour TEXT, \n", - "\tminute TEXT, \n", - "\tstation_name TEXT, \n", - "\tstation_status TEXT\n", - ")\n", - "\n", - "/*\n", - "3 rows from status table:\n", - "timestamp_event\ttimezone\tdate\thour\tminute\tstation_name\tstation_status\n", - "2024-05-09 19:28:00\tCanada/Toronto\t2024-05-09\t19\t28\tstn3\tdowntime\n", - "2024-04-21 06:57:00\tCanada/Toronto\t2024-04-21\t6\t57\tstn3\tproductive\n", - "2024-04-11 23:52:00\tCanada/Toronto\t2024-04-11\t23\t52\tstn4\tproductive\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query_checker` with `{'query': \"SELECT station_name, SUM(CAST(duration AS INTEGER)) AS total_duration FROM product_tracking WHERE zone = 'wait' GROUP BY station_name ORDER BY total_duration DESC LIMIT 1;\"}`\n", - "responded: I have found that the relevant columns are zone and duration in the product_tracking table. I will now write and execute a query to find the station with the highest total duration in the wait zone.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m```sql\n", - "SELECT station_name, SUM(CAST(duration AS INTEGER)) AS total_duration FROM product_tracking WHERE zone = 'wait' GROUP BY station_name ORDER BY total_duration DESC LIMIT 1;\n", - "```\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query` with `{'query': \"SELECT station_name, SUM(CAST(duration AS INTEGER)) AS total_duration FROM product_tracking WHERE zone = 'wait' GROUP BY station_name ORDER BY total_duration DESC LIMIT 1;\"}`\n", - "responded: I have checked the query and it is correct. I will now execute it.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[('stn4', 251)]\u001b[0m\u001b[32;1m\u001b[1;3mThe station with the highest total duration in the wait zone is stn4, with a total duration of 251 minutes.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "The station with the highest total duration in the wait zone is stn4, with a total duration of 251 minutes.\n" - ] - } - ], - "source": [ - "output=agent.invoke({\n", - " \"input\": \"Which station had the highest total duration in the wait zone?\",\n", - " \"date\": datetime.now()\n", - "})\n", - "print(output['output'])\n", - "\n", - "# Answer: stn4 - 251 minutes\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bzx-fIOYyTQo" - }, - "source": [ - "## Conclusion\n", - "This tutorial demonstrated how to create a SQL agent using Cohere and LangChain. The agent can translate natural language queries coming from users into SQL, and execute them against a database. This powerful combination allows for intuitive interaction with databases without requiring direct SQL knowledge.\n" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/pdf-extractor/pdf_extractor.ipynb b/notebooks/agents/pdf-extractor/pdf_extractor.ipynb index b8a32a83..2f26422d 100644 --- a/notebooks/agents/pdf-extractor/pdf_extractor.ipynb +++ b/notebooks/agents/pdf-extractor/pdf_extractor.ipynb @@ -1,364 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "# PDF Extractor with Agents\n", - "\n", - "## Objective\n", - "\n", - "Generally, users are limited to text inputs when using large language models (LLMs), but agents enable the model to do more than ingest or output text information. Using tools, LLMs can call other APIs, save data, and much more. In this notebook, we will explore how we can leverage agents to extract information from PDFs. We will mimic an application where the user uploads PDF files and the agent extracts useful information. This can be useful when the text information has varying formats and you need to extract various types of information.\n", - "\n", - "In the directory, we have a simple_invoice.pdf file. Everytime a user uploads the document, the agent will extract key information total_amount and invoice_number and then save it as CSV which then can be used in another application. We only extract two pieces of information in this demo, but users can extend the example and extract a lot more information.\n", - "\n", - "## Steps \n", - "\n", - "* extract_pdf() function extracts text data from the PDF using [unstructured](https://unstructured.io/) package. You can use other packages like PyPDF2 as well.\n", - "* This extracted text is added to the prompt so the model can \"see\" the document.\n", - "* The agent summarizes the document and passes that information to convert_to_json() function. This function makes another call to command model to convert the summary to json output. This separation of tasks is useful when the text document is complicated and long. Therefore, we first distill the information and ask another model to convert the text into json object. This is useful so each model or agent focuses on its own task without suffering from long context.\n", - "* Then the json object goes through a check to make sure all keys are present and gets saved as a csv file. When the document is too long or the task is too complex, the model may fail to extract all information. These checks are then very useful because they give feedback to the model so it can adjust it's parameters to retry.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "\n", - "import cohere\n", - "import pandas as pd\n", - "import json\n", - "from unstructured.partition.pdf import partition_pdf" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "# uncomment to install dependencies\n", - "# !pip install cohere unstructured" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "cohere version: 5.5.1\n" - ] - } - ], - "source": [ - "# versions\n", - "print('cohere version:', cohere.__version__)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup " - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [], - "source": [ - "COHERE_API_KEY = os.environ.get(\"CO_API_KEY\")\n", - "COHERE_MODEL = 'command-r-plus'\n", - "co = cohere.Client(api_key=COHERE_API_KEY)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Data \n", - "\n", - "The sample invoice data is from https://unidoc.io/media/simple-invoices/simple_invoice.pdf. \n", - "\n", - "\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Tool \n", - "\n", - "Here we define the tool which converts summary of the pdf into json object. Then, it checks to make sure all necessary keys are present and saves it as csv. " - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "def convert_to_json(text: str) -> dict:\n", - " \"\"\"\n", - " Given text files, convert to json object and saves to csv.\n", - "\n", - " Args:\n", - " text (str): The text to extract information from.\n", - "\n", - " Returns:\n", - " dict: A dictionary containing the result of the conversion process.\n", - " \"\"\"\n", - "\n", - " MANDATORY_FIELDS = [\n", - " \"total_amount\",\n", - " \"invoice_number\",\n", - " ]\n", - "\n", - " message = \"\"\"# Instruction\n", - " Given the text, convert to json object with the following keys:\n", - " total_amount, invoice_number\n", - "\n", - " # Output format json:\n", - " {{\n", - " \"total_amount\": \"\",\n", - " \"invoice_number\": \"\",\n", - " }}\n", - "\n", - " Do not output code blocks.\n", - "\n", - " # Extracted PDF\n", - " {text}\n", - " \"\"\"\n", - "\n", - " result = co.chat(\n", - " message=message.format(text=text), model=COHERE_MODEL, preamble=None\n", - " ).text\n", - "\n", - " try:\n", - " result = json.loads(result)\n", - " # check if all keys are present\n", - " if not all(i in result.keys() for i in MANDATORY_FIELDS):\n", - " return {\"result\": f\"ERROR: Keys are missing. Please check your result {result}\"}\n", - "\n", - " df = pd.DataFrame(result, index=[0])\n", - " df.to_csv(\"output.csv\", index=False)\n", - " return {\"result\": \"SUCCESS. All steps have been completed.\"}\n", - "\n", - " except Exception as e:\n", - " return {\"result\": f\"ERROR: Could not load the result as json. Please check the result: {result} and ERROR: {e}\"}\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Cohere Agent \n", - "\n", - "Below is a cohere agent that leverages multi-step API. It is equipped with convert_to_json tool. " - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [], - "source": [ - "def cohere_agent(\n", - " message: str,\n", - " preamble: str,\n", - " verbose: bool = False,\n", - ") -> str:\n", - " \"\"\"\n", - " Function to handle multi-step tool use api.\n", - "\n", - " Args:\n", - " message (str): The message to send to the Cohere AI model.\n", - " preamble (str): The preamble or context for the conversation.\n", - " verbose (bool, optional): Whether to print verbose output. Defaults to False.\n", - "\n", - " Returns:\n", - " str: The final response from the call.\n", - " \"\"\"\n", - "\n", - " functions_map = {\n", - " \"convert_to_json\": convert_to_json,\n", - " }\n", - "\n", - " tools = [\n", - " {\n", - " \"name\": \"convert_to_json\",\n", - " \"description\": \"Given a text, convert it to json object.\",\n", - " \"parameter_definitions\": {\n", - " \"text\": {\n", - " \"description\": \"text to be converted into json\",\n", - " \"type\": \"str\",\n", - " \"required\": True,\n", - " },\n", - " },\n", - " }\n", - " ]\n", - "\n", - " counter = 1\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=message,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " )\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning step 0\")\n", - " print(response.text)\n", - "\n", - " while response.tool_calls:\n", - " tool_results = []\n", - "\n", - " if verbose:\n", - " print(f\"\\nrunning step {counter}\")\n", - " for tool_call in response.tool_calls:\n", - " print(\"tool_call.parameters:\", tool_call.parameters)\n", - " if tool_call.parameters:\n", - " output = functions_map[tool_call.name](**tool_call.parameters)\n", - " else:\n", - " output = functions_map[tool_call.name]()\n", - "\n", - " outputs = [output]\n", - " tool_results.append({\"call\": tool_call, \"outputs\": outputs})\n", - "\n", - " if verbose:\n", - " print(\n", - " f\"= running tool {tool_call.name}, with parameters: {tool_call.parameters}\"\n", - " )\n", - " print(f\"== tool results: {outputs}\")\n", - "\n", - " response = co.chat(\n", - " model=COHERE_MODEL,\n", - " message=\"\",\n", - " chat_history=response.chat_history,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " )\n", - "\n", - " if verbose:\n", - " print(response.text)\n", - " counter += 1\n", - "\n", - " return response.text" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Extract PDF" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "running step 0\n", - "I will summarise the text and then use the convert_to_json tool to format the summary.\n", - "\n", - "running step 1\n", - "tool_call.parameters: {'text': 'Total amount billed: $115.00\\nInvoice number: 0852'}\n", - "= running tool convert_to_json, with parameters: {'text': 'Total amount billed: $115.00\\nInvoice number: 0852'}\n", - "== tool results: [{'result': 'SUCCESS. All steps have been completed.'}]\n", - "SUCCESS.\n", - "Finished extracting: simple_invoice.pdf\n", - "Please check the output below\n", - " total_amount invoice_number\n", - "0 $115.00 852\n" - ] - } - ], - "source": [ - "def extract_pdf(path):\n", - " \"\"\"\n", - " Function to extract text from a PDF file.\n", - " \"\"\"\n", - " elements = partition_pdf(path)\n", - " return \"\\n\".join([str(el) for el in elements])\n", - "\n", - "\n", - "def pdf_extractor(pdf_path):\n", - " \"\"\"\n", - " Main function that extracts pdf and calls the cohere agent.\n", - " \"\"\"\n", - " pdf_text = extract_pdf(pdf_path)\n", - "\n", - " prompt = f\"\"\"\n", - " # Instruction\n", - " You are expert at extracting invoices from PDF. The text of the PDF file is given below.\n", - "\n", - " You must follow the steps below:\n", - " 1. Summarize the text and extract only the most information: total amount billed and invoice number.\n", - " 2. Using the summary above, call convert_to_json tool, which uses the summary from step 1.\n", - " If you run into issues. Identifiy the issue and retry.\n", - " You are not done unless you see SUCCESS in the tool output.\n", - "\n", - " # File Name:\n", - " {pdf_path}\n", - "\n", - " # Extracted Text:\n", - " {pdf_text}\n", - " \"\"\"\n", - " output = cohere_agent(prompt, None, verbose=True)\n", - " print(f\"Finished extracting: {pdf_path}\")\n", - "\n", - " print('Please check the output below')\n", - " print(pd.read_csv('output.csv'))\n", - "\n", - "\n", - "pdf_extractor('simple_invoice.pdf')\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "As shown above, the model first summarized the extracted pdf as `Total amount: $115.00\\nInvoice number: 0852` and sent this to `conver_to_json()` function. \n", - "`conver_to_json()` then converts it to json format and saves it into a csv file. " - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/pdf_extractor.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/agents/sql_agent/README.md b/notebooks/agents/sql_agent/README.md index 164ed3e6..56becd5e 100644 --- a/notebooks/agents/sql_agent/README.md +++ b/notebooks/agents/sql_agent/README.md @@ -1 +1 @@ -In this notebook we explore how to setup a Cohere ReAct Agent to answer questions over SQL Databases using Langchain’s existing SQLDBToolkit. \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/agents/sql_agent/sql_agent.ipynb b/notebooks/agents/sql_agent/sql_agent.ipynb index 43c8358e..550b822e 100644 --- a/notebooks/agents/sql_agent/sql_agent.ipynb +++ b/notebooks/agents/sql_agent/sql_agent.ipynb @@ -1,1005 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "5c0d5271-8d35-4471-b667-265695cbf11a", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "id": "1d71f595-01ef-4c24-99eb-81b01eec048b", - "metadata": {}, - "source": [ - "# Notebook Overview\n", - "\n", - "## Motivation\n", - "Enterprise customers often store and handle information in relational databases but querying such databases effectively requires bespoke knowledge of the underlying database structure as well as strong SQL coding skills. One way to address these challenges is to build an LLM agent capable of generating and executing SQL queries based on natural language. For example If a user asks: ``what are the top 4 rows in table X``, the agent should be able to generate ``SELECT * FROM X LIMIT 4``, execute this query and return the output to the user. \n", - "\n", - "## Objective\n", - "In this notebook we explore how to setup a sql agent using langchain and Cohere models. We explore two ways to achieve this, one using the [create_sql_agent](https://api.python.langchain.com/en/latest/sql_agent/langchain_cohere.sql_agent.agent.create_sql_agent.html) abstraction from langchain-cohere, and another using the [Cohere ReAct Agent](https://github.com/langchain-ai/langchain-cohere/blob/main/libs/cohere/langchain_cohere/cohere_agent.py) to answer questions over SQL Databases. We show how this can be done seamlessly with langchain's existing SQLDBToolkit.\n", - "\n", - "The [create_sql_agent](https://api.python.langchain.com/en/latest/sql_agent/langchain_cohere.sql_agent.agent.create_sql_agent.html) option is recommended for most use cases and can be setup without much hassle.\n", - "\n", - "## Table of Contents\n", - "\n", - "- [SQL Agent with create_sql_agent](#sec_step0)\n", - "- [SQL Agent using Cohere ReAct Agent](#sec_step1)\n", - " - [Toolkit Setup](#sec_step2)\n", - " - [SQL Agent](#sec_step3)\n", - " - [SQL Agent with context](#sec_step4)" - ] - }, - { - "cell_type": "markdown", - "id": "63944c4c", - "metadata": {}, - "source": [ - "\n", - "# SQL Agent with create_sql_agent\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "87082b5e", - "metadata": {}, - "outputs": [], - "source": [ - "from langchain_cohere import ChatCohere, create_sql_agent\n", - "from langchain_community.utilities.sql_database import SQLDatabase\n", - "import os" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "809a7264", - "metadata": {}, - "outputs": [], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "\n", - "#!pip install --quiet langchain langchain_cohere langchain_experimental --upgrade" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "e345b433", - "metadata": {}, - "outputs": [], - "source": [ - "# load the cohere api key\n", - "os.environ[\"COHERE_API_KEY\"] = \"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "24e44db7", - "metadata": {}, - "outputs": [], - "source": [ - "DB_NAME='Chinook.db'\n", - "MODEL=\"command-r-plus\"\n", - "\n", - "llm = ChatCohere(model=MODEL, temperature=0.1,verbose=True)\n", - "db = SQLDatabase.from_uri(f\"sqlite:///{DB_NAME}\")" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "c2633201", - "metadata": {}, - "outputs": [], - "source": [ - "agent_executor = create_sql_agent(llm, db=db, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "7e8799f1", - "metadata": {}, - "source": [ - "The create_sql_agent abstraction comes with access to the SQLDBTookit, and presents itself as an easy-to-use low-code solution to create an agent to answer questions over a db knowledge source. \n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "48424219", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new Cohere SQL Agent Executor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_list_tables` with `{'tool_input': ''}`\n", - "responded: I will use the sql_db_list_tables tool to find out what tables are available in the database.\n", - "\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mAlbum, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track\u001b[0m\u001b[32;1m\u001b[1;3mThe following tables are available:\n", - "- Album\n", - "- Artist\n", - "- Customer\n", - "- Employee\n", - "- Genre\n", - "- Invoice\n", - "- InvoiceLine\n", - "- MediaType\n", - "- Playlist\n", - "- PlaylistTrack\n", - "- Track\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'what tables are available?',\n", - " 'output': 'The following tables are available:\\n- Album\\n- Artist\\n- Customer\\n- Employee\\n- Genre\\n- Invoice\\n- InvoiceLine\\n- MediaType\\n- Playlist\\n- PlaylistTrack\\n- Track'}" - ] - }, - "execution_count": 20, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": 'what tables are available?',\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "04647284", - "metadata": {}, - "source": [ - "The agent is able to invoke the correct tool from the toolkit in order to find out the tables available in the db." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "e960bacb", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new Cohere SQL Agent Executor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_list_tables` with `{}`\n", - "responded: I will first list the tables in the database, then I will query the schema of the most relevant tables. After that, I will write and execute a SQL query to answer the user's question.\n", - "\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mAlbum, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_schema` with `{'table_names': 'Customer, Invoice'}`\n", - "responded: The tables in the database are: Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track.\n", - "\n", - "The most relevant tables for the user's question are Customer and Invoice. I will now query the schema of these tables.\n", - "\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE \"Customer\" (\n", - "\t\"CustomerId\" INTEGER NOT NULL, \n", - "\t\"FirstName\" NVARCHAR(40) NOT NULL, \n", - "\t\"LastName\" NVARCHAR(20) NOT NULL, \n", - "\t\"Company\" NVARCHAR(80), \n", - "\t\"Address\" NVARCHAR(70), \n", - "\t\"City\" NVARCHAR(40), \n", - "\t\"State\" NVARCHAR(40), \n", - "\t\"Country\" NVARCHAR(40), \n", - "\t\"PostalCode\" NVARCHAR(10), \n", - "\t\"Phone\" NVARCHAR(24), \n", - "\t\"Fax\" NVARCHAR(24), \n", - "\t\"Email\" NVARCHAR(60) NOT NULL, \n", - "\t\"SupportRepId\" INTEGER, \n", - "\tPRIMARY KEY (\"CustomerId\"), \n", - "\tFOREIGN KEY(\"SupportRepId\") REFERENCES \"Employee\" (\"EmployeeId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Customer table:\n", - "CustomerId\tFirstName\tLastName\tCompany\tAddress\tCity\tState\tCountry\tPostalCode\tPhone\tFax\tEmail\tSupportRepId\n", - "1\tLuís\tGonçalves\tEmbraer - Empresa Brasileira de Aeronáutica S.A.\tAv. Brigadeiro Faria Lima, 2170\tSão José dos Campos\tSP\tBrazil\t12227-000\t+55 (12) 3923-5555\t+55 (12) 3923-5566\tluisg@embraer.com.br\t3\n", - "2\tLeonie\tKöhler\tNone\tTheodor-Heuss-Straße 34\tStuttgart\tNone\tGermany\t70174\t+49 0711 2842222\tNone\tleonekohler@surfeu.de\t5\n", - "3\tFrançois\tTremblay\tNone\t1498 rue Bélanger\tMontréal\tQC\tCanada\tH2G 1A7\t+1 (514) 721-4711\tNone\tftremblay@gmail.com\t3\n", - "*/\n", - "\n", - "\n", - "CREATE TABLE \"Invoice\" (\n", - "\t\"InvoiceId\" INTEGER NOT NULL, \n", - "\t\"CustomerId\" INTEGER NOT NULL, \n", - "\t\"InvoiceDate\" DATETIME NOT NULL, \n", - "\t\"BillingAddress\" NVARCHAR(70), \n", - "\t\"BillingCity\" NVARCHAR(40), \n", - "\t\"BillingState\" NVARCHAR(40), \n", - "\t\"BillingCountry\" NVARCHAR(40), \n", - "\t\"BillingPostalCode\" NVARCHAR(10), \n", - "\t\"Total\" NUMERIC(10, 2) NOT NULL, \n", - "\tPRIMARY KEY (\"InvoiceId\"), \n", - "\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Invoice table:\n", - "InvoiceId\tCustomerId\tInvoiceDate\tBillingAddress\tBillingCity\tBillingState\tBillingCountry\tBillingPostalCode\tTotal\n", - "1\t2\t2021-01-01 00:00:00\tTheodor-Heuss-Straße 34\tStuttgart\tNone\tGermany\t70174\t1.98\n", - "2\t4\t2021-01-02 00:00:00\tUllevålsveien 14\tOslo\tNone\tNorway\t0171\t3.96\n", - "3\t8\t2021-01-03 00:00:00\tGrétrystraat 63\tBrussels\tNone\tBelgium\t1000\t5.94\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "Invoking: `sql_db_query` with `{'query': 'SELECT c.FirstName, c.LastName, SUM(i.Total) AS TotalSpent FROM Customer c JOIN Invoice i ON c.CustomerId = i.CustomerId GROUP BY c.CustomerId ORDER BY TotalSpent DESC LIMIT 1;'}`\n", - "responded: The Customer table contains information about each customer's ID, name, company, address, city, state, country, postal code, phone number, fax, email, and support representative ID. The Invoice table contains information about each invoice's ID, customer ID, date, billing address, billing city, billing state, billing country, billing postal code, and total amount.\n", - "\n", - "To answer the user's question, I will write a SQL query to find the customer who has spent the most money.\n", - "\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[('Helena', 'Holý', 49.62)]\u001b[0m\u001b[32;1m\u001b[1;3mThe best customer is Helena Holý, who has spent a total of 49.62.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'who is the best customer? The customer who has spent the most money is the best. Please write and execute the correct sql query to answer this question, and give me the result!',\n", - " 'output': 'The best customer is Helena Holý, who has spent a total of 49.62.'}" - ] - }, - "execution_count": 21, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": \"who is the best customer? The customer who has spent the most money is the best. Please write and execute the correct sql query to answer this question, and give me the result!\",\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "19d68263", - "metadata": {}, - "source": [ - "The agent is able to execute and query the relevant tables, including performing necessary table joins to answer the user query." - ] - }, - { - "cell_type": "markdown", - "id": "68e373fd", - "metadata": { - "collapsed": false - }, - "source": [ - "\n", - "# SQL Agent using Cohere ReAct Agent\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "id": "8a2802ee", - "metadata": {}, - "source": [ - "In the above section, we made use of an built in abstraction from langchain to perform question answering over a db knowledge source. \n", - "Let us now build a similar agent from the ground up and understand better how the different components like tools, prompts and function calling work hand in hand to enable a succesful agent implementation." - ] - }, - { - "cell_type": "markdown", - "id": "40282553", - "metadata": {}, - "source": [ - "\n", - "## Toolkit Setup\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "bce0ca82-fc00-40bb-9d0e-a274bd2eb8a7", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "bce0ca82-fc00-40bb-9d0e-a274bd2eb8a7", - "outputId": "c6c45466-2abc-40fb-8e0e-823d5339e902" - }, - "outputs": [], - "source": [ - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "from langchain_community.utilities.sql_database import SQLDatabase\n", - "from langchain_community.agent_toolkits import SQLDatabaseToolkit\n", - "import os" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "76287bf9-d98d-4a34-84c8-46134b85de53", - "metadata": {}, - "outputs": [], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "\n", - "#!pip install --quiet langchain langchain_cohere langchain_experimental --upgrade" - ] - }, - { - "cell_type": "markdown", - "id": "c8e8405d-080c-4426-aa94-57d975727dae", - "metadata": {}, - "source": [ - "Langchain already has a SQLDBToolkit that consists of 4 tools to handle SQL query generation, execution and validation. To use this, you simply need to define your LLM and DB and pass these into the Toolkit.\n", - "\n", - "These are the following tools:\n", - "- 'sql_db_query': executes SQL code on the database\n", - "- 'sql_db_schema': returns the schema of tables given the list of tables\n", - "- 'sql_db_list_tables': lists the tables in the database\n", - "- 'sql_db_query_checker': validates the SQL query\n", - "\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "6195f401-f0be-4a31-ab9f-80e722bb0fbb", - "metadata": {}, - "outputs": [], - "source": [ - "# load the cohere api key\n", - "os.environ[\"COHERE_API_KEY\"] = \"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "936212a7-fda6-4e12-a5e3-2fdd373660ae", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "**List of pre-defined Langchain Tools**\n", - "['sql_db_query', 'sql_db_schema', 'sql_db_list_tables', 'sql_db_query_checker']\n", - "\n", - "**Context to pass to LLM on tables**\n", - "{'table_info': '\\nCREATE TABLE \"Album\" (\\n\\t\"AlbumId\" INTEGER NOT NULL, \\n\\t\"Title\" NVARCHAR(160) NOT NULL, \\n\\t\"ArtistId\" INTEGER NOT NULL, \\n\\tPRIMARY KEY (\"AlbumId\"), \\n\\tFOREIGN KEY(\"ArtistId\") REFERENCES \"Artist\" (\"ArtistId\")\\n)\\n\\n/*\\n3 rows from Album table:\\nAlbumId\\tTitle\\tArtistId\\n1\\tFor Those About To Rock We Salute You\\t1\\n2\\tBalls to the Wall\\t2\\n3\\tRestless and Wild\\t2\\n*/\\n\\n\\nCREATE TABLE \"Artist\" (\\n\\t\"ArtistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"ArtistId\")\\n)\\n\\n/*\\n3 rows from Artist table:\\nArtistId\\tName\\n1\\tAC/DC\\n2\\tAccept\\n3\\tAerosmith\\n*/\\n\\n\\nCREATE TABLE \"Customer\" (\\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"FirstName\" NVARCHAR(40) NOT NULL, \\n\\t\"LastName\" NVARCHAR(20) NOT NULL, \\n\\t\"Company\" NVARCHAR(80), \\n\\t\"Address\" NVARCHAR(70), \\n\\t\"City\" NVARCHAR(40), \\n\\t\"State\" NVARCHAR(40), \\n\\t\"Country\" NVARCHAR(40), \\n\\t\"PostalCode\" NVARCHAR(10), \\n\\t\"Phone\" NVARCHAR(24), \\n\\t\"Fax\" NVARCHAR(24), \\n\\t\"Email\" NVARCHAR(60) NOT NULL, \\n\\t\"SupportRepId\" INTEGER, \\n\\tPRIMARY KEY (\"CustomerId\"), \\n\\tFOREIGN KEY(\"SupportRepId\") REFERENCES \"Employee\" (\"EmployeeId\")\\n)\\n\\n/*\\n3 rows from Customer table:\\nCustomerId\\tFirstName\\tLastName\\tCompany\\tAddress\\tCity\\tState\\tCountry\\tPostalCode\\tPhone\\tFax\\tEmail\\tSupportRepId\\n1\\tLuís\\tGonçalves\\tEmbraer - Empresa Brasileira de Aeronáutica S.A.\\tAv. Brigadeiro Faria Lima, 2170\\tSão José dos Campos\\tSP\\tBrazil\\t12227-000\\t+55 (12) 3923-5555\\t+55 (12) 3923-5566\\tluisg@embraer.com.br\\t3\\n2\\tLeonie\\tKöhler\\tNone\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t+49 0711 2842222\\tNone\\tleonekohler@surfeu.de\\t5\\n3\\tFrançois\\tTremblay\\tNone\\t1498 rue Bélanger\\tMontréal\\tQC\\tCanada\\tH2G 1A7\\t+1 (514) 721-4711\\tNone\\tftremblay@gmail.com\\t3\\n*/\\n\\n\\nCREATE TABLE \"Employee\" (\\n\\t\"EmployeeId\" INTEGER NOT NULL, \\n\\t\"LastName\" NVARCHAR(20) NOT NULL, \\n\\t\"FirstName\" NVARCHAR(20) NOT NULL, \\n\\t\"Title\" NVARCHAR(30), \\n\\t\"ReportsTo\" INTEGER, \\n\\t\"BirthDate\" DATETIME, \\n\\t\"HireDate\" DATETIME, \\n\\t\"Address\" NVARCHAR(70), \\n\\t\"City\" NVARCHAR(40), \\n\\t\"State\" NVARCHAR(40), \\n\\t\"Country\" NVARCHAR(40), \\n\\t\"PostalCode\" NVARCHAR(10), \\n\\t\"Phone\" NVARCHAR(24), \\n\\t\"Fax\" NVARCHAR(24), \\n\\t\"Email\" NVARCHAR(60), \\n\\tPRIMARY KEY (\"EmployeeId\"), \\n\\tFOREIGN KEY(\"ReportsTo\") REFERENCES \"Employee\" (\"EmployeeId\")\\n)\\n\\n/*\\n3 rows from Employee table:\\nEmployeeId\\tLastName\\tFirstName\\tTitle\\tReportsTo\\tBirthDate\\tHireDate\\tAddress\\tCity\\tState\\tCountry\\tPostalCode\\tPhone\\tFax\\tEmail\\n1\\tAdams\\tAndrew\\tGeneral Manager\\tNone\\t1962-02-18 00:00:00\\t2002-08-14 00:00:00\\t11120 Jasper Ave NW\\tEdmonton\\tAB\\tCanada\\tT5K 2N1\\t+1 (780) 428-9482\\t+1 (780) 428-3457\\tandrew@chinookcorp.com\\n2\\tEdwards\\tNancy\\tSales Manager\\t1\\t1958-12-08 00:00:00\\t2002-05-01 00:00:00\\t825 8 Ave SW\\tCalgary\\tAB\\tCanada\\tT2P 2T3\\t+1 (403) 262-3443\\t+1 (403) 262-3322\\tnancy@chinookcorp.com\\n3\\tPeacock\\tJane\\tSales Support Agent\\t2\\t1973-08-29 00:00:00\\t2002-04-01 00:00:00\\t1111 6 Ave SW\\tCalgary\\tAB\\tCanada\\tT2P 5M5\\t+1 (403) 262-3443\\t+1 (403) 262-6712\\tjane@chinookcorp.com\\n*/\\n\\n\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Invoice\" (\\n\\t\"InvoiceId\" INTEGER NOT NULL, \\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"InvoiceDate\" DATETIME NOT NULL, \\n\\t\"BillingAddress\" NVARCHAR(70), \\n\\t\"BillingCity\" NVARCHAR(40), \\n\\t\"BillingState\" NVARCHAR(40), \\n\\t\"BillingCountry\" NVARCHAR(40), \\n\\t\"BillingPostalCode\" NVARCHAR(10), \\n\\t\"Total\" NUMERIC(10, 2) NOT NULL, \\n\\tPRIMARY KEY (\"InvoiceId\"), \\n\\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\\n)\\n\\n/*\\n3 rows from Invoice table:\\nInvoiceId\\tCustomerId\\tInvoiceDate\\tBillingAddress\\tBillingCity\\tBillingState\\tBillingCountry\\tBillingPostalCode\\tTotal\\n1\\t2\\t2021-01-01 00:00:00\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t1.98\\n2\\t4\\t2021-01-02 00:00:00\\tUllevålsveien 14\\tOslo\\tNone\\tNorway\\t0171\\t3.96\\n3\\t8\\t2021-01-03 00:00:00\\tGrétrystraat 63\\tBrussels\\tNone\\tBelgium\\t1000\\t5.94\\n*/\\n\\n\\nCREATE TABLE \"InvoiceLine\" (\\n\\t\"InvoiceLineId\" INTEGER NOT NULL, \\n\\t\"InvoiceId\" INTEGER NOT NULL, \\n\\t\"TrackId\" INTEGER NOT NULL, \\n\\t\"UnitPrice\" NUMERIC(10, 2) NOT NULL, \\n\\t\"Quantity\" INTEGER NOT NULL, \\n\\tPRIMARY KEY (\"InvoiceLineId\"), \\n\\tFOREIGN KEY(\"TrackId\") REFERENCES \"Track\" (\"TrackId\"), \\n\\tFOREIGN KEY(\"InvoiceId\") REFERENCES \"Invoice\" (\"InvoiceId\")\\n)\\n\\n/*\\n3 rows from InvoiceLine table:\\nInvoiceLineId\\tInvoiceId\\tTrackId\\tUnitPrice\\tQuantity\\n1\\t1\\t2\\t0.99\\t1\\n2\\t1\\t4\\t0.99\\t1\\n3\\t2\\t6\\t0.99\\t1\\n*/\\n\\n\\nCREATE TABLE \"MediaType\" (\\n\\t\"MediaTypeId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"MediaTypeId\")\\n)\\n\\n/*\\n3 rows from MediaType table:\\nMediaTypeId\\tName\\n1\\tMPEG audio file\\n2\\tProtected AAC audio file\\n3\\tProtected MPEG-4 video file\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/\\n\\n\\nCREATE TABLE \"PlaylistTrack\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"TrackId\" INTEGER NOT NULL, \\n\\tPRIMARY KEY (\"PlaylistId\", \"TrackId\"), \\n\\tFOREIGN KEY(\"TrackId\") REFERENCES \"Track\" (\"TrackId\"), \\n\\tFOREIGN KEY(\"PlaylistId\") REFERENCES \"Playlist\" (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from PlaylistTrack table:\\nPlaylistId\\tTrackId\\n1\\t3402\\n1\\t3389\\n1\\t3390\\n*/\\n\\n\\nCREATE TABLE \"Track\" (\\n\\t\"TrackId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(200) NOT NULL, \\n\\t\"AlbumId\" INTEGER, \\n\\t\"MediaTypeId\" INTEGER NOT NULL, \\n\\t\"GenreId\" INTEGER, \\n\\t\"Composer\" NVARCHAR(220), \\n\\t\"Milliseconds\" INTEGER NOT NULL, \\n\\t\"Bytes\" INTEGER, \\n\\t\"UnitPrice\" NUMERIC(10, 2) NOT NULL, \\n\\tPRIMARY KEY (\"TrackId\"), \\n\\tFOREIGN KEY(\"MediaTypeId\") REFERENCES \"MediaType\" (\"MediaTypeId\"), \\n\\tFOREIGN KEY(\"GenreId\") REFERENCES \"Genre\" (\"GenreId\"), \\n\\tFOREIGN KEY(\"AlbumId\") REFERENCES \"Album\" (\"AlbumId\")\\n)\\n\\n/*\\n3 rows from Track table:\\nTrackId\\tName\\tAlbumId\\tMediaTypeId\\tGenreId\\tComposer\\tMilliseconds\\tBytes\\tUnitPrice\\n1\\tFor Those About To Rock (We Salute You)\\t1\\t1\\t1\\tAngus Young, Malcolm Young, Brian Johnson\\t343719\\t11170334\\t0.99\\n2\\tBalls to the Wall\\t2\\t2\\t1\\tU. Dirkschneider, W. Hoffmann, H. Frank, P. Baltes, S. Kaufmann, G. Hoffmann\\t342562\\t5510424\\t0.99\\n3\\tFast As a Shark\\t3\\t2\\t1\\tF. Baltes, S. Kaufman, U. Dirkscneider & W. Hoffman\\t230619\\t3990994\\t0.99\\n*/', 'table_names': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}\n" - ] - } - ], - "source": [ - "\n", - "DB_NAME='Chinook.db'\n", - "MODEL=\"command-r-plus\"\n", - "\n", - "llm = ChatCohere(model=MODEL, temperature=0.1,verbose=True)\n", - "db = SQLDatabase.from_uri(f\"sqlite:///{DB_NAME}\")\n", - "\n", - "\n", - "toolkit = SQLDatabaseToolkit(db=db, llm=llm)\n", - "context = toolkit.get_context()\n", - "tools = toolkit.get_tools()\n", - "\n", - "print('**List of pre-defined Langchain Tools**')\n", - "print([tool.name for tool in tools])\n", - "print('')\n", - "print('**Context to pass to LLM on tables**')\n", - "print(context)" - ] - }, - { - "cell_type": "markdown", - "id": "7554c397-76ae-46c8-9c76-b906f926febf", - "metadata": {}, - "source": [ - "\n", - "## SQL Agent\n", - "\n", - "we follow the general cohere react agent setup in Langchain to build our SQL agent." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "9be6f9eb-b1ba-4a4f-a5f3-5b775f0db69f", - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "# define the prompt template\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "# instantiate the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=llm,\n", - " tools=tools,\n", - " prompt=prompt,\n", - ")\n", - "agent_executor = AgentExecutor(agent=agent,\n", - " tools=tools,\n", - " verbose=True,\n", - " return_intermediate_steps=True\n", - " )\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "5ebb2d00-315d-462c-919b-749027133d89", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will use the sql_db_list_tables tool to find out what tables are available.\n", - "{'tool_name': 'sql_db_list_tables', 'parameters': {'tool_input': ''}}\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mAlbum, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The following tables are available: Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track.\n", - "Grounded answer: The following tables are available: Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'what tables are available?',\n", - " 'output': 'The following tables are available: Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track.',\n", - " 'citations': [CohereCitation(start=36, end=41, text='Album', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=43, end=49, text='Artist', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=51, end=59, text='Customer', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=61, end=69, text='Employee', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=71, end=76, text='Genre', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=78, end=85, text='Invoice', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=87, end=98, text='InvoiceLine', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=100, end=109, text='MediaType', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=111, end=119, text='Playlist', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=121, end=134, text='PlaylistTrack', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}]),\n", - " CohereCitation(start=136, end=141, text='Track', documents=[{'output': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}])],\n", - " 'intermediate_steps': [(AgentActionMessageLog(tool='sql_db_list_tables', tool_input={'tool_input': ''}, log=\"\\nI will use the sql_db_list_tables tool to find out what tables are available.\\n{'tool_name': 'sql_db_list_tables', 'parameters': {'tool_input': ''}}\\n\", message_log=[AIMessage(content='\\nPlan: I will use the sql_db_list_tables tool to find out what tables are available.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_list_tables\",\\n \"parameters\": {\\n \"tool_input\": \"\"\\n }\\n }\\n]\\n```')]),\n", - " 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track')]}" - ] - }, - "execution_count": 14, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": 'what tables are available?',\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "8a1fc000", - "metadata": { - "collapsed": false - }, - "source": [ - "The agent uses the list_tables tool to effectively highlight all the tables in the DB" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "77a3f5ac", - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will use the sql_db_schema tool to find the first row of the Playlist and Genre tables.\n", - "{'tool_name': 'sql_db_schema', 'parameters': {'table_names': 'Playlist, Genre'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE \"Genre\" (\n", - "\t\"GenreId\" INTEGER NOT NULL, \n", - "\t\"Name\" NVARCHAR(120), \n", - "\tPRIMARY KEY (\"GenreId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Genre table:\n", - "GenreId\tName\n", - "1\tRock\n", - "2\tJazz\n", - "3\tMetal\n", - "*/\n", - "\n", - "\n", - "CREATE TABLE \"Playlist\" (\n", - "\t\"PlaylistId\" INTEGER NOT NULL, \n", - "\t\"Name\" NVARCHAR(120), \n", - "\tPRIMARY KEY (\"PlaylistId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Playlist table:\n", - "PlaylistId\tName\n", - "1\tMusic\n", - "2\tMovies\n", - "3\tTV Shows\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: Here is the first row of the Genre table:\n", - "\n", - "| GenreId | Name |\n", - "| --- | --- |\n", - "| 1 | Rock |\n", - "\n", - "Here is the first row of the Playlist table:\n", - "\n", - "| PlaylistId | Name |\n", - "| --- | --- |\n", - "| 1 | Music |\n", - "Grounded answer: Here is the first row of the Genre table:\n", - "\n", - "| GenreId | Name |\n", - "| --- | --- |\n", - "| 1 | Rock |\n", - "\n", - "Here is the first row of the Playlist table:\n", - "\n", - "| PlaylistId | Name |\n", - "| --- | --- |\n", - "| 1 | Music |\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'show the first row of the Playlist and Genre tables?',\n", - " 'output': 'Here is the first row of the Genre table:\\n\\n| GenreId | Name |\\n| --- | --- |\\n| 1 | Rock |\\n\\nHere is the first row of the Playlist table:\\n\\n| PlaylistId | Name |\\n| --- | --- |\\n| 1 | Music |',\n", - " 'citations': [CohereCitation(start=45, end=52, text='GenreId', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=55, end=59, text='Name', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=78, end=79, text='1', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=82, end=86, text='Rock', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=138, end=148, text='PlaylistId', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=151, end=155, text='Name', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=174, end=175, text='1', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}]),\n", - " CohereCitation(start=178, end=183, text='Music', documents=[{'output': '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/'}])],\n", - " 'intermediate_steps': [(AgentActionMessageLog(tool='sql_db_schema', tool_input={'table_names': 'Playlist, Genre'}, log=\"\\nI will use the sql_db_schema tool to find the first row of the Playlist and Genre tables.\\n{'tool_name': 'sql_db_schema', 'parameters': {'table_names': 'Playlist, Genre'}}\\n\", message_log=[AIMessage(content='\\nPlan: I will use the sql_db_schema tool to find the first row of the Playlist and Genre tables.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_schema\",\\n \"parameters\": {\\n \"table_names\": \"Playlist, Genre\"\\n }\\n }\\n]\\n```')]),\n", - " '\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/')]}" - ] - }, - "execution_count": 16, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": 'show the first row of the Playlist and Genre tables?',\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "3e77d393-7fd8-42df-8ed2-59badb3b6d5b", - "metadata": {}, - "source": [ - "Here we see that the tool takes a list of tables to query the sql_db_schema tool to retrieve the various schemas" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "4466e2b1-8cd7-4e50-aab4-8a6d9f809491", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for the number of invoices per country and then write an answer based on the results.\n", - "{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT country, COUNT(*) AS invoice_count FROM invoices GROUP BY country ORDER BY invoice_count DESC'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mError: (sqlite3.OperationalError) no such table: invoices\n", - "[SQL: SELECT country, COUNT(*) AS invoice_count FROM invoices GROUP BY country ORDER BY invoice_count DESC]\n", - "(Background on this error at: https://sqlalche.me/e/20/e3q8)\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I received an error message. I will now use the sql_db_list_tables tool to find out the name of the table containing the invoices data.\n", - "{'tool_name': 'sql_db_list_tables', 'parameters': {'tool_input': ''}}\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mAlbum, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I found out that the table name is 'Invoice'. I will now rewrite my query and run it again.\n", - "{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mError: (sqlite3.OperationalError) no such column: country\n", - "[SQL: SELECT country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC]\n", - "(Background on this error at: https://sqlalche.me/e/20/e3q8)\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I received another error message. I will now use the sql_db_schema tool to find out the column names in the 'Invoice' table.\n", - "{'tool_name': 'sql_db_schema', 'parameters': {'table_names': 'Invoice'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE \"Invoice\" (\n", - "\t\"InvoiceId\" INTEGER NOT NULL, \n", - "\t\"CustomerId\" INTEGER NOT NULL, \n", - "\t\"InvoiceDate\" DATETIME NOT NULL, \n", - "\t\"BillingAddress\" NVARCHAR(70), \n", - "\t\"BillingCity\" NVARCHAR(40), \n", - "\t\"BillingState\" NVARCHAR(40), \n", - "\t\"BillingCountry\" NVARCHAR(40), \n", - "\t\"BillingPostalCode\" NVARCHAR(10), \n", - "\t\"Total\" NUMERIC(10, 2) NOT NULL, \n", - "\tPRIMARY KEY (\"InvoiceId\"), \n", - "\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Invoice table:\n", - "InvoiceId\tCustomerId\tInvoiceDate\tBillingAddress\tBillingCity\tBillingState\tBillingCountry\tBillingPostalCode\tTotal\n", - "1\t2\t2021-01-01 00:00:00\tTheodor-Heuss-Straße 34\tStuttgart\tNone\tGermany\t70174\t1.98\n", - "2\t4\t2021-01-02 00:00:00\tUllevålsveien 14\tOslo\tNone\tNorway\t0171\t3.96\n", - "3\t8\t2021-01-03 00:00:00\tGrétrystraat 63\tBrussels\tNone\tBelgium\t1000\t5.94\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I found out that the column name for country is 'BillingCountry'. I will now rewrite my query and run it again.\n", - "{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT BillingCountry AS country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[('USA', 91), ('Canada', 56), ('France', 35), ('Brazil', 35), ('Germany', 28), ('United Kingdom', 21), ('Portugal', 14), ('Czech Republic', 14), ('India', 13), ('Sweden', 7), ('Spain', 7), ('Poland', 7), ('Norway', 7), ('Netherlands', 7), ('Italy', 7), ('Ireland', 7), ('Hungary', 7), ('Finland', 7), ('Denmark', 7), ('Chile', 7), ('Belgium', 7), ('Austria', 7), ('Australia', 7), ('Argentina', 7)]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 1,3,4\n", - "Cited Documents: 4\n", - "Answer: The countries with the most invoices are the USA (91), Canada (56), and France (35).\n", - "Grounded answer: The countries with the most invoices are the USA (91), Canada (56), and France (35).\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'which countries have the most invoices?',\n", - " 'output': 'The countries with the most invoices are the USA (91), Canada (56), and France (35).',\n", - " 'citations': [CohereCitation(start=45, end=52, text='USA (91', documents=[{'output': \"[('USA', 91), ('Canada', 56), ('France', 35), ('Brazil', 35), ('Germany', 28), ('United Kingdom', 21), ('Portugal', 14), ('Czech Republic', 14), ('India', 13), ('Sweden', 7), ('Spain', 7), ('Poland', 7), ('Norway', 7), ('Netherlands', 7), ('Italy', 7), ('Ireland', 7), ('Hungary', 7), ('Finland', 7), ('Denmark', 7), ('Chile', 7), ('Belgium', 7), ('Austria', 7), ('Australia', 7), ('Argentina', 7)]\"}]),\n", - " CohereCitation(start=55, end=65, text='Canada (56', documents=[{'output': \"[('USA', 91), ('Canada', 56), ('France', 35), ('Brazil', 35), ('Germany', 28), ('United Kingdom', 21), ('Portugal', 14), ('Czech Republic', 14), ('India', 13), ('Sweden', 7), ('Spain', 7), ('Poland', 7), ('Norway', 7), ('Netherlands', 7), ('Italy', 7), ('Ireland', 7), ('Hungary', 7), ('Finland', 7), ('Denmark', 7), ('Chile', 7), ('Belgium', 7), ('Austria', 7), ('Australia', 7), ('Argentina', 7)]\"}]),\n", - " CohereCitation(start=72, end=82, text='France (35', documents=[{'output': \"[('USA', 91), ('Canada', 56), ('France', 35), ('Brazil', 35), ('Germany', 28), ('United Kingdom', 21), ('Portugal', 14), ('Czech Republic', 14), ('India', 13), ('Sweden', 7), ('Spain', 7), ('Poland', 7), ('Norway', 7), ('Netherlands', 7), ('Italy', 7), ('Ireland', 7), ('Hungary', 7), ('Finland', 7), ('Denmark', 7), ('Chile', 7), ('Belgium', 7), ('Austria', 7), ('Australia', 7), ('Argentina', 7)]\"}])],\n", - " 'intermediate_steps': [(AgentActionMessageLog(tool='sql_db_query', tool_input={'query': 'SELECT country, COUNT(*) AS invoice_count FROM invoices GROUP BY country ORDER BY invoice_count DESC'}, log=\"\\nI will search for the number of invoices per country and then write an answer based on the results.\\n{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT country, COUNT(*) AS invoice_count FROM invoices GROUP BY country ORDER BY invoice_count DESC'}}\\n\", message_log=[AIMessage(content='\\nPlan: I will search for the number of invoices per country and then write an answer based on the results.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_query\",\\n \"parameters\": {\\n \"query\": \"SELECT country, COUNT(*) AS invoice_count FROM invoices GROUP BY country ORDER BY invoice_count DESC\"\\n }\\n }\\n]\\n```')]),\n", - " 'Error: (sqlite3.OperationalError) no such table: invoices\\n[SQL: SELECT country, COUNT(*) AS invoice_count FROM invoices GROUP BY country ORDER BY invoice_count DESC]\\n(Background on this error at: https://sqlalche.me/e/20/e3q8)'),\n", - " (AgentActionMessageLog(tool='sql_db_list_tables', tool_input={'tool_input': ''}, log=\"\\nI received an error message. I will now use the sql_db_list_tables tool to find out the name of the table containing the invoices data.\\n{'tool_name': 'sql_db_list_tables', 'parameters': {'tool_input': ''}}\\n\", message_log=[AIMessage(content='\\nReflection: I received an error message. I will now use the sql_db_list_tables tool to find out the name of the table containing the invoices data.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_list_tables\",\\n \"parameters\": {\\n \"tool_input\": \"\"\\n }\\n }\\n]\\n```')]),\n", - " 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'),\n", - " (AgentActionMessageLog(tool='sql_db_query', tool_input={'query': 'SELECT country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC'}, log=\"\\nI found out that the table name is 'Invoice'. I will now rewrite my query and run it again.\\n{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC'}}\\n\", message_log=[AIMessage(content='\\nReflection: I found out that the table name is \\'Invoice\\'. I will now rewrite my query and run it again.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_query\",\\n \"parameters\": {\\n \"query\": \"SELECT country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC\"\\n }\\n }\\n]\\n```')]),\n", - " 'Error: (sqlite3.OperationalError) no such column: country\\n[SQL: SELECT country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC]\\n(Background on this error at: https://sqlalche.me/e/20/e3q8)'),\n", - " (AgentActionMessageLog(tool='sql_db_schema', tool_input={'table_names': 'Invoice'}, log=\"\\nI received another error message. I will now use the sql_db_schema tool to find out the column names in the 'Invoice' table.\\n{'tool_name': 'sql_db_schema', 'parameters': {'table_names': 'Invoice'}}\\n\", message_log=[AIMessage(content='\\nReflection: I received another error message. I will now use the sql_db_schema tool to find out the column names in the \\'Invoice\\' table.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_schema\",\\n \"parameters\": {\\n \"table_names\": \"Invoice\"\\n }\\n }\\n]\\n```')]),\n", - " '\\nCREATE TABLE \"Invoice\" (\\n\\t\"InvoiceId\" INTEGER NOT NULL, \\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"InvoiceDate\" DATETIME NOT NULL, \\n\\t\"BillingAddress\" NVARCHAR(70), \\n\\t\"BillingCity\" NVARCHAR(40), \\n\\t\"BillingState\" NVARCHAR(40), \\n\\t\"BillingCountry\" NVARCHAR(40), \\n\\t\"BillingPostalCode\" NVARCHAR(10), \\n\\t\"Total\" NUMERIC(10, 2) NOT NULL, \\n\\tPRIMARY KEY (\"InvoiceId\"), \\n\\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\\n)\\n\\n/*\\n3 rows from Invoice table:\\nInvoiceId\\tCustomerId\\tInvoiceDate\\tBillingAddress\\tBillingCity\\tBillingState\\tBillingCountry\\tBillingPostalCode\\tTotal\\n1\\t2\\t2021-01-01 00:00:00\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t1.98\\n2\\t4\\t2021-01-02 00:00:00\\tUllevålsveien 14\\tOslo\\tNone\\tNorway\\t0171\\t3.96\\n3\\t8\\t2021-01-03 00:00:00\\tGrétrystraat 63\\tBrussels\\tNone\\tBelgium\\t1000\\t5.94\\n*/'),\n", - " (AgentActionMessageLog(tool='sql_db_query', tool_input={'query': 'SELECT BillingCountry AS country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC'}, log=\"\\nI found out that the column name for country is 'BillingCountry'. I will now rewrite my query and run it again.\\n{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT BillingCountry AS country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC'}}\\n\", message_log=[AIMessage(content='\\nReflection: I found out that the column name for country is \\'BillingCountry\\'. I will now rewrite my query and run it again.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_query\",\\n \"parameters\": {\\n \"query\": \"SELECT BillingCountry AS country, COUNT(*) AS invoice_count FROM Invoice GROUP BY country ORDER BY invoice_count DESC\"\\n }\\n }\\n]\\n```')]),\n", - " \"[('USA', 91), ('Canada', 56), ('France', 35), ('Brazil', 35), ('Germany', 28), ('United Kingdom', 21), ('Portugal', 14), ('Czech Republic', 14), ('India', 13), ('Sweden', 7), ('Spain', 7), ('Poland', 7), ('Norway', 7), ('Netherlands', 7), ('Italy', 7), ('Ireland', 7), ('Hungary', 7), ('Finland', 7), ('Denmark', 7), ('Chile', 7), ('Belgium', 7), ('Austria', 7), ('Australia', 7), ('Argentina', 7)]\")]}" - ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": 'which countries have the most invoices?',\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "634500b5-8980-4ee9-93a3-172130feeb5a", - "metadata": {}, - "source": [ - "The agent initially makes some errors as it jumps to answer the question using the db_query tool, but it then realizes it needs to figure out what tables it has access to and what they look like. It then fixes the sql code and is able to generate the right answer" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "95144085-9a57-4b7d-ac8e-c0996c0d3e81", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for the customer who has spent the most money and write an answer based on the results.\n", - "{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT customer_name, SUM(total_price) AS total_spent FROM orders GROUP BY customer_name ORDER BY total_spent DESC LIMIT 1;'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3mError: (sqlite3.OperationalError) no such table: orders\n", - "[SQL: SELECT customer_name, SUM(total_price) AS total_spent FROM orders GROUP BY customer_name ORDER BY total_spent DESC LIMIT 1;]\n", - "(Background on this error at: https://sqlalche.me/e/20/e3q8)\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I received an error message. I will now use the sql_db_list_tables tool to find out which tables are available.\n", - "{'tool_name': 'sql_db_list_tables', 'parameters': {'tool_input': ''}}\n", - "\u001b[0m\u001b[38;5;200m\u001b[1;3mAlbum, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I found that there is a 'Customer' table and an 'Invoice' table. I will now use the sql_db_schema tool to find out more about these tables.\n", - "{'tool_name': 'sql_db_schema', 'parameters': {'table_names': 'Customer,Invoice'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3m\n", - "CREATE TABLE \"Customer\" (\n", - "\t\"CustomerId\" INTEGER NOT NULL, \n", - "\t\"FirstName\" NVARCHAR(40) NOT NULL, \n", - "\t\"LastName\" NVARCHAR(20) NOT NULL, \n", - "\t\"Company\" NVARCHAR(80), \n", - "\t\"Address\" NVARCHAR(70), \n", - "\t\"City\" NVARCHAR(40), \n", - "\t\"State\" NVARCHAR(40), \n", - "\t\"Country\" NVARCHAR(40), \n", - "\t\"PostalCode\" NVARCHAR(10), \n", - "\t\"Phone\" NVARCHAR(24), \n", - "\t\"Fax\" NVARCHAR(24), \n", - "\t\"Email\" NVARCHAR(60) NOT NULL, \n", - "\t\"SupportRepId\" INTEGER, \n", - "\tPRIMARY KEY (\"CustomerId\"), \n", - "\tFOREIGN KEY(\"SupportRepId\") REFERENCES \"Employee\" (\"EmployeeId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Customer table:\n", - "CustomerId\tFirstName\tLastName\tCompany\tAddress\tCity\tState\tCountry\tPostalCode\tPhone\tFax\tEmail\tSupportRepId\n", - "1\tLuís\tGonçalves\tEmbraer - Empresa Brasileira de Aeronáutica S.A.\tAv. Brigadeiro Faria Lima, 2170\tSão José dos Campos\tSP\tBrazil\t12227-000\t+55 (12) 3923-5555\t+55 (12) 3923-5566\tluisg@embraer.com.br\t3\n", - "2\tLeonie\tKöhler\tNone\tTheodor-Heuss-Straße 34\tStuttgart\tNone\tGermany\t70174\t+49 0711 2842222\tNone\tleonekohler@surfeu.de\t5\n", - "3\tFrançois\tTremblay\tNone\t1498 rue Bélanger\tMontréal\tQC\tCanada\tH2G 1A7\t+1 (514) 721-4711\tNone\tftremblay@gmail.com\t3\n", - "*/\n", - "\n", - "\n", - "CREATE TABLE \"Invoice\" (\n", - "\t\"InvoiceId\" INTEGER NOT NULL, \n", - "\t\"CustomerId\" INTEGER NOT NULL, \n", - "\t\"InvoiceDate\" DATETIME NOT NULL, \n", - "\t\"BillingAddress\" NVARCHAR(70), \n", - "\t\"BillingCity\" NVARCHAR(40), \n", - "\t\"BillingState\" NVARCHAR(40), \n", - "\t\"BillingCountry\" NVARCHAR(40), \n", - "\t\"BillingPostalCode\" NVARCHAR(10), \n", - "\t\"Total\" NUMERIC(10, 2) NOT NULL, \n", - "\tPRIMARY KEY (\"InvoiceId\"), \n", - "\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\n", - ")\n", - "\n", - "/*\n", - "3 rows from Invoice table:\n", - "InvoiceId\tCustomerId\tInvoiceDate\tBillingAddress\tBillingCity\tBillingState\tBillingCountry\tBillingPostalCode\tTotal\n", - "1\t2\t2021-01-01 00:00:00\tTheodor-Heuss-Straße 34\tStuttgart\tNone\tGermany\t70174\t1.98\n", - "2\t4\t2021-01-02 00:00:00\tUllevålsveien 14\tOslo\tNone\tNorway\t0171\t3.96\n", - "3\t8\t2021-01-03 00:00:00\tGrétrystraat 63\tBrussels\tNone\tBelgium\t1000\t5.94\n", - "*/\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I found that the 'Customer' table contains information about customers, including their names, addresses, and phone numbers. The 'Invoice' table contains information about invoices, including the customer ID, invoice date, and total amount. I will now write a new query to find the customer who has spent the most money.\n", - "{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT c.FirstName, c.LastName, SUM(i.Total) AS total_spent FROM Customer c JOIN Invoice i ON c.CustomerId = i.CustomerId GROUP BY c.CustomerId ORDER BY total_spent DESC LIMIT 1;'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[('Helena', 'Holý', 49.62)]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 1,2,3\n", - "Cited Documents: 3\n", - "Answer: The best customer is Helena Holý, who has spent a total of 49.62.\n", - "Grounded answer: The best customer is Helena Holý, who has spent a total of 49.62.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'who is the best customer? The customer who has spent the most money is the best.',\n", - " 'output': 'The best customer is Helena Holý, who has spent a total of 49.62.',\n", - " 'citations': [CohereCitation(start=21, end=32, text='Helena Holý', documents=[{'output': \"[('Helena', 'Holý', 49.62)]\"}]),\n", - " CohereCitation(start=59, end=64, text='49.62', documents=[{'output': \"[('Helena', 'Holý', 49.62)]\"}])],\n", - " 'intermediate_steps': [(AgentActionMessageLog(tool='sql_db_query', tool_input={'query': 'SELECT customer_name, SUM(total_price) AS total_spent FROM orders GROUP BY customer_name ORDER BY total_spent DESC LIMIT 1;'}, log=\"\\nI will search for the customer who has spent the most money and write an answer based on the results.\\n{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT customer_name, SUM(total_price) AS total_spent FROM orders GROUP BY customer_name ORDER BY total_spent DESC LIMIT 1;'}}\\n\", message_log=[AIMessage(content='\\nPlan: I will search for the customer who has spent the most money and write an answer based on the results.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_query\",\\n \"parameters\": {\\n \"query\": \"SELECT customer_name, SUM(total_price) AS total_spent FROM orders GROUP BY customer_name ORDER BY total_spent DESC LIMIT 1;\"\\n }\\n }\\n]\\n```')]),\n", - " 'Error: (sqlite3.OperationalError) no such table: orders\\n[SQL: SELECT customer_name, SUM(total_price) AS total_spent FROM orders GROUP BY customer_name ORDER BY total_spent DESC LIMIT 1;]\\n(Background on this error at: https://sqlalche.me/e/20/e3q8)'),\n", - " (AgentActionMessageLog(tool='sql_db_list_tables', tool_input={'tool_input': ''}, log=\"\\nI received an error message. I will now use the sql_db_list_tables tool to find out which tables are available.\\n{'tool_name': 'sql_db_list_tables', 'parameters': {'tool_input': ''}}\\n\", message_log=[AIMessage(content='\\nReflection: I received an error message. I will now use the sql_db_list_tables tool to find out which tables are available.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_list_tables\",\\n \"parameters\": {\\n \"tool_input\": \"\"\\n }\\n }\\n]\\n```')]),\n", - " 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'),\n", - " (AgentActionMessageLog(tool='sql_db_schema', tool_input={'table_names': 'Customer,Invoice'}, log=\"\\nI found that there is a 'Customer' table and an 'Invoice' table. I will now use the sql_db_schema tool to find out more about these tables.\\n{'tool_name': 'sql_db_schema', 'parameters': {'table_names': 'Customer,Invoice'}}\\n\", message_log=[AIMessage(content='\\nReflection: I found that there is a \\'Customer\\' table and an \\'Invoice\\' table. I will now use the sql_db_schema tool to find out more about these tables.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_schema\",\\n \"parameters\": {\\n \"table_names\": \"Customer,Invoice\"\\n }\\n }\\n]\\n```')]),\n", - " '\\nCREATE TABLE \"Customer\" (\\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"FirstName\" NVARCHAR(40) NOT NULL, \\n\\t\"LastName\" NVARCHAR(20) NOT NULL, \\n\\t\"Company\" NVARCHAR(80), \\n\\t\"Address\" NVARCHAR(70), \\n\\t\"City\" NVARCHAR(40), \\n\\t\"State\" NVARCHAR(40), \\n\\t\"Country\" NVARCHAR(40), \\n\\t\"PostalCode\" NVARCHAR(10), \\n\\t\"Phone\" NVARCHAR(24), \\n\\t\"Fax\" NVARCHAR(24), \\n\\t\"Email\" NVARCHAR(60) NOT NULL, \\n\\t\"SupportRepId\" INTEGER, \\n\\tPRIMARY KEY (\"CustomerId\"), \\n\\tFOREIGN KEY(\"SupportRepId\") REFERENCES \"Employee\" (\"EmployeeId\")\\n)\\n\\n/*\\n3 rows from Customer table:\\nCustomerId\\tFirstName\\tLastName\\tCompany\\tAddress\\tCity\\tState\\tCountry\\tPostalCode\\tPhone\\tFax\\tEmail\\tSupportRepId\\n1\\tLuís\\tGonçalves\\tEmbraer - Empresa Brasileira de Aeronáutica S.A.\\tAv. Brigadeiro Faria Lima, 2170\\tSão José dos Campos\\tSP\\tBrazil\\t12227-000\\t+55 (12) 3923-5555\\t+55 (12) 3923-5566\\tluisg@embraer.com.br\\t3\\n2\\tLeonie\\tKöhler\\tNone\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t+49 0711 2842222\\tNone\\tleonekohler@surfeu.de\\t5\\n3\\tFrançois\\tTremblay\\tNone\\t1498 rue Bélanger\\tMontréal\\tQC\\tCanada\\tH2G 1A7\\t+1 (514) 721-4711\\tNone\\tftremblay@gmail.com\\t3\\n*/\\n\\n\\nCREATE TABLE \"Invoice\" (\\n\\t\"InvoiceId\" INTEGER NOT NULL, \\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"InvoiceDate\" DATETIME NOT NULL, \\n\\t\"BillingAddress\" NVARCHAR(70), \\n\\t\"BillingCity\" NVARCHAR(40), \\n\\t\"BillingState\" NVARCHAR(40), \\n\\t\"BillingCountry\" NVARCHAR(40), \\n\\t\"BillingPostalCode\" NVARCHAR(10), \\n\\t\"Total\" NUMERIC(10, 2) NOT NULL, \\n\\tPRIMARY KEY (\"InvoiceId\"), \\n\\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\\n)\\n\\n/*\\n3 rows from Invoice table:\\nInvoiceId\\tCustomerId\\tInvoiceDate\\tBillingAddress\\tBillingCity\\tBillingState\\tBillingCountry\\tBillingPostalCode\\tTotal\\n1\\t2\\t2021-01-01 00:00:00\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t1.98\\n2\\t4\\t2021-01-02 00:00:00\\tUllevålsveien 14\\tOslo\\tNone\\tNorway\\t0171\\t3.96\\n3\\t8\\t2021-01-03 00:00:00\\tGrétrystraat 63\\tBrussels\\tNone\\tBelgium\\t1000\\t5.94\\n*/'),\n", - " (AgentActionMessageLog(tool='sql_db_query', tool_input={'query': 'SELECT c.FirstName, c.LastName, SUM(i.Total) AS total_spent FROM Customer c JOIN Invoice i ON c.CustomerId = i.CustomerId GROUP BY c.CustomerId ORDER BY total_spent DESC LIMIT 1;'}, log=\"\\nI found that the 'Customer' table contains information about customers, including their names, addresses, and phone numbers. The 'Invoice' table contains information about invoices, including the customer ID, invoice date, and total amount. I will now write a new query to find the customer who has spent the most money.\\n{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT c.FirstName, c.LastName, SUM(i.Total) AS total_spent FROM Customer c JOIN Invoice i ON c.CustomerId = i.CustomerId GROUP BY c.CustomerId ORDER BY total_spent DESC LIMIT 1;'}}\\n\", message_log=[AIMessage(content='\\nReflection: I found that the \\'Customer\\' table contains information about customers, including their names, addresses, and phone numbers. The \\'Invoice\\' table contains information about invoices, including the customer ID, invoice date, and total amount. I will now write a new query to find the customer who has spent the most money.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_query\",\\n \"parameters\": {\\n \"query\": \"SELECT c.FirstName, c.LastName, SUM(i.Total) AS total_spent FROM Customer c JOIN Invoice i ON c.CustomerId = i.CustomerId GROUP BY c.CustomerId ORDER BY total_spent DESC LIMIT 1;\"\\n }\\n }\\n]\\n```')]),\n", - " \"[('Helena', 'Holý', 49.62)]\")]}" - ] - }, - "execution_count": 18, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": 'who is the best customer? The customer who has spent the most money is the best.',\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "14ca1ab1-1deb-4c25-bc2d-6f047bcb49d9", - "metadata": {}, - "source": [ - "As you can see, the agent makes an error, but is able to rectify itself. It also manages to generate SQL query over two tables in the database" - ] - }, - { - "cell_type": "markdown", - "id": "1c9ea4d9-8f92-4780-b33e-e348b2fa854f", - "metadata": {}, - "source": [ - "\n", - "## SQL Agent with context" - ] - }, - { - "cell_type": "markdown", - "id": "1883f0f6-c1b9-41d0-9049-68d2101f8a9e", - "metadata": {}, - "source": [ - "From our experiments, we have found that passing in additional context to the preamble can help reduce the initial failures. This context is provided by the SQLDBToolkit and contains the first 3 rows of the tables in the Database" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "fd781ff2-ee5f-4fef-b1b4-fde58562383c", - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "{'table_info': '\\nCREATE TABLE \"Album\" (\\n\\t\"AlbumId\" INTEGER NOT NULL, \\n\\t\"Title\" NVARCHAR(160) NOT NULL, \\n\\t\"ArtistId\" INTEGER NOT NULL, \\n\\tPRIMARY KEY (\"AlbumId\"), \\n\\tFOREIGN KEY(\"ArtistId\") REFERENCES \"Artist\" (\"ArtistId\")\\n)\\n\\n/*\\n3 rows from Album table:\\nAlbumId\\tTitle\\tArtistId\\n1\\tFor Those About To Rock We Salute You\\t1\\n2\\tBalls to the Wall\\t2\\n3\\tRestless and Wild\\t2\\n*/\\n\\n\\nCREATE TABLE \"Artist\" (\\n\\t\"ArtistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"ArtistId\")\\n)\\n\\n/*\\n3 rows from Artist table:\\nArtistId\\tName\\n1\\tAC/DC\\n2\\tAccept\\n3\\tAerosmith\\n*/\\n\\n\\nCREATE TABLE \"Customer\" (\\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"FirstName\" NVARCHAR(40) NOT NULL, \\n\\t\"LastName\" NVARCHAR(20) NOT NULL, \\n\\t\"Company\" NVARCHAR(80), \\n\\t\"Address\" NVARCHAR(70), \\n\\t\"City\" NVARCHAR(40), \\n\\t\"State\" NVARCHAR(40), \\n\\t\"Country\" NVARCHAR(40), \\n\\t\"PostalCode\" NVARCHAR(10), \\n\\t\"Phone\" NVARCHAR(24), \\n\\t\"Fax\" NVARCHAR(24), \\n\\t\"Email\" NVARCHAR(60) NOT NULL, \\n\\t\"SupportRepId\" INTEGER, \\n\\tPRIMARY KEY (\"CustomerId\"), \\n\\tFOREIGN KEY(\"SupportRepId\") REFERENCES \"Employee\" (\"EmployeeId\")\\n)\\n\\n/*\\n3 rows from Customer table:\\nCustomerId\\tFirstName\\tLastName\\tCompany\\tAddress\\tCity\\tState\\tCountry\\tPostalCode\\tPhone\\tFax\\tEmail\\tSupportRepId\\n1\\tLuís\\tGonçalves\\tEmbraer - Empresa Brasileira de Aeronáutica S.A.\\tAv. Brigadeiro Faria Lima, 2170\\tSão José dos Campos\\tSP\\tBrazil\\t12227-000\\t+55 (12) 3923-5555\\t+55 (12) 3923-5566\\tluisg@embraer.com.br\\t3\\n2\\tLeonie\\tKöhler\\tNone\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t+49 0711 2842222\\tNone\\tleonekohler@surfeu.de\\t5\\n3\\tFrançois\\tTremblay\\tNone\\t1498 rue Bélanger\\tMontréal\\tQC\\tCanada\\tH2G 1A7\\t+1 (514) 721-4711\\tNone\\tftremblay@gmail.com\\t3\\n*/\\n\\n\\nCREATE TABLE \"Employee\" (\\n\\t\"EmployeeId\" INTEGER NOT NULL, \\n\\t\"LastName\" NVARCHAR(20) NOT NULL, \\n\\t\"FirstName\" NVARCHAR(20) NOT NULL, \\n\\t\"Title\" NVARCHAR(30), \\n\\t\"ReportsTo\" INTEGER, \\n\\t\"BirthDate\" DATETIME, \\n\\t\"HireDate\" DATETIME, \\n\\t\"Address\" NVARCHAR(70), \\n\\t\"City\" NVARCHAR(40), \\n\\t\"State\" NVARCHAR(40), \\n\\t\"Country\" NVARCHAR(40), \\n\\t\"PostalCode\" NVARCHAR(10), \\n\\t\"Phone\" NVARCHAR(24), \\n\\t\"Fax\" NVARCHAR(24), \\n\\t\"Email\" NVARCHAR(60), \\n\\tPRIMARY KEY (\"EmployeeId\"), \\n\\tFOREIGN KEY(\"ReportsTo\") REFERENCES \"Employee\" (\"EmployeeId\")\\n)\\n\\n/*\\n3 rows from Employee table:\\nEmployeeId\\tLastName\\tFirstName\\tTitle\\tReportsTo\\tBirthDate\\tHireDate\\tAddress\\tCity\\tState\\tCountry\\tPostalCode\\tPhone\\tFax\\tEmail\\n1\\tAdams\\tAndrew\\tGeneral Manager\\tNone\\t1962-02-18 00:00:00\\t2002-08-14 00:00:00\\t11120 Jasper Ave NW\\tEdmonton\\tAB\\tCanada\\tT5K 2N1\\t+1 (780) 428-9482\\t+1 (780) 428-3457\\tandrew@chinookcorp.com\\n2\\tEdwards\\tNancy\\tSales Manager\\t1\\t1958-12-08 00:00:00\\t2002-05-01 00:00:00\\t825 8 Ave SW\\tCalgary\\tAB\\tCanada\\tT2P 2T3\\t+1 (403) 262-3443\\t+1 (403) 262-3322\\tnancy@chinookcorp.com\\n3\\tPeacock\\tJane\\tSales Support Agent\\t2\\t1973-08-29 00:00:00\\t2002-04-01 00:00:00\\t1111 6 Ave SW\\tCalgary\\tAB\\tCanada\\tT2P 5M5\\t+1 (403) 262-3443\\t+1 (403) 262-6712\\tjane@chinookcorp.com\\n*/\\n\\n\\nCREATE TABLE \"Genre\" (\\n\\t\"GenreId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"GenreId\")\\n)\\n\\n/*\\n3 rows from Genre table:\\nGenreId\\tName\\n1\\tRock\\n2\\tJazz\\n3\\tMetal\\n*/\\n\\n\\nCREATE TABLE \"Invoice\" (\\n\\t\"InvoiceId\" INTEGER NOT NULL, \\n\\t\"CustomerId\" INTEGER NOT NULL, \\n\\t\"InvoiceDate\" DATETIME NOT NULL, \\n\\t\"BillingAddress\" NVARCHAR(70), \\n\\t\"BillingCity\" NVARCHAR(40), \\n\\t\"BillingState\" NVARCHAR(40), \\n\\t\"BillingCountry\" NVARCHAR(40), \\n\\t\"BillingPostalCode\" NVARCHAR(10), \\n\\t\"Total\" NUMERIC(10, 2) NOT NULL, \\n\\tPRIMARY KEY (\"InvoiceId\"), \\n\\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\\n)\\n\\n/*\\n3 rows from Invoice table:\\nInvoiceId\\tCustomerId\\tInvoiceDate\\tBillingAddress\\tBillingCity\\tBillingState\\tBillingCountry\\tBillingPostalCode\\tTotal\\n1\\t2\\t2021-01-01 00:00:00\\tTheodor-Heuss-Straße 34\\tStuttgart\\tNone\\tGermany\\t70174\\t1.98\\n2\\t4\\t2021-01-02 00:00:00\\tUllevålsveien 14\\tOslo\\tNone\\tNorway\\t0171\\t3.96\\n3\\t8\\t2021-01-03 00:00:00\\tGrétrystraat 63\\tBrussels\\tNone\\tBelgium\\t1000\\t5.94\\n*/\\n\\n\\nCREATE TABLE \"InvoiceLine\" (\\n\\t\"InvoiceLineId\" INTEGER NOT NULL, \\n\\t\"InvoiceId\" INTEGER NOT NULL, \\n\\t\"TrackId\" INTEGER NOT NULL, \\n\\t\"UnitPrice\" NUMERIC(10, 2) NOT NULL, \\n\\t\"Quantity\" INTEGER NOT NULL, \\n\\tPRIMARY KEY (\"InvoiceLineId\"), \\n\\tFOREIGN KEY(\"TrackId\") REFERENCES \"Track\" (\"TrackId\"), \\n\\tFOREIGN KEY(\"InvoiceId\") REFERENCES \"Invoice\" (\"InvoiceId\")\\n)\\n\\n/*\\n3 rows from InvoiceLine table:\\nInvoiceLineId\\tInvoiceId\\tTrackId\\tUnitPrice\\tQuantity\\n1\\t1\\t2\\t0.99\\t1\\n2\\t1\\t4\\t0.99\\t1\\n3\\t2\\t6\\t0.99\\t1\\n*/\\n\\n\\nCREATE TABLE \"MediaType\" (\\n\\t\"MediaTypeId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"MediaTypeId\")\\n)\\n\\n/*\\n3 rows from MediaType table:\\nMediaTypeId\\tName\\n1\\tMPEG audio file\\n2\\tProtected AAC audio file\\n3\\tProtected MPEG-4 video file\\n*/\\n\\n\\nCREATE TABLE \"Playlist\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(120), \\n\\tPRIMARY KEY (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from Playlist table:\\nPlaylistId\\tName\\n1\\tMusic\\n2\\tMovies\\n3\\tTV Shows\\n*/\\n\\n\\nCREATE TABLE \"PlaylistTrack\" (\\n\\t\"PlaylistId\" INTEGER NOT NULL, \\n\\t\"TrackId\" INTEGER NOT NULL, \\n\\tPRIMARY KEY (\"PlaylistId\", \"TrackId\"), \\n\\tFOREIGN KEY(\"TrackId\") REFERENCES \"Track\" (\"TrackId\"), \\n\\tFOREIGN KEY(\"PlaylistId\") REFERENCES \"Playlist\" (\"PlaylistId\")\\n)\\n\\n/*\\n3 rows from PlaylistTrack table:\\nPlaylistId\\tTrackId\\n1\\t3402\\n1\\t3389\\n1\\t3390\\n*/\\n\\n\\nCREATE TABLE \"Track\" (\\n\\t\"TrackId\" INTEGER NOT NULL, \\n\\t\"Name\" NVARCHAR(200) NOT NULL, \\n\\t\"AlbumId\" INTEGER, \\n\\t\"MediaTypeId\" INTEGER NOT NULL, \\n\\t\"GenreId\" INTEGER, \\n\\t\"Composer\" NVARCHAR(220), \\n\\t\"Milliseconds\" INTEGER NOT NULL, \\n\\t\"Bytes\" INTEGER, \\n\\t\"UnitPrice\" NUMERIC(10, 2) NOT NULL, \\n\\tPRIMARY KEY (\"TrackId\"), \\n\\tFOREIGN KEY(\"MediaTypeId\") REFERENCES \"MediaType\" (\"MediaTypeId\"), \\n\\tFOREIGN KEY(\"GenreId\") REFERENCES \"Genre\" (\"GenreId\"), \\n\\tFOREIGN KEY(\"AlbumId\") REFERENCES \"Album\" (\"AlbumId\")\\n)\\n\\n/*\\n3 rows from Track table:\\nTrackId\\tName\\tAlbumId\\tMediaTypeId\\tGenreId\\tComposer\\tMilliseconds\\tBytes\\tUnitPrice\\n1\\tFor Those About To Rock (We Salute You)\\t1\\t1\\t1\\tAngus Young, Malcolm Young, Brian Johnson\\t343719\\t11170334\\t0.99\\n2\\tBalls to the Wall\\t2\\t2\\t1\\tU. Dirkschneider, W. Hoffmann, H. Frank, P. Baltes, S. Kaufmann, G. Hoffmann\\t342562\\t5510424\\t0.99\\n3\\tFast As a Shark\\t3\\t2\\t1\\tF. Baltes, S. Kaufman, U. Dirkscneider & W. Hoffman\\t230619\\t3990994\\t0.99\\n*/',\n", - " 'table_names': 'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track'}" - ] - }, - "execution_count": 19, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "context" - ] - }, - { - "cell_type": "markdown", - "id": "d726ce1f-1b47-4b06-bd2e-8969c429dde9", - "metadata": {}, - "source": [ - "We can pass this context into the preamble and re-run a query to see how it performs." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "06913698-60ee-4b10-b28b-6462c565ad63", - "metadata": {}, - "outputs": [], - "source": [ - "preamble=\"\"\"## Task And Context\n", - "You use your advanced complex reasoning capabilities to help people by answering their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You may need to use multiple tools in parallel or sequentially to complete your task. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\n", - "## Additional Information\n", - "You are an expert who answers the user's question by creating SQL queries and executing them.\n", - "You are equipped with a number of relevant SQL tools.\n", - "\n", - "Here is information about the database:\n", - "{schema_info}\n", - "\"\"\".format(schema_info=context)" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "ad461d18-6ae9-40b5-8c85-a48ab9aa6bc0", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will write a SQL query to find the customer who has spent the most money.\n", - "{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT c.CustomerId, c.FirstName, c.LastName, SUM(i.Total) AS TotalSpent\\nFROM Customer c\\nJOIN Invoice i ON c.CustomerId = i.CustomerId\\nGROUP BY c.CustomerId\\nORDER BY TotalSpent DESC\\nLIMIT 1;'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[(6, 'Helena', 'Holý', 49.62)]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: The customer who has spent the most money is Helena Holý.\n", - "Grounded answer: The customer who has spent the most money is Helena Holý.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'provide the name of the best customer? The customer who has spent the most money is the best.',\n", - " 'preamble': '## Task And Context\\nYou use your advanced complex reasoning capabilities to help people by answering their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You may need to use multiple tools in parallel or sequentially to complete your task. You should focus on serving the user\\'s needs as best you can, which will be wide-ranging.\\n\\n## Style Guide\\nUnless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\\n\\n## Additional Information\\nYou are an expert who answers the user\\'s question by creating SQL queries and executing them.\\nYou are equipped with a number of relevant SQL tools.\\n\\nHere is information about the database:\\n{\\'table_info\\': \\'\\\\nCREATE TABLE \"Album\" (\\\\n\\\\t\"AlbumId\" INTEGER NOT NULL, \\\\n\\\\t\"Title\" NVARCHAR(160) NOT NULL, \\\\n\\\\t\"ArtistId\" INTEGER NOT NULL, \\\\n\\\\tPRIMARY KEY (\"AlbumId\"), \\\\n\\\\tFOREIGN KEY(\"ArtistId\") REFERENCES \"Artist\" (\"ArtistId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Album table:\\\\nAlbumId\\\\tTitle\\\\tArtistId\\\\n1\\\\tFor Those About To Rock We Salute You\\\\t1\\\\n2\\\\tBalls to the Wall\\\\t2\\\\n3\\\\tRestless and Wild\\\\t2\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Artist\" (\\\\n\\\\t\"ArtistId\" INTEGER NOT NULL, \\\\n\\\\t\"Name\" NVARCHAR(120), \\\\n\\\\tPRIMARY KEY (\"ArtistId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Artist table:\\\\nArtistId\\\\tName\\\\n1\\\\tAC/DC\\\\n2\\\\tAccept\\\\n3\\\\tAerosmith\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Customer\" (\\\\n\\\\t\"CustomerId\" INTEGER NOT NULL, \\\\n\\\\t\"FirstName\" NVARCHAR(40) NOT NULL, \\\\n\\\\t\"LastName\" NVARCHAR(20) NOT NULL, \\\\n\\\\t\"Company\" NVARCHAR(80), \\\\n\\\\t\"Address\" NVARCHAR(70), \\\\n\\\\t\"City\" NVARCHAR(40), \\\\n\\\\t\"State\" NVARCHAR(40), \\\\n\\\\t\"Country\" NVARCHAR(40), \\\\n\\\\t\"PostalCode\" NVARCHAR(10), \\\\n\\\\t\"Phone\" NVARCHAR(24), \\\\n\\\\t\"Fax\" NVARCHAR(24), \\\\n\\\\t\"Email\" NVARCHAR(60) NOT NULL, \\\\n\\\\t\"SupportRepId\" INTEGER, \\\\n\\\\tPRIMARY KEY (\"CustomerId\"), \\\\n\\\\tFOREIGN KEY(\"SupportRepId\") REFERENCES \"Employee\" (\"EmployeeId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Customer table:\\\\nCustomerId\\\\tFirstName\\\\tLastName\\\\tCompany\\\\tAddress\\\\tCity\\\\tState\\\\tCountry\\\\tPostalCode\\\\tPhone\\\\tFax\\\\tEmail\\\\tSupportRepId\\\\n1\\\\tLuís\\\\tGonçalves\\\\tEmbraer - Empresa Brasileira de Aeronáutica S.A.\\\\tAv. Brigadeiro Faria Lima, 2170\\\\tSão José dos Campos\\\\tSP\\\\tBrazil\\\\t12227-000\\\\t+55 (12) 3923-5555\\\\t+55 (12) 3923-5566\\\\tluisg@embraer.com.br\\\\t3\\\\n2\\\\tLeonie\\\\tKöhler\\\\tNone\\\\tTheodor-Heuss-Straße 34\\\\tStuttgart\\\\tNone\\\\tGermany\\\\t70174\\\\t+49 0711 2842222\\\\tNone\\\\tleonekohler@surfeu.de\\\\t5\\\\n3\\\\tFrançois\\\\tTremblay\\\\tNone\\\\t1498 rue Bélanger\\\\tMontréal\\\\tQC\\\\tCanada\\\\tH2G 1A7\\\\t+1 (514) 721-4711\\\\tNone\\\\tftremblay@gmail.com\\\\t3\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Employee\" (\\\\n\\\\t\"EmployeeId\" INTEGER NOT NULL, \\\\n\\\\t\"LastName\" NVARCHAR(20) NOT NULL, \\\\n\\\\t\"FirstName\" NVARCHAR(20) NOT NULL, \\\\n\\\\t\"Title\" NVARCHAR(30), \\\\n\\\\t\"ReportsTo\" INTEGER, \\\\n\\\\t\"BirthDate\" DATETIME, \\\\n\\\\t\"HireDate\" DATETIME, \\\\n\\\\t\"Address\" NVARCHAR(70), \\\\n\\\\t\"City\" NVARCHAR(40), \\\\n\\\\t\"State\" NVARCHAR(40), \\\\n\\\\t\"Country\" NVARCHAR(40), \\\\n\\\\t\"PostalCode\" NVARCHAR(10), \\\\n\\\\t\"Phone\" NVARCHAR(24), \\\\n\\\\t\"Fax\" NVARCHAR(24), \\\\n\\\\t\"Email\" NVARCHAR(60), \\\\n\\\\tPRIMARY KEY (\"EmployeeId\"), \\\\n\\\\tFOREIGN KEY(\"ReportsTo\") REFERENCES \"Employee\" (\"EmployeeId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Employee table:\\\\nEmployeeId\\\\tLastName\\\\tFirstName\\\\tTitle\\\\tReportsTo\\\\tBirthDate\\\\tHireDate\\\\tAddress\\\\tCity\\\\tState\\\\tCountry\\\\tPostalCode\\\\tPhone\\\\tFax\\\\tEmail\\\\n1\\\\tAdams\\\\tAndrew\\\\tGeneral Manager\\\\tNone\\\\t1962-02-18 00:00:00\\\\t2002-08-14 00:00:00\\\\t11120 Jasper Ave NW\\\\tEdmonton\\\\tAB\\\\tCanada\\\\tT5K 2N1\\\\t+1 (780) 428-9482\\\\t+1 (780) 428-3457\\\\tandrew@chinookcorp.com\\\\n2\\\\tEdwards\\\\tNancy\\\\tSales Manager\\\\t1\\\\t1958-12-08 00:00:00\\\\t2002-05-01 00:00:00\\\\t825 8 Ave SW\\\\tCalgary\\\\tAB\\\\tCanada\\\\tT2P 2T3\\\\t+1 (403) 262-3443\\\\t+1 (403) 262-3322\\\\tnancy@chinookcorp.com\\\\n3\\\\tPeacock\\\\tJane\\\\tSales Support Agent\\\\t2\\\\t1973-08-29 00:00:00\\\\t2002-04-01 00:00:00\\\\t1111 6 Ave SW\\\\tCalgary\\\\tAB\\\\tCanada\\\\tT2P 5M5\\\\t+1 (403) 262-3443\\\\t+1 (403) 262-6712\\\\tjane@chinookcorp.com\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Genre\" (\\\\n\\\\t\"GenreId\" INTEGER NOT NULL, \\\\n\\\\t\"Name\" NVARCHAR(120), \\\\n\\\\tPRIMARY KEY (\"GenreId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Genre table:\\\\nGenreId\\\\tName\\\\n1\\\\tRock\\\\n2\\\\tJazz\\\\n3\\\\tMetal\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Invoice\" (\\\\n\\\\t\"InvoiceId\" INTEGER NOT NULL, \\\\n\\\\t\"CustomerId\" INTEGER NOT NULL, \\\\n\\\\t\"InvoiceDate\" DATETIME NOT NULL, \\\\n\\\\t\"BillingAddress\" NVARCHAR(70), \\\\n\\\\t\"BillingCity\" NVARCHAR(40), \\\\n\\\\t\"BillingState\" NVARCHAR(40), \\\\n\\\\t\"BillingCountry\" NVARCHAR(40), \\\\n\\\\t\"BillingPostalCode\" NVARCHAR(10), \\\\n\\\\t\"Total\" NUMERIC(10, 2) NOT NULL, \\\\n\\\\tPRIMARY KEY (\"InvoiceId\"), \\\\n\\\\tFOREIGN KEY(\"CustomerId\") REFERENCES \"Customer\" (\"CustomerId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Invoice table:\\\\nInvoiceId\\\\tCustomerId\\\\tInvoiceDate\\\\tBillingAddress\\\\tBillingCity\\\\tBillingState\\\\tBillingCountry\\\\tBillingPostalCode\\\\tTotal\\\\n1\\\\t2\\\\t2021-01-01 00:00:00\\\\tTheodor-Heuss-Straße 34\\\\tStuttgart\\\\tNone\\\\tGermany\\\\t70174\\\\t1.98\\\\n2\\\\t4\\\\t2021-01-02 00:00:00\\\\tUllevålsveien 14\\\\tOslo\\\\tNone\\\\tNorway\\\\t0171\\\\t3.96\\\\n3\\\\t8\\\\t2021-01-03 00:00:00\\\\tGrétrystraat 63\\\\tBrussels\\\\tNone\\\\tBelgium\\\\t1000\\\\t5.94\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"InvoiceLine\" (\\\\n\\\\t\"InvoiceLineId\" INTEGER NOT NULL, \\\\n\\\\t\"InvoiceId\" INTEGER NOT NULL, \\\\n\\\\t\"TrackId\" INTEGER NOT NULL, \\\\n\\\\t\"UnitPrice\" NUMERIC(10, 2) NOT NULL, \\\\n\\\\t\"Quantity\" INTEGER NOT NULL, \\\\n\\\\tPRIMARY KEY (\"InvoiceLineId\"), \\\\n\\\\tFOREIGN KEY(\"TrackId\") REFERENCES \"Track\" (\"TrackId\"), \\\\n\\\\tFOREIGN KEY(\"InvoiceId\") REFERENCES \"Invoice\" (\"InvoiceId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from InvoiceLine table:\\\\nInvoiceLineId\\\\tInvoiceId\\\\tTrackId\\\\tUnitPrice\\\\tQuantity\\\\n1\\\\t1\\\\t2\\\\t0.99\\\\t1\\\\n2\\\\t1\\\\t4\\\\t0.99\\\\t1\\\\n3\\\\t2\\\\t6\\\\t0.99\\\\t1\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"MediaType\" (\\\\n\\\\t\"MediaTypeId\" INTEGER NOT NULL, \\\\n\\\\t\"Name\" NVARCHAR(120), \\\\n\\\\tPRIMARY KEY (\"MediaTypeId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from MediaType table:\\\\nMediaTypeId\\\\tName\\\\n1\\\\tMPEG audio file\\\\n2\\\\tProtected AAC audio file\\\\n3\\\\tProtected MPEG-4 video file\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Playlist\" (\\\\n\\\\t\"PlaylistId\" INTEGER NOT NULL, \\\\n\\\\t\"Name\" NVARCHAR(120), \\\\n\\\\tPRIMARY KEY (\"PlaylistId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Playlist table:\\\\nPlaylistId\\\\tName\\\\n1\\\\tMusic\\\\n2\\\\tMovies\\\\n3\\\\tTV Shows\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"PlaylistTrack\" (\\\\n\\\\t\"PlaylistId\" INTEGER NOT NULL, \\\\n\\\\t\"TrackId\" INTEGER NOT NULL, \\\\n\\\\tPRIMARY KEY (\"PlaylistId\", \"TrackId\"), \\\\n\\\\tFOREIGN KEY(\"TrackId\") REFERENCES \"Track\" (\"TrackId\"), \\\\n\\\\tFOREIGN KEY(\"PlaylistId\") REFERENCES \"Playlist\" (\"PlaylistId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from PlaylistTrack table:\\\\nPlaylistId\\\\tTrackId\\\\n1\\\\t3402\\\\n1\\\\t3389\\\\n1\\\\t3390\\\\n*/\\\\n\\\\n\\\\nCREATE TABLE \"Track\" (\\\\n\\\\t\"TrackId\" INTEGER NOT NULL, \\\\n\\\\t\"Name\" NVARCHAR(200) NOT NULL, \\\\n\\\\t\"AlbumId\" INTEGER, \\\\n\\\\t\"MediaTypeId\" INTEGER NOT NULL, \\\\n\\\\t\"GenreId\" INTEGER, \\\\n\\\\t\"Composer\" NVARCHAR(220), \\\\n\\\\t\"Milliseconds\" INTEGER NOT NULL, \\\\n\\\\t\"Bytes\" INTEGER, \\\\n\\\\t\"UnitPrice\" NUMERIC(10, 2) NOT NULL, \\\\n\\\\tPRIMARY KEY (\"TrackId\"), \\\\n\\\\tFOREIGN KEY(\"MediaTypeId\") REFERENCES \"MediaType\" (\"MediaTypeId\"), \\\\n\\\\tFOREIGN KEY(\"GenreId\") REFERENCES \"Genre\" (\"GenreId\"), \\\\n\\\\tFOREIGN KEY(\"AlbumId\") REFERENCES \"Album\" (\"AlbumId\")\\\\n)\\\\n\\\\n/*\\\\n3 rows from Track table:\\\\nTrackId\\\\tName\\\\tAlbumId\\\\tMediaTypeId\\\\tGenreId\\\\tComposer\\\\tMilliseconds\\\\tBytes\\\\tUnitPrice\\\\n1\\\\tFor Those About To Rock (We Salute You)\\\\t1\\\\t1\\\\t1\\\\tAngus Young, Malcolm Young, Brian Johnson\\\\t343719\\\\t11170334\\\\t0.99\\\\n2\\\\tBalls to the Wall\\\\t2\\\\t2\\\\t1\\\\tU. Dirkschneider, W. Hoffmann, H. Frank, P. Baltes, S. Kaufmann, G. Hoffmann\\\\t342562\\\\t5510424\\\\t0.99\\\\n3\\\\tFast As a Shark\\\\t3\\\\t2\\\\t1\\\\tF. Baltes, S. Kaufman, U. Dirkscneider & W. Hoffman\\\\t230619\\\\t3990994\\\\t0.99\\\\n*/\\', \\'table_names\\': \\'Album, Artist, Customer, Employee, Genre, Invoice, InvoiceLine, MediaType, Playlist, PlaylistTrack, Track\\'}\\n',\n", - " 'output': 'The customer who has spent the most money is Helena Holý.',\n", - " 'citations': [CohereCitation(start=45, end=56, text='Helena Holý', documents=[{'output': \"[(6, 'Helena', 'Holý', 49.62)]\"}])],\n", - " 'intermediate_steps': [(AgentActionMessageLog(tool='sql_db_query', tool_input={'query': 'SELECT c.CustomerId, c.FirstName, c.LastName, SUM(i.Total) AS TotalSpent\\nFROM Customer c\\nJOIN Invoice i ON c.CustomerId = i.CustomerId\\nGROUP BY c.CustomerId\\nORDER BY TotalSpent DESC\\nLIMIT 1;'}, log=\"\\nI will write a SQL query to find the customer who has spent the most money.\\n{'tool_name': 'sql_db_query', 'parameters': {'query': 'SELECT c.CustomerId, c.FirstName, c.LastName, SUM(i.Total) AS TotalSpent\\\\nFROM Customer c\\\\nJOIN Invoice i ON c.CustomerId = i.CustomerId\\\\nGROUP BY c.CustomerId\\\\nORDER BY TotalSpent DESC\\\\nLIMIT 1;'}}\\n\", message_log=[AIMessage(content='\\nPlan: I will write a SQL query to find the customer who has spent the most money.\\nAction: ```json\\n[\\n {\\n \"tool_name\": \"sql_db_query\",\\n \"parameters\": {\\n \"query\": \"SELECT c.CustomerId, c.FirstName, c.LastName, SUM(i.Total) AS TotalSpent\\\\nFROM Customer c\\\\nJOIN Invoice i ON c.CustomerId = i.CustomerId\\\\nGROUP BY c.CustomerId\\\\nORDER BY TotalSpent DESC\\\\nLIMIT 1;\"\\n }\\n }\\n]\\n```')]),\n", - " \"[(6, 'Helena', 'Holý', 49.62)]\")]}" - ] - }, - "execution_count": 23, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke({\n", - " \"input\": 'provide the name of the best customer? The customer who has spent the most money is the best.',\n", - " \"preamble\": preamble\n", - "})" - ] - }, - { - "cell_type": "markdown", - "id": "b1cfcaaa-b1c0-4ded-baaa-7bbdb097be6e", - "metadata": {}, - "source": [ - "Great, we can see that passing that additional context actually avoids the error seen in the previous section and gets to the answer in one tool call. Of course this works as long as you have a few tables and a few columns per table. We will follow up with more techniques to improve stability and scalability in another notebook." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "95a1feed-1480-497d-bacf-9ab2667b573c", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.4" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/sql_agent.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/finetuning/Command R finetuning sagemaker.ipynb b/notebooks/finetuning/Command R finetuning sagemaker.ipynb index e6967f86..7cfdd8c1 100644 --- a/notebooks/finetuning/Command R finetuning sagemaker.ipynb +++ b/notebooks/finetuning/Command R finetuning sagemaker.ipynb @@ -1,471 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Finetune and deploy a custom Command-R model\n", - "\n", - "This sample notebook shows you how to finetune and deploy a custom Command-R model using Amazon SageMaker.\n", - "\n", - "> **Note**: This is a reference notebook and it cannot run unless you make changes suggested in the notebook.\n", - "\n", - "## Pre-requisites:\n", - "1. **Note: This notebook contains elements which render correctly in Jupyter interface. Open this notebook from an Amazon SageMaker Notebook Instance or Amazon SageMaker Studio.**\n", - "1. Ensure that IAM role used has **AmazonSageMakerFullAccess**\n", - "1. To deploy this ML model successfully, ensure that:\n", - " 1. Either your IAM role has these three permissions and you have authority to make AWS Marketplace subscriptions in the AWS account used: \n", - " 1. **aws-marketplace:ViewSubscriptions**\n", - " 1. **aws-marketplace:Unsubscribe**\n", - " 1. **aws-marketplace:Subscribe** \n", - " 2. or your AWS account has a subscription to the packages for either [Cohere Command R 082024 Finetuning](https://aws.amazon.com/marketplace/pp/prodview-alpjcwhoq7pfk) or [Cohere Command R Finetuning](https://aws.amazon.com/marketplace/pp/prodview-2czs5tbao7b7c). If so, skip step: [Subscribe to the finetune algorithm](#1.-Subscribe-to-the-finetune-algorithm)\n", - "\n", - "## Contents:\n", - "1. [Subscribe to the finetune algorithm](#1.-Subscribe-to-the-finetune-algorithm)\n", - "2. [Upload data and finetune Command-R Model](#2.-Upload-data-and-finetune-Command-R)\n", - "3. [Create an endpoint for inference with the custom model](#3.-Create-an-endpoint-for-inference-with-the-custom-model)\n", - " 1. [Create an endpoint](#A.-Create-an-endpoint)\n", - " 2. [Perform real-time inference](#B.-Perform-real-time-inference)\n", - "4. [Clean-up](#4.-Clean-up)\n", - " 1. [Delete the endpoint](#A.-Delete-the-endpoint)\n", - " 2. [Unsubscribe to the listing (optional)](#Unsubscribe-to-the-listing-(optional))\n", - " \n", - "\n", - "## Usage instructions\n", - "You can run this notebook one cell at a time (By using Shift+Enter for running a cell)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 1. Subscribe to the finetune algorithm" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To subscribe to the model algorithm:\n", - "1. Open the algorithm listing page for either [Cohere Command R 082024 Finetuning](https://aws.amazon.com/marketplace/pp/prodview-alpjcwhoq7pfk) or [Cohere Command R Finetuning](https://aws.amazon.com/marketplace/pp/prodview-2czs5tbao7b7c)\n", - "2. On the AWS Marketplace listing, click on the **Continue to Subscribe** button.\n", - "3. On the **Subscribe to this software** page, review and click on **\"Accept Offer\"** if you and your organization agrees with EULA, pricing, and support terms. On the \"Configure and launch\" page, make sure ARN displayed in your region match with the ARN in the following cell." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "scrolled": true - }, - "outputs": [], - "source": [ - "!pip install \"cohere>=5.11.0\"\n", - "\n", - "import cohere\n", - "import boto3\n", - "import sagemaker as sage\n", - "from sagemaker.s3 import S3Uploader" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The algorithm is available in the list of AWS regions specified below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "region = boto3.Session().region_name\n", - "\n", - "# Command R 082024 Finetuning\n", - "cohere_package = \"cohere-command-r-v2-ft-cf30836984573101bba9b820364893bc\"\n", - "# Legacy package for Command R Finetuning\n", - "# cohere_package = \"cohere-command-r-ft-v-0-1-2-bae2282f0f4a30bca8bc6fea9efeb7ca\"\n", - "\n", - "# Mapping for algorithms\n", - "algorithm_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:algorithm/{cohere_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:algorithm/{cohere_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:algorithm/{cohere_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:algorithm/{cohere_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:algorithm/{cohere_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:algorithm/{cohere_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:algorithm/{cohere_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:algorithm/{cohere_package}\",\n", - "}\n", - "if region not in algorithm_map.keys():\n", - " raise Exception(f\"Current boto3 session region {region} is not supported.\")\n", - "\n", - "arn = algorithm_map[region]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 2. Upload data and finetune Command-R\n", - "\n", - "Select a path on S3 to store the training and evaluation datasets and update the **s3_data_dir** below:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "s3_data_dir = \"s3://...\" # Do not add a trailing slash otherwise the upload will not work" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Upload sample training data to S3:\n", - "\n", - "### Note:\n", - "\n", - "You'll need your data in a .jsonl file that contains chat-formatted data. [Doc](https://docs.cohere.com/docs/chat-preparing-the-data#data-requirements)\n", - "\n", - "\n", - "### Example:\n", - "\n", - "JSONL:\n", - "```\n", - "{\n", - " \"messages\": [\n", - " {\n", - " \"role\": \"System\",\n", - " \"content\": \"You are a chatbot trained to answer to my every question.\"\n", - " },\n", - " {\n", - " \"role\": \"User\",\n", - " \"content\": \"Hello\"\n", - " },\n", - " {\n", - " \"role\": \"Chatbot\",\n", - " \"content\": \"Greetings! How can I help you?\"\n", - " },\n", - " {\n", - " \"role\": \"User\",\n", - " \"content\": \"What makes a good running route?\"\n", - " },\n", - " {\n", - " \"role\": \"Chatbot\",\n", - " \"content\": \"A sidewalk-lined road is ideal so that you're up and off the road away from vehicular traffic.\"\n", - " }\n", - " ]\n", - "}\n", - "```\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "sess = sage.Session()\n", - "# TODO[Optional]: change it to your data\n", - "train_dataset = S3Uploader.upload(\"../data/scienceQA_train.jsonl\", s3_data_dir, sagemaker_session=sess)\n", - "# optional eval dataset\n", - "eval_dataset = S3Uploader.upload(\"../data/scienceQA_eval.jsonl\", s3_data_dir, sagemaker_session=sess)\n", - "print(\"traint_dataset\", train_dataset)\n", - "print(\"eval_dataset\", eval_dataset)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**Note:** If eval dataset is absent, we will auto-split the training dataset into training and evaluation datasets with the ratio of 80:20.\n", - "\n", - "Each dataset must contain at least 1 examples. If an evaluation dataset is absent, training dataset must cointain at least 2 examples.\n", - "\n", - "We recommend using a dataset than contains at least 100 examples but a larger dataset is likely to yield high quality finetunes. Be aware that a larger dataset would mean that the time to finetune would also be longer." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Specify a directory on S3 where finetuned models should be stored. **Make sure you *do not reuse the same directory* across multiple runs.** " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# TODO update this with a custom S3 path\n", - "# DO NOT add a trailing slash at the end\n", - "s3_models_dir = f\"s3://...\" " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Create Cohere client:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co = cohere.SagemakerClient(aws_region=region)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### Optional: Define hyperparameters\n", - "\n", - "- `train_epochs`: Integer. This is the maximum number of training epochs to run for. Defaults to **1**\n", - "\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 1 | 1 | 10 |\n", - "- `learning_rate`: Float. The initial learning rate to be used during training. Default to **0.0001**\n", - "\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 0.0001 | 0.000005 | 0.1 |\n", - "- `train_batch_size`: Integer. The batch size used during training. Defaults to **16** for Command.\n", - "\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 16 | 8 | 32 |\n", - "- `early_stopping_enabled`: Boolean. Enables early stopping. When set to true, the final model is the best model found based on the validation set. When set to false, the final model is the last model of training. Defaults to **true**.\n", - "\n", - "- `early_stopping_patience`: Integer. Stop training if the loss metric does not improve beyond 'early_stopping_threshold' for this many times of evaluation. Defaults to **10**\n", - "\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 10 | 1 | 15 |\n", - "- `early_stopping_threshold`: Float. How much the loss must improve to prevent early stopping. Defaults to **0.001**.\n", - "\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 0.001 | 0.001 | 0.1 |\n", - "\n", - "If the algorithm is for **Command R 082024 Finetuning**, you have the option to define:\n", - "- `lora_rank': Integer`. Lora adapter rank. Defaults to **32**\n", - "\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 32 | 8 | 32 |" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Example of how to pass hyperparameters to the fine-tuning job\n", - "train_parameters = {\n", - " \"train_epochs\": 1,\n", - " \"early_stopping_patience\": 2,\n", - " \"early_stopping_threshold\": 0.001,\n", - " \"learning_rate\": 0.01,\n", - " \"train_batch_size\": 16,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Create fine-tuning jobs for the uploaded datasets. Add a field for `eval_data` if you have pre-split your dataset and uploaded both training and evaluation datasets to S3. You can use either `ml.p5d.48xlarge` or `ml.p4de.24xlarge` for Command R 082024 Finetuning and only `ml.p4de.24xlarge` for Command R Finetuning." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "finetune_name = \"test-finetune\"\n", - "co.sagemaker_finetuning.create_finetune(arn=arn,\n", - " name=finetune_name,\n", - " train_data=train_dataset,\n", - " eval_data=eval_dataset,\n", - " s3_models_dir=s3_models_dir,\n", - " instance_type=\"ml.p4de.24xlarge\",\n", - " training_parameters=train_parameters,\n", - " role=\"ServiceRoleSagemaker\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The finetuned weights for the above will be store in a tar file `{s3_models_dir}/test-finetune.tar.gz` where the file name is the same as the name used during the creation of the finetune." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 3. Create an endpoint for inference with the custom model" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### A. Create an endpoint\n", - "\n", - "The Cohere AWS SDK provides a built-in method for creating an endpoint for inference. This will automatically deploy the model you finetuned earlier.\n", - "\n", - "> **Note**: You can use either `ml.p5d.48xlarge` or `ml.p4de.24xlarge` for Command R 082024 Finetuning and only `ml.p4de.24xlarge` for Command R Finetuning. The instance type must be identical to the one used in the finetune creation step.\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "endpoint_name=\"test-finetune\"\n", - "co.sagemaker_finetuning.create_endpoint(arn=arn,\n", - " endpoint_name=endpoint_name,\n", - " s3_models_dir=s3_models_dir,\n", - " recreate=True,\n", - " instance_type=\"ml.p4de.24xlarge\",\n", - " role=\"ServiceRoleSagemaker\",\n", - ")\n", - "\n", - "# If the endpoint is already created, you just need to connect to it\n", - "co.sagemaker_finetuning.connect_to_endpoint(endpoint_name=endpoint_name)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### B. Perform real-time inference\n", - "\n", - "Now, you can access all models deployed on the endpoint for inference:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "message = \"Classify the following text as either very negative, negative, neutral, positive or very positive: mr. deeds is , as comedy goes , very silly -- and in the best way.\"\n", - "\n", - "result = co.sagemaker_finetuning.chat(message=message)\n", - "print(result)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### [Optional] Now let's evaluate our finetuned model using the evaluation dataset. " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "from tqdm import tqdm\n", - "total = 0\n", - "correct = 0\n", - "for line in tqdm(open('../data/scienceQA_eval.jsonl').readlines()):\n", - " total += 1\n", - " question_answer_json = json.loads(line)\n", - " question = question_answer_json[\"messages\"][0][\"content\"]\n", - " answer = question_answer_json[\"messages\"][1][\"content\"]\n", - " model_ans = co.sagemaker_finetuning.chat(message=question, temperature=0).text\n", - " if model_ans == answer:\n", - " correct +=1\n", - "\n", - "print(f\"Accuracy of finetuned model is %.3f\" % (correct / total))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 4. Clean-up" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### A. Delete the endpoint\n", - "\n", - "After you've successfully performed inference, you can delete the deployed endpoint to avoid being charged continuously. This can also be done via the Cohere AWS SDK:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co.sagemaker_finetuning.delete_endpoint()\n", - "co.sagemaker_finetuning.close()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Unsubscribe to the listing (optional)\n", - "\n", - "If you would like to unsubscribe to the model package, follow these steps. Before you cancel the subscription, ensure that you do not have any [deployable models](https://console.aws.amazon.com/sagemaker/home#/models) created from the model package or using the algorithm. Note - You can find this information by looking at the container name associated with the model. \n", - "\n", - "**Steps to unsubscribe to product from AWS Marketplace**:\n", - "1. Navigate to __Machine Learning__ tab on [__Your Software subscriptions page__](https://aws.amazon.com/marketplace/ai/library?productType=ml&ref_=mlmp_gitdemo_indust)\n", - "2. Locate the listing that you want to cancel the subscription for, and then choose __Cancel Subscription__ to cancel the subscription.\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.14" - }, - "vscode": { - "interpreter": { - "hash": "3b57b3736fb00bc0deb03789040183ddbda4c9eb8e8f6bef7ea4333bc64826af" - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Command R finetuning sagemaker.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/finetuning/Deploy your own finetuned command-r-0824.ipynb b/notebooks/finetuning/Deploy your own finetuned command-r-0824.ipynb index fbb3f70e..b05dc972 100644 --- a/notebooks/finetuning/Deploy your own finetuned command-r-0824.ipynb +++ b/notebooks/finetuning/Deploy your own finetuned command-r-0824.ipynb @@ -1,453 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Deploy Your Own Finetuned Command-R-0824 Model from AWS Marketplace \n", - "\n", - "This sample notebook shows you how to deploy your own finetuned HuggingFace Command-R model [CohereForAI/c4ai-command-r-08-2024](https://huggingface.co/CohereForAI/c4ai-command-r-08-2024) using Amazon SageMaker. More specifically, assuming you already have the adapter weights or merged weights from your own finetuning of [CohereForAI/c4ai-command-r-08-2024](https://huggingface.co/CohereForAI/c4ai-command-r-08-2024), we will show you how to \n", - "1. Merge the adapter weights to the weights of the base model, if you bring only the adapter weights\n", - "2. Export the merged weights to the TensorRT-LLM inference engine using Amazon SageMaker\n", - "3. Deploy the engine as a SageMaker endpoint to serve your business use cases\n", - "\n", - "> **Note**: This is a reference notebook and it cannot run unless you make changes suggested in the notebook.\n", - "\n", - "### Pre-requisites:\n", - "\n", - "1. **Note: This notebook contains elements which render correctly in Jupyter interface. Open this notebook from an Amazon SageMaker Notebook Instance or Amazon SageMaker Studio.**\n", - "1. Ensure that IAM role used has **AmazonSageMakerFullAccess**\n", - "1. To deploy this ML model successfully, ensure that:\n", - " 1. Either your IAM role has these three permissions and you have authority to make AWS Marketplace subscriptions in the AWS account used: \n", - " 1. **aws-marketplace:ViewSubscriptions**\n", - " 1. **aws-marketplace:Unsubscribe**\n", - " 1. **aws-marketplace:Subscribe** \n", - " 2. or your AWS account has a subscription to the packages for [Cohere Bring Your Own Fine-tuning](https://aws.amazon.com/marketplace/pp/prodview-5wt5pdnw3bbq6). If so, skip step: [Subscribe to the bring your own finetuning algorithm](#subscribe)\n", - "\n", - "### Contents:\n", - "\n", - "1. [Subscribe to the bring your own finetuning algorithm](#subscribe)\n", - "2. [Preliminary setup](#setup)\n", - "3. [Get the merged weights](#merge)\n", - "4. [Upload the merged weights to S3](#upload)\n", - "5. [Export the merged weights to the TensorRT-LLM inference engine](#export)\n", - "6. [Create an endpoint for inference from the exported engine](#endpoint)\n", - "7. [Perform real-time inference by calling the endpoint](#inference)\n", - "8. [Delete the endpoint (optional)](#delete)\n", - "9. [Unsubscribe to the listing (optional)](#unsubscribe)\n", - "\n", - "### Usage instructions:\n", - "\n", - "You can run this notebook one cell at a time (By using Shift+Enter for running a cell)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 1. Subscribe to the bring your own finetuning algorithm" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To subscribe to the algorithm:\n", - "1. Open the algorithm listing page [Cohere Bring Your Own Fine-tuning](https://aws.amazon.com/marketplace/pp/prodview-5wt5pdnw3bbq6).\n", - "2. On the AWS Marketplace listing, click on the **Continue to Subscribe** button.\n", - "3. On the **Subscribe to this software** page, review and click on **\"Accept Offer\"** if you and your organization agrees with EULA, pricing, and support terms. On the \"Configure and launch\" page, make sure the ARN displayed in your region match with the ARN you will use below." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 2. Preliminary setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Install the Python packages you will use below and import them. For example, you can run the command below to install `cohere` if you haven't done so." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "scrolled": true - }, - "outputs": [], - "source": [ - "!pip install \"cohere>=5.11.0\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import os\n", - "import sagemaker as sage\n", - "\n", - "from sagemaker.s3 import S3Uploader" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Make sure you have access to the resources in your AWS account. For example, you can configure an AWS profile by the command `aws configure sso` (see [here](https://docs.aws.amazon.com/cli/latest/userguide/cli-configure-sso.html#cli-configure-sso-configure)) and run the command below to set the environment variable `AWS_PROFILE` as your profile name." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Change \"\" to your own AWS profile name\n", - "os.environ[\"AWS_PROFILE\"] = \"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Finally, you need to set all the following variables using your own information. In general, do not add a trailing slash to these paths (otherwise some parts won't work). You can use either `ml.p4de.24xlarge` or `ml.p5.48xlarge` as the `instance_type` for Cohere Bring Your Own Fine-tuning, but the `instance_type` used for export and inference (endpoint creation) must be identical." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# The AWS region\n", - "region = \"\"\n", - "\n", - "# Get the arn of the bring your own finetuning algorithm by region\n", - "cohere_package = \"cohere-command-r-v2-byoft-8370167e649c32a1a5f00267cd334c2c\"\n", - "algorithm_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:algorithm/{cohere_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:algorithm/{cohere_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:algorithm/{cohere_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:algorithm/{cohere_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:algorithm/{cohere_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:algorithm/{cohere_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:algorithm/{cohere_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:algorithm/{cohere_package}\",\n", - "}\n", - "if region not in algorithm_map:\n", - " raise Exception(f\"Current region {region} is not supported.\")\n", - "arn = algorithm_map[region]\n", - "\n", - "# The local directory of your adapter weights. No need to specify this, if you bring your own merged weights\n", - "adapter_weights_dir = \"\"\n", - "\n", - "# The local directory you want to save the merged weights. Or the local directory of your own merged weights, if you bring your own merged weights\n", - "merged_weights_dir = \"\"\n", - "\n", - "# The S3 directory you want to save the merged weights\n", - "s3_checkpoint_dir = \"\"\n", - "\n", - "# The S3 directory you want to save the exported TensorRT-LLM engine. Make sure you do not reuse the same S3 directory across multiple runs\n", - "s3_output_dir = \"\"\n", - "\n", - "# The name of the export\n", - "export_name = \"\"\n", - "\n", - "# The name of the SageMaker endpoint\n", - "endpoint_name = \"\"\n", - "\n", - "# The instance type for export and inference. Now \"ml.p4de.24xlarge\" and \"ml.p5.48xlarge\" are supported\n", - "instance_type = \"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 3. Get the merged weights" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Assuming you use HuggingFace's [PEFT](https://github.com/huggingface/peft) to finetune [CohereForAI/c4ai-command-r-08-2024](https://huggingface.co/CohereForAI/c4ai-command-r-08-2024) and get the adapter weights, you can then merge your adapter weights to the base model weights to get the merged weights as shown below. Skip this step if you have already got the merged weights." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import torch\n", - "\n", - "from peft import PeftModel\n", - "from transformers import CohereForCausalLM\n", - "\n", - "\n", - "def load_and_merge_model(base_model_name_or_path: str, adapter_weights_dir: str):\n", - " \"\"\"\n", - " Load the base model and the model finetuned by PEFT, and merge the adapter weights to the base weights to get a model with merged weights\n", - " \"\"\"\n", - " base_model = CohereForCausalLM.from_pretrained(base_model_name_or_path)\n", - " peft_model = PeftModel.from_pretrained(base_model, adapter_weights_dir)\n", - " merged_model = peft_model.merge_and_unload()\n", - " return merged_model\n", - "\n", - "\n", - "def save_hf_model(output_dir: str, model, tokenizer=None, args=None):\n", - " \"\"\"\n", - " Save a HuggingFace model (and optionally tokenizer as well as additional args) to a local directory\n", - " \"\"\"\n", - " os.makedirs(output_dir, exist_ok=True)\n", - " model.save_pretrained(output_dir, state_dict=None, safe_serialization=True)\n", - " if tokenizer is not None:\n", - " tokenizer.save_pretrained(output_dir)\n", - " if args is not None:\n", - " torch.save(args, os.path.join(output_dir, \"training_args.bin\"))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Get the merged model from adapter weights\n", - "merged_model = load_and_merge_model(\"CohereForAI/c4ai-command-r-08-2024\", adapter_weights_dir)\n", - "\n", - "# Save the merged weights to your local directory\n", - "save_hf_model(merged_weights_dir, merged_model)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 4. Upload the merged weights to S3" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "%%time\n", - "sess = sage.Session()\n", - "merged_weights = S3Uploader.upload(merged_weights_dir, s3_checkpoint_dir, sagemaker_session=sess)\n", - "print(\"merged_weights\", merged_weights)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 5. Export the merged weights to the TensorRT-LLM inference engine" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Create Cohere client and use it to export the merged weights to the TensorRT-LLM inference engine. The exported TensorRT-LLM engine will be stored in a tar file `{s3_output_dir}/{export_name}.tar.gz` in S3, where the file name is the same as the `export_name`." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "scrolled": true - }, - "outputs": [], - "source": [ - "%%time\n", - "co = cohere.SagemakerClient(aws_region=region)\n", - "co.sagemaker_finetuning.export_finetune(\n", - " arn=arn,\n", - " name=export_name,\n", - " s3_checkpoint_dir=s3_checkpoint_dir,\n", - " s3_output_dir=s3_output_dir,\n", - " instance_type=instance_type,\n", - " role=\"ServiceRoleSagemaker\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 6. Create an endpoint for inference from the exported engine" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Cohere client provides a built-in method to create an endpoint for inference, which will automatically deploy the model from the TensorRT-LLM engine you just exported." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "%%time\n", - "co.sagemaker_finetuning.create_endpoint(\n", - " arn=arn,\n", - " endpoint_name=endpoint_name,\n", - " s3_models_dir=s3_output_dir,\n", - " recreate=True,\n", - " instance_type=instance_type,\n", - " role=\"ServiceRoleSagemaker\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 7. Perform real-time inference by calling the endpoint" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, you can perform real-time inference by calling the endpoint you just deployed." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# If the endpoint is already deployed, you can directly connect to it\n", - "co.sagemaker_finetuning.connect_to_endpoint(endpoint_name=endpoint_name)\n", - "\n", - "message = \"Classify the following text as either very negative, negative, neutral, positive or very positive: mr. deeds is , as comedy goes , very silly -- and in the best way.\"\n", - "result = co.sagemaker_finetuning.chat(message=message)\n", - "print(result)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "You can also evaluate your finetuned model using a evaluation dataset. The following is an example with the [ScienceQA](https://scienceqa.github.io/) evaluation data at [here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/data/scienceQA_eval.jsonl)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "from tqdm import tqdm\n", - "\n", - "eval_data_path = \"\"\n", - "\n", - "total = 0\n", - "correct = 0\n", - "for line in tqdm(open(eval_data_path).readlines()):\n", - " total += 1\n", - " question_answer_json = json.loads(line)\n", - " question = question_answer_json[\"messages\"][0][\"content\"]\n", - " answer = question_answer_json[\"messages\"][1][\"content\"]\n", - " model_ans = co.sagemaker_finetuning.chat(message=question, temperature=0).text\n", - " if model_ans == answer:\n", - " correct += 1\n", - "\n", - "print(f\"Accuracy of finetuned model is %.3f\" % (correct / total))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 8. Delete the endpoint (optional)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "After you successfully performed the inference, you can delete the deployed endpoint to avoid being charged continuously." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co.sagemaker_finetuning.delete_endpoint()\n", - "co.sagemaker_finetuning.close()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "## 9. Unsubscribe to the listing (optional)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "If you would like to unsubscribe to the model package, follow these steps. Before you cancel the subscription, ensure that you do not have any [deployable models](https://console.aws.amazon.com/sagemaker/home#/models) created from the model package or using the algorithm. Note - You can find this information by looking at the container name associated with the model. \n", - "\n", - "**Steps to unsubscribe to product from AWS Marketplace**:\n", - "1. Navigate to __Machine Learning__ tab on [__Your Software subscriptions page__](https://aws.amazon.com/marketplace/ai/library?productType=ml&ref_=mlmp_gitdemo_indust)\n", - "2. Locate the listing that you want to cancel the subscription for, and then choose __Cancel Subscription__ to cancel the subscription." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.14" - }, - "vscode": { - "interpreter": { - "hash": "3b57b3736fb00bc0deb03789040183ddbda4c9eb8e8f6bef7ea4333bc64826af" - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Deploy your own finetuned command-r-0824.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/finetuning/README.md b/notebooks/finetuning/README.md index 3310a29b..56becd5e 100644 --- a/notebooks/finetuning/README.md +++ b/notebooks/finetuning/README.md @@ -1 +1 @@ -Here you will find step-by-step guides and demonstrations related to Cohere's finetuning services. \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/finetuning/convfinqa_finetuning_wandb.ipynb b/notebooks/finetuning/convfinqa_finetuning_wandb.ipynb index 1c4ac391..fa230e57 100644 --- a/notebooks/finetuning/convfinqa_finetuning_wandb.ipynb +++ b/notebooks/finetuning/convfinqa_finetuning_wandb.ipynb @@ -1,466 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Overview" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Cohere chat models (Comand R and Command R+) are fantastic generally capable models out of the box. To further adopt our models for specific tasks, there are several strategies like prompt engineering, RAG, tool use, finetuning. In this cookbook, we will focus on finetuning. While the other strategies involve careful and intelligent orchestration of our models out of the box, finetuning involves modifying the weights to specialize the model for a task at hand. This requires careful investment of time and resources from data collection to model training. This is typically employed when all other strategies fall short. \n", - "\n", - "Our finetuning service allows customization of our latest Command R model (command-r-08-2024) with LoRA based finetuning which gives users the the ability to control model flexibility depending on their task. Additionally, we extended the training context length to 16384 tokens giving users the ability to user longer training data points which is typical for RAG, agents, and tool use. In this cookbook, we will showcase model customization via our [Finetuning API](https://cohere-preview-6021ac31-6091-4dd4-a195-dc3456f6883c.docs.buildwithfern.com/reference/createfinetunedmodel) and also show you how to monitor loss functions for your finetuning jobs using the [Weights & Biases integration](#sec_wandb). Please note that you can do the same via the UI. You can find a detailed guide for that [here](https://cohere-preview-6021ac31-6091-4dd4-a195-dc3456f6883c.docs.buildwithfern.com/docs/fine-tuning-with-the-cohere-dashboard).\n", - "\n", - "We will finetine our Command R model on the task of conversational financial question answering. Specifically, we finetune our model on [ConvFinQA](https://github.com/czyssrs/ConvFinQA) dataset. In this task, the output expected from the model is a domain specific language (DSL) that we will potentially feed into a downstream application. LLMs are known to be bad at arithmetics. Hence, instead of computing the answer, the task here is to extract the right numbers from the context and applying the right sequence of predicates and to strictly follow the DSL to ensure minimal error rates in the downstream application that may consume the DSL output from our model. Prompt engineering proves to be rather brittle for such tasks as it is hard to make sure the model follows the exact syntax of the DSL. Finetuning the model gives that guarantee.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Dependencies" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "If you dont already have [Cohere Python SDK](https://github.com/cohere-ai/cohere-python), you can install it as follows." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# ! pip install cohere" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "import cohere\n", - "from cohere.finetuning import Hyperparameters, Settings, WandbConfig, FinetunedModel, BaseModel\n", - "\n", - "os.environ['COHERE_API_KEY'] = \"\" # fill in your Cohere API key here\n", - "\n", - "# instantiate the Cohere client\n", - "co = cohere.Client(os.environ['COHERE_API_KEY']) " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "[ConvFinQA](https://github.com/czyssrs/ConvFinQA) dataset is a conversational dataset comprising of multi-turn numerical question and answers based on a given financial report which includes text and tables. We process the original dataset to do a few things:\n", - "- We preprocess the financial reports to combine various fields in the original dataset to create a single text blurb from which the questions are to be answered. This involves concatenating various pieces of text, converting the tables to simple text with heuristic regex mappings, among other cosmetic things.\n", - "- For finetuning Command R models, the dataset needs to be a `jsonl` file, where each `json` object is a conversation. Each conversation has a list of messages, and each message has two properties: role and content. The role identifies the sender (Chatbot, System, or User), while the content contains the text content. You can find more detailed guide on preparing the dataset including the data validations we have, train/eval splits we recommend, etc. [here](https://docs.cohere.com/docs/chat-preparing-the-data). We format the conversations in the original dataset to conform to these requirements." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### ConvFinQA data example" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Following is an example datapoint from the finetuning data." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "{\n", - " \"messages\": \n", - " [\n", - " {\"role\": \"System\", \"content\": \"stock-based awards under the plan stock options 2013 marathon grants stock options under the 2007 plan and previously granted options under the 2003 plan .\\nmarathon 2019s stock options represent the right to purchase shares of common stock at the fair market value of the common stock on the date of grant .\\nthrough 2004 , certain stock options were granted under the 2003 plan with a tandem stock appreciation right , which allows the recipient to instead elect to receive cash and/or common stock equal to the excess of the fair market value of shares of common stock , as determined in accordance with the 2003 plan , over the option price of the shares .\\nin general , stock options granted under the 2007 plan and the 2003 plan vest ratably over a three-year period and have a maximum term of ten years from the date they are granted .\\nstock appreciation rights 2013 prior to 2005 , marathon granted sars under the 2003 plan .\\nno stock appreciation rights have been granted under the 2007 plan .\\nsimilar to stock options , stock appreciation rights represent the right to receive a payment equal to the excess of the fair market value of shares of common stock on the date the right is exercised over the grant price .\\nunder the 2003 plan , certain sars were granted as stock-settled sars and others were granted in tandem with stock options .\\nin general , sars granted under the 2003 plan vest ratably over a three-year period and have a maximum term of ten years from the date they are granted .\\nstock-based performance awards 2013 prior to 2005 , marathon granted stock-based performance awards under the 2003 plan .\\nno stock-based performance awards have been granted under the 2007 plan .\\nbeginning in 2005 , marathon discontinued granting stock-based performance awards and instead now grants cash-settled performance units to officers .\\nall stock-based performance awards granted under the 2003 plan have either vested or been forfeited .\\nas a result , there are no outstanding stock-based performance awards .\\nrestricted stock 2013 marathon grants restricted stock and restricted stock units under the 2007 plan and previously granted such awards under the 2003 plan .\\nin 2005 , the compensation committee began granting time-based restricted stock to certain u.s.-based officers of marathon and its consolidated subsidiaries as part of their annual long-term incentive package .\\nthe restricted stock awards to officers vest three years from the date of grant , contingent on the recipient 2019s continued employment .\\nmarathon also grants restricted stock to certain non-officer employees and restricted stock units to certain international employees ( 201crestricted stock awards 201d ) , based on their performance within certain guidelines and for retention purposes .\\nthe restricted stock awards to non-officers generally vest in one-third increments over a three-year period , contingent on the recipient 2019s continued employment .\\nprior to vesting , all restricted stock recipients have the right to vote such stock and receive dividends thereon .\\nthe non-vested shares are not transferable and are held by marathon 2019s transfer agent .\\ncommon stock units 2013 marathon maintains an equity compensation program for its non-employee directors under the 2007 plan and previously maintained such a program under the 2003 plan .\\nall non-employee directors other than the chairman receive annual grants of common stock units , and they are required to hold those units until they leave the board of directors .\\nwhen dividends are paid on marathon common stock , directors receive dividend equivalents in the form of additional common stock units .\\nstock-based compensation expense 2013 total employee stock-based compensation expense was $ 80 million , $ 83 million and $ 111 million in 2007 , 2006 and 2005 .\\nthe total related income tax benefits were $ 29 million , $ 31 million and $ 39 million .\\nin 2007 and 2006 , cash received upon exercise of stock option awards was $ 27 million and $ 50 million .\\ntax benefits realized for deductions during 2007 and 2006 that were in excess of the stock-based compensation expense recorded for options exercised and other stock-based awards vested during the period totaled $ 30 million and $ 36 million .\\ncash settlements of stock option awards totaled $ 1 million and $ 3 million in 2007 and 2006 .\\nstock option awards granted 2013 during 2007 , 2006 and 2005 , marathon granted stock option awards to both officer and non-officer employees .\\nthe weighted average grant date fair value of these awards was based on the following black-scholes assumptions: .\\nThe weighted average exercise price per share of 2007, 2006, 2005 are $ 60.94, $ 37.84, $ 25.14. The expected annual dividends per share of 2007, 2006, 2005 are $ 0.96, $ 0.80, $ 0.66. The expected life in years of 2007, 2006, 2005 are 5.0, 5.1, 5.5. The expected volatility of 2007, 2006, 2005 are 27% ( 27 % ), 28% ( 28 % ), 28% ( 28 % ). The risk-free interest rate of 2007, 2006, 2005 are 4.1% ( 4.1 % ), 5.0% ( 5.0 % ), 3.8% ( 3.8 % ). The weighted average grant date fair value of stock option awards granted of 2007, 2006, 2005 are $ 17.24, $ 10.19, $ 6.15.\\n.\"}, \n", - " {\"role\": \"User\", \"content\": \"what was the weighted average exercise price per share in 2007?\"}, \n", - " {\"role\": \"Chatbot\", \"content\": \"60.94\"}, \n", - " {\"role\": \"User\", \"content\": \"and what was it in 2005?\"}, \n", - " {\"role\": \"Chatbot\", \"content\": \"25.14\"}, \n", - " {\"role\": \"User\", \"content\": \"what was, then, the change over the years?\"}, \n", - " {\"role\": \"Chatbot\", \"content\": \"subtract(60.94, 25.14)\"}, \n", - " {\"role\": \"User\", \"content\": \"what was the weighted average exercise price per share in 2005?\"}, \n", - " {\"role\": \"Chatbot\", \"content\": \"25.14\"}, \n", - " {\"role\": \"User\", \"content\": \"and how much does that change represent in relation to this 2005 weighted average exercise price?\"}, \n", - " {\"role\": \"Chatbot\", \"content\": \"subtract(60.94, 25.14), divide(#0, 25.14)\"}\n", - " ]\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "As you can see, the financial report based on which we answer the questions is put in as System role. This acts as the 'system prompt', which is part of the prompt used as context/instructions for the entire conversation. Since the information in the report is required and relevant to every user question in the conversation, we would want to put it as the overall context of the conversation." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Few things to note in the above example:\n", - "- Models trained via our finetuning API do not have any additional/default preamble other than the system prompt provided in the finetuning dataset. \n", - "- Each datapoint has multiple turns alternating between `User` and `Chatbot`; during finetuning, we consume messages from all roles but only the `Chatbot` messages contribute to the model updtates.\n", - "- We want the model to learn to strictly follow the domain specific language as represented by the desired Chatbot responses in this example." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Upload the dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We use the [Datasets API](https://docs.cohere.com/reference/create-dataset) to upload the dataset required for finetuning. Note that we upload both training and evaluation files. The data in evaluation file is used for validation and early stopping as we will elaborate later." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "chat_dataset = co.datasets.create(name=\"cfqa-ft-dataset\",\n", - " data=open(\"data/convfinqa-train-chat.jsonl\", \"rb\"),\n", - " eval_data=open(\"data/convfinqa-eval-chat.jsonl\", \"rb\"),\n", - " type=\"chat-finetune-input\")\n", - "print(chat_dataset.id) # we will use this id to refer to the dataset when creating a finetuning job\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Whenever a dataset is created, the data is validated asynchronously. This validation is kicked off automatically on the backend, and must be completed before we can use this dataset for finetuning. You can find more info on interpreting the errors, if you get any, [here](https://docs.cohere.com/docs/datasets#dataset-validation)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co.wait(chat_dataset) # wait for the dataset to be processed and validated" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Start finetuning" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once the dataset is validated, we can start a finetuning job with the [Finetuning API](https://docs.cohere.com/reference/createfinetunedmodel)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Hyperparameters" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "There are several hyperparameters that you can modify to get the most out of your finetuning, including LoRA-specific params. You can find detailed explanation [here](https://docs.cohere.com/reference/createfinetunedmodel#request.body.settings.hyperparameters)." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [], - "source": [ - "hp_config = Hyperparameters(\n", - " train_batch_size=16,\n", - " train_epochs=1,\n", - " learning_rate=0.0001,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "### WandB integration" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "For chat finetuning, we support WandB integration which allows you to monitor the loss curves of finetuning jobs in real-time without having to wait for the job to finish. You can find more info [here](https://docs.cohere.com/reference/createfinetunedmodel#request.body.settings.wandb)." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [], - "source": [ - "wnb_config = WandbConfig(\n", - " project=\"test-project\",\n", - " api_key=\"\",\n", - " entity=\"test-entity\", # must be a valid enitity associated with the provided API key\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Create the finetuning job\n", - "\n", - "With the dataset, hyperparameters, and the wandb configurations ready, we can create a fientuning job as follows. You can find the details of all params in the [Finetuning API](https://docs.cohere.com/reference/createfinetunedmodel#request) documentation." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "cfqa_finetune = co.finetuning.create_finetuned_model(\n", - " request=FinetunedModel(\n", - " name=\"cfqa-command-r-ft\",\n", - " settings=Settings(\n", - " base_model=BaseModel(\n", - " base_type=\"BASE_TYPE_CHAT\", # specifies this is a chat finetuning\n", - " ),\n", - " dataset_id=chat_dataset.id, # the id of the dataset we created above\n", - " hyperparameters=hp_config,\n", - " wandb=wnb_config,\n", - " ),\n", - " ),\n", - ")\n", - "print(cfqa_finetune.finetuned_model.id) # we will use this id to refer to the finetuned model when making predictions/getting status/etc." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Check finetuning status" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once the finetuning job finishes and the finetuned model is ready to use, you will get notified via email. Or you can check of the status of your finetuning job as follows." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "response = co.finetuning.get_finetuned_model(cfqa_finetune.finetuned_model.id)\n", - "print(response.finetuned_model.status) # when the job finished this will be STATUS_READY" - ] - }, - { - "attachments": { - "image.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "You may view the fine-tuning job loss curves via the Weights and Biases dashboard. It will be available via the following URL once the training starts: https://wandb.ai/``/``/runs/``. We log the following to WandB:\n", - "- training loss at every training step\n", - "- validation loss and accuracy (as described [here](https://docs.cohere.com/docs/chat-understanding-the-results)) at every validation step\n", - "\n", - "For this particular fientuning job, the traning loss, validation loss and validation accuracy should look as follows.\n", - "![image.png](attachment:image.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once the training job finished you can also check the validation metrics as follows." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "train_step_metrics = co.finetuning.list_training_step_metrics(\n", - " finetuned_model_id=cfqa_finetune.finetuned_model.id)\n", - "\n", - "for metric in train_step_metrics.step_metrics:\n", - " print(metric.metrics)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Run inference with the finetuned model" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once your model completes training, you can call it via co.chat() and pass your custom model id. Please note, the model id is the id returned by the fine-tuned model object + `-ft` suffix. `co.chat()` uses no preamble by default for fine-tuned models. You can specify a preamble using the preamble parameter, if you like. In this case, we wont specify any preamble and follow the convention set in the training data." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "#### Model response ####\n", - "add(189, 68)\n", - "########################\n" - ] - } - ], - "source": [ - "response = co.chat(\n", - " message=\"what was the total african and us net undeveloped acres expiring in 2016?\",\n", - " chat_history=[\n", - " {\"role\": \"System\", \"message\": \"in the ordinary course of business , based on our evaluations of certain geologic trends and prospective economics , we have allowed certain lease acreage to expire and may allow additional acreage to expire in the future .\\nif production is not established or we take no other action to extend the terms of the leases , licenses or concessions , undeveloped acreage listed in the table below will expire over the next three years .\\nwe plan to continue the terms of certain of these licenses and concession areas or retain leases through operational or administrative actions ; however , the majority of the undeveloped acres associated with other africa as listed in the table below pertains to our licenses in ethiopia and kenya , for which we executed agreements in 2015 to sell .\\nthe kenya transaction closed in february 2016 and the ethiopia transaction is expected to close in the first quarter of 2016 .\\nsee item 8 .\\nfinancial statements and supplementary data - note 5 to the consolidated financial statements for additional information about this disposition .\\nnet undeveloped acres expiring year ended december 31 .\\nThe u.s . of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 68, 89, 128. The e.g . of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 2014, 92, 36. The other africa of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 189, 4352, 854. The total africa of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 189, 4444, 890. The other international of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 2014, 2014, 2014. The total of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 257, 4533, 1018.\\n.\"}, \n", - " {\"role\": \"User\", \"message\": \"what percentage of undeveloped acres were in the us in 2018?\"}, \n", - " {\"role\": \"Chatbot\", \"message\": \"divide(128, 1018)\"} \n", - " # {\"role\": \"User\", \"message\": \"what was the total african and us net undeveloped acres expiring in 2016?\"}, # this is input as the main query above\n", - " # {\"role\": \"Chatbot\", \"message\": \"add(189, 68)\"}, # this is the ground truth answer\n", - " ],\n", - " model=cfqa_finetune.finetuned_model.id+\"-ft\"\n", - ")\n", - "print(\"#### Model response ####\")\n", - "print(response.text)\n", - "print(\"########################\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The response object is described in detail [here](https://docs.cohere.com/reference/chat#response). As you can see, the finetuned model responds in the DSL as expected and matches the ground truth. This DSL response can now be consumed by any downstream application or engine that can compute the final answer. For a comparison we show the base model response to the same inputs." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "#### Model response ####\n", - "The total African undeveloped acres expiring in 2016 is 189 acres, while the US undeveloped acres expiring in the same year is 68 acres. \n", - "\n", - "Adding these together gives a total of 257 acres.\n", - "########################\n" - ] - } - ], - "source": [ - "base_response = co.chat(\n", - " message=\"what was the total african and us net undeveloped acres expiring in 2016?\",\n", - " chat_history=[\n", - " {\"role\": \"System\", \"message\": \"in the ordinary course of business , based on our evaluations of certain geologic trends and prospective economics , we have allowed certain lease acreage to expire and may allow additional acreage to expire in the future .\\nif production is not established or we take no other action to extend the terms of the leases , licenses or concessions , undeveloped acreage listed in the table below will expire over the next three years .\\nwe plan to continue the terms of certain of these licenses and concession areas or retain leases through operational or administrative actions ; however , the majority of the undeveloped acres associated with other africa as listed in the table below pertains to our licenses in ethiopia and kenya , for which we executed agreements in 2015 to sell .\\nthe kenya transaction closed in february 2016 and the ethiopia transaction is expected to close in the first quarter of 2016 .\\nsee item 8 .\\nfinancial statements and supplementary data - note 5 to the consolidated financial statements for additional information about this disposition .\\nnet undeveloped acres expiring year ended december 31 .\\nThe u.s . of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 68, 89, 128. The e.g . of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 2014, 92, 36. The other africa of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 189, 4352, 854. The total africa of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 189, 4444, 890. The other international of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 2014, 2014, 2014. The total of net undeveloped acres expiring year ended december 31 , 2016, net undeveloped acres expiring year ended december 31 , 2017, net undeveloped acres expiring year ended december 31 , 2018 are 257, 4533, 1018.\\n.\"}, \n", - " {\"role\": \"User\", \"message\": \"what percentage of undeveloped acres were in the us in 2018?\"}, \n", - " {\"role\": \"Chatbot\", \"message\": \"divide(128, 1018)\"} \n", - " # {\"role\": \"User\", \"message\": \"what was the total african and us net undeveloped acres expiring in 2016?\"}, # this is input as the main query above\n", - " # {\"role\": \"Chatbot\", \"message\": \"add(189, 68)\"}, # this is the ground truth answer\n", - " ],\n", - " model=\"command-r-08-2024\"\n", - ")\n", - "print(\"#### Model response ####\")\n", - "print(base_response.text)\n", - "print(\"########################\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "As you can see, the base model is pretty good in itself. The final answer is correct, in this particular instance (189 + 68 = 257). However, this model response needs further processing to extract the final answer. This post processing can be a noisy process. Also, please note that the LLM's ability for complex numerical reasoning is not very reliable. For these reasons, finetuning it to output DSL is a much more reliable and interpretable way to arrive at the final answer." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "ft", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.11" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/convfinqa_finetuning_wandb.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/finetuning/replace.ipynb b/notebooks/finetuning/replace.ipynb new file mode 100644 index 00000000..4d5bdc66 --- /dev/null +++ b/notebooks/finetuning/replace.ipynb @@ -0,0 +1,18 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/replace.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb b/notebooks/guides/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb index e83eb62e..45b46791 100644 --- a/notebooks/guides/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb +++ b/notebooks/guides/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb @@ -1,2845 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "UzG0FP8fwmIZ" - }, - "source": [ - "# **Analysis of Form 10-K/10-Q Using Cohere and RAG**" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NXzl9w_9Zf5K" - }, - "source": [ - "## **Getting Started**\n", - "\n", - "You may use this script to jumpstart financial analysis of 10-Ks or 10-Qs with Cohere's Command model.\n", - "\n", - "This cookbook relies on helpful tooling from LlamaIndex, as well as our Cohere SDK. If you're familiar with LlamaIndex, it should be easy to slot this process into your own productivity flows." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "eYFvTs4mVpU4" - }, - "outputs": [], - "source": [ - "%%capture\n", - "!sudo apt install tesseract-ocr poppler-utils\n", - "!pip install \"cohere<5\" langchain llama-index llama-index-embeddings-cohere llama-index-postprocessor-cohere-rerank pytesseract pdf2image" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "LYmEOVKCAuk7" - }, - "outputs": [], - "source": [ - "# Due to compatibility issues, we need to do imports like this\n", - "from llama_index.core.schema import TextNode\n", - "\n", - "%%capture\n", - "!pip install unstructured" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "Lc8CGMajDV9b", - "outputId": "5efe06b3-7eb5-490c-e9d3-b95b926358ed" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Enter your Cohere API key: ··········\n" - ] - } - ], - "source": [ - "import cohere\n", - "from getpass import getpass\n", - "\n", - "# Set up Cohere client\n", - "COHERE_API_KEY = getpass(\"Enter your Cohere API key: \")\n", - "\n", - "# Instantiate a client to communicate with Cohere's API using our Python SDK\n", - "co = cohere.Client(COHERE_API_KEY)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "FfCtNkl6Z-eP" - }, - "source": [ - "## **Step 1: Loading a 10-K**\n", - "\n", - "You may run the following cells to load a 10-K that has already been preprocessed with OCR.\n", - "\n", - "> 💡 If you'd like to run the OCR pipeline yourself, you can find more info in the section titled **PDF to Text using OCR and `pdf2image`**." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 71 - }, - "id": "6gkZ67Eh7l1A", - "outputId": "84406883-d4d4-44b5-c071-837410ee0d5a" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "[nltk_data] Downloading package averaged_perceptron_tagger to\n", - "[nltk_data] /root/nltk_data...\n", - "[nltk_data] Unzipping taggers/averaged_perceptron_tagger.zip.\n" - ] - } - ], - "source": [ - "# Using langchain here since they have access to the Unstructured Data Loader powered by unstructured.io\n", - "from langchain_community.document_loaders import UnstructuredURLLoader\n", - "\n", - "# Load up Airbnb's 10-K from this past fiscal year (filed in 2024)\n", - "# Feel free to fill in some other EDGAR path\n", - "url = \"https://www.sec.gov/Archives/edgar/data/1559720/000155972024000006/abnb-20231231.htm\"\n", - "loader = UnstructuredURLLoader(urls=[url], headers={\"User-Agent\": \"cohere cohere@cohere.com\"})\n", - "documents = loader.load()\n", - "\n", - "edgar_10k = documents[0].page_content\n", - "\n", - "# Load the document(s) as simple text nodes, to be passed to the tokenization processor\n", - "nodes = [TextNode(text=document.page_content, id_=f\"doc_{i}\") for i, document in enumerate(documents)]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "W2PStuqwPPUM" - }, - "source": [ - "We'll need to convert the text into chunks of a certain size in order for the Cohere embedding model to properly ingest them down the line.\n", - "\n", - "We choose to use LlamaIndex's `SentenceSplitter` in this case in order to get these chunks. We must pass a tokenization callable, which we can do using the `transformers` library.\n", - "\n", - "You may also apply further transformations from the LlamaIndex repo if you so choose. Take a look at the [docs](https://docs.llamaindex.ai/en/stable/understanding/loading/loading.html) for inspiration on what is possible with transformations." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 552, - "referenced_widgets": [ - "e2146d738e0d4fe39af19bfb22da2584", - "8670211888514256a54240d002135917", - "88b9a3c1bc78462ea149b94d1ca08e59", - "5a94eb68326b4466b7c19ad67f8f5ba6", - "ab441cef6118450f9bfbcc29f8f34d4f", - "016a793bd7684acc9733f1e3160bd4d6", - "c8330e6698cd41868efc23be3962db7d", - "7e9939b2a8394aa3ae4dd1a90d9517ce", - "24055747d0e44bf29f80017117e332cc", - "59f4d94a1be24ef7a1366b7220a9c9de", - "6ba07312eb854ae9a88e6cfb886b65c3", - "01d81bc2d81741e6bb0a82ba78dc2b21", - "76d51ab196f54b398dcdc574968331fa", - "b9f6e9e50e224cf89b902e419dae269a", - "1271483cc9da41b28637dce1a40fa538", - "78a1cb4ec6cc4044b2149cb84f34979c", - "403ebbf8e6da4c188c42e83ee01db07b", - "f8e3c447794448529391f9660d419632", - "a7b1f4318e3242e591b15147d699c656", - "7d7dd120240043c1aec77af517bf7add", - "204957d62b7a4f7db7fa195a3d042b42", - "930d287b503b4eeeb527c6facc97ca30", - "88fc0e784a71447abbba8273f6fcdace", - "bb120e29c7fb49e4823daf66f048e95b", - "012491a19a8143f2adc1a95c5d20e488", - "a9cd4375dfd94af4ab2c004e9dbe6fa7", - "21229dbb1f414913b8ad230648ab76e9", - "d102def58f554d48890008185d17af96", - "79a852590a0444bebad62f2c679e72c6", - "c0c90d8f84b64a11a48e81ba8dec7044", - "1167e72dd2184c6ca8c670b27c73a27d", - "af93bfd421504e3eada6c8c884869e2f", - "782b11c627be41b2b67e2774ee7fcf0b", - "159f81e1ea4c40a394b6d796527c7c4a", - "96997c9c8f3a4237b1d025252c3c2358", - "28b25e6395c54e8e9494f403953065bb", - "cf03a6a84e1345aab6d2d78f9ae5f34a", - "5a15923788d74d98a70646e1e921831e", - "81d523a8f29c491aaed45a199260b414", - "56cd150b53234f53b28dec80ebeb33a8", - "21c71aa09fae458fa483d58019506d46", - "b3cb567ec4934a6d935de606af921a61", - "af63d2b1e22c40629b2fe585813a2bf4", - "87d5a00cc4a2460e836ddd22cff918dd", - "a65823cef8a648cebad7e61f012de1f1", - "6643b53bdbe74a6491a3db6ec06446e9", - "93d9e20a78774bb485ceca31d4453204", - "41b58953474f49f0915d14801fb18174", - "17e8c3567f1a4d6aaa485fd1014977ae", - "0e300e8dbea143d688b08c32883d2d29", - "2e094e1165554834a0cc19ebffe93311", - "2a9c8f7bb54e4814825bddcbb10b3482", - "5f5e73e41dae4625a98a6eee6120a7d4", - "efb2bf269c1d4ace81ff4a6fabbfb3b6", - "fc96c077774241a58d24ac42dd52df7e" - ] - }, - "id": "p_1mXiVZBZu2", - "outputId": "f0146d70-4a6f-4821-ed70-11200a6efd49" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/usr/local/lib/python3.10/dist-packages/huggingface_hub/utils/_token.py:88: UserWarning: \n", - "The secret `HF_TOKEN` does not exist in your Colab secrets.\n", - "To authenticate with the Hugging Face Hub, create a token in your settings tab (https://huggingface.co/settings/tokens), set it as secret in your Google Colab and restart your session.\n", - "You will be able to reuse this secret in all of your notebooks.\n", - "Please note that authentication is recommended but still optional to access public models or datasets.\n", - " warnings.warn(\n" - ] - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e2146d738e0d4fe39af19bfb22da2584", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "tokenizer_config.json: 0%| | 0.00/7.92k [00:00 0 else []\n", - "\n", - "pipeline = IngestionPipeline(\n", - " transformations=[\n", - " SentenceSplitter(chunk_size=512, chunk_overlap=0, tokenizer=tokenizer_fn)\n", - " ]\n", - ")\n", - "\n", - "# Run the pipeline to transform the text\n", - "nodes = pipeline.run(nodes=nodes)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "573Sl4Ijfura" - }, - "source": [ - "## **Step 2: Load document into a LlamaIndex vector store**\n", - "\n", - "Loading the document into a LlamaIndex vector store will allow us to use the Cohere embedding model and rerank model to retrieve the relevant parts of the form to pass into Command." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "T3-T32hQ-cYl", - "outputId": "855d765f-8d45-4a99-ab76-0f30d8ada3e0" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "from llama_index.core import Settings, VectorStoreIndex\n", - "\n", - "from llama_index.postprocessor.cohere_rerank import CohereRerank\n", - "\n", - "from llama_index.embeddings.cohere import CohereEmbedding\n", - "\n", - "# Instantiate the embedding model\n", - "embed_model = CohereEmbedding(cohere_api_key=COHERE_API_KEY)\n", - "\n", - "# Global settings\n", - "Settings.chunk_size = 512\n", - "Settings.embed_model = embed_model\n", - "\n", - "# Create the vector store\n", - "index = VectorStoreIndex(nodes)\n", - "\n", - "retriever = index.as_retriever(similarity_top_k=30) # Change to whatever top_k you want\n", - "\n", - "# Instantiate the reranker\n", - "rerank = CohereRerank(api_key=COHERE_API_KEY, top_n=15)\n", - "\n", - "# Function `retrieve` is ready, using both Cohere embeddings for similarity search as well as\n", - "retrieve = lambda query: rerank.postprocess_nodes(retriever.retrieve(query), query_str=query)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "19QPS8pzQicf" - }, - "source": [ - "## **Step 3: Query generation and retrieval**\n", - "\n", - "In order to do RAG, we need a query or a set of queries to actually _do_ the retrieval step. As is standard in RAG settings, we'll use Command to generate those queries for us. Then, we'll use those queries along with the LlamaIndex retriever we built earlier to retrieve the most relevant pieces of the 10-K.\n", - "\n", - "To learn more about document mode and query generation, check out [our documentation](https://docs.cohere.com/docs/retrieval-augmented-generation-rag)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "XHCPxdvrFliD", - "outputId": "85cbbe95-cb88-49ec-d4ea-f7b5a15e1816" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "PROMPT = \"List the overall revenue numbers for 2021, 2022, and 2023 in the 10-K as bullet points, then explain the revenue growth trends.\"\n", - "\n", - "# Get queries to run against our index from the command-nightly model\n", - "r = co.chat(PROMPT, model=\"command-r\", search_queries_only=True)\n", - "if r.search_queries:\n", - " queries = [q[\"text\"] for q in r.search_queries]\n", - "else:\n", - " print(\"No queries returned by the model\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nyLVmUBART3U" - }, - "source": [ - "Now, with the queries in hand, we search against our vector index." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "k4t8s4xUX51B", - "outputId": "e739b4a6-b7b4-4870-8d45-2c921b13bcf1" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Convenience function for formatting documents\n", - "def format_for_cohere_client(nodes_):\n", - " return [\n", - " {\n", - " \"text\": node.node.text,\n", - " \"llamaindex_id\": node.node.id_,\n", - " }\n", - " for node\n", - " in nodes_\n", - " ]\n", - "\n", - "\n", - "documents = []\n", - "# Retrieve a set of chunks from the vector index and append them to the list of\n", - "# documents that should be included in the final RAG step\n", - "for query in queries:\n", - " ret_nodes = retrieve(query)\n", - " documents.extend(format_for_cohere_client(ret_nodes))\n", - "\n", - "# One final dedpulication step in case multiple queries return the same chunk\n", - "documents = [dict(t, id=f\"doc_{i}\") for i, t in enumerate({tuple(d.items()) for d in documents})]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kJAlJgocRxBI" - }, - "source": [ - "## **Step 4: Make a RAG request to Command using document mode**\n", - "\n", - "Now that we have our nicely formatted chunks from the 10-K, we can pass them directly into Command using the Cohere SDK. By passing the chunks into the `documents` kwarg, we enable document mode, which will perform grounded inference on the documents you pass in.\n", - "\n", - "You can see this for yourself by inspecting the `response.citations` field to check where the model is citing from.\n", - "\n", - "You can learn more about the `chat` endpoint by checking out the API reference [here](https://docs.cohere.com/reference/chat)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 267 - }, - "id": "NBfQoZcXYdFc", - "outputId": "b3a4156b-2749-4aaa-8b07-7c331388183f" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here are the overall revenue numbers for the years 2021, 2022, and 2023 as bullet points:\n", - "- 2021: $5,992 million\n", - "- 2022: $8,399 million\n", - "- 2023: $9,917 million\n", - "\n", - "Revenue increased by 18% in 2023 compared to 2022, primarily due to a 14% increase in Nights and Experiences Booked, which reached 54.5 million. This, combined with higher average daily rates, resulted in a 16% increase in Gross Booking Value, which reached $10.0 billion. \n", - "\n", - "The revenue growth trend demonstrates sustained strong travel demand. On a constant-currency basis, revenue increased by 17% in 2023 compared to the previous year.\n", - "\n", - "Other factors influencing the company's financial performance are described outside of the revenue growth trends.\n" - ] - } - ], - "source": [ - "# Make a request to the model\n", - "response = co.chat(\n", - " message=PROMPT,\n", - " model=\"command-r\",\n", - " temperature=0.3,\n", - " documents=documents,\n", - " prompt_truncation=\"AUTO\"\n", - ")\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 267 - }, - "id": "LHi1PDFpWj5p", - "outputId": "1d735808-b758-4535-a419-df91225b9bfb" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here are the overall revenue numbers for the years 2021, 2022, and 2023 as bullet points:\n", - "- 2021: $5,992 million [13]\n", - "- 2022: $8,399 million [13]\n", - "- 2023: $9,917 million [13]\n", - "\n", - "Revenue increased by 18% in 2023 [11] compared to 2022, primarily due to a 14% increase in Nights and Experiences Booked [11], which reached 54.5 million. [11] This, combined with higher average daily rates [11], resulted in a 16% increase in Gross Booking Value [11], which reached $10.0 billion. [11] \n", - "\n", - "The revenue growth trend demonstrates sustained strong travel demand. [11] On a constant-currency basis [11], revenue increased by 17% in 2023 [11] compared to the previous year.\n", - "\n", - "Other factors [8, 14] influencing the company's financial performance are described outside of the revenue growth trends. [8, 14]\n" - ] - } - ], - "source": [ - "# Helper function for displaying response WITH citations\n", - "def insert_citations(text: str, citations: list[dict]):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - " offset = 0\n", - " # Process citations in the order they were provided\n", - " for citation in citations:\n", - " # Adjust start/end with offset\n", - " start, end = citation['start'] + offset, citation['end'] + offset\n", - " cited_docs = [doc[4:] for doc in citation[\"document_ids\"]]\n", - " # Shorten citations if they're too long for convenience\n", - " if len(cited_docs) > 3:\n", - " placeholder = \"[\" + \", \".join(cited_docs[:3]) + \"...]\"\n", - " else:\n", - " placeholder = \"[\" + \", \".join(cited_docs) + \"]\"\n", - " # ^ doc[4:] removes the 'doc_' prefix, and leaves the quoted document\n", - " modification = f'{text[start:end]} {placeholder}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " return text\n", - "\n", - "print(insert_citations(response.text, response.citations))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "aI4mJqMMKE3N" - }, - "source": [ - "# **Appendix**" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yOeXqm1-6vXh" - }, - "source": [ - "## PDF to Text using OCR and `pdf2image`\n", - "\n", - "This method will be required for any PDFs you have that need to be converted to text.\n", - "\n", - "**WARNING**: this process can take a long time without the proper optimizations. We have provided a snippet for your use below, but use at your own risk." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "hv91DjU77a3Q" - }, - "source": [ - "To go from PDF to text with PyTesseract, there is an intermediary step of converting the PDF to an image first, then passing that image into the OCR package, as OCR is usually only available for images.\n", - "\n", - "To do this, we use `pdf2image`, which uses `poppler` behind the scenes to convert the PDF into a PNG. From there, we can pass the image (which is a PIL Image object) directly into the OCR tool." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "bK8sMxiTeRTB" - }, - "outputs": [], - "source": [ - "import pytesseract\n", - "from pdf2image import convert_from_path\n", - "\n", - "# pdf2image extracts as a list of PIL.Image objects\n", - "# TODO: host this PDF somewhere\n", - "pages = convert_from_path(\"/content/uber_10k.pdf\")\n", - "\n", - "# We access the only page in this sample PDF by indexing at 0\n", - "pages = [pytesseract.image_to_string(page) for page in pages]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7w7HyFL9iaZI" - }, - "source": [ - "## Token count / price comparison and latency" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "igUBVGF0cy0D", - "outputId": "73c4d4d5-f29c-4403-8b08-c2567c39b902" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def get_response(prompt, rag):\n", - " if rag:\n", - " # Get queries to run against our index from the command-nightly model\n", - " r = co.chat(prompt, model=\"command-r\", search_queries_only=True)\n", - " if r.search_queries:\n", - " queries = [q[\"text\"] for q in r.search_queries]\n", - " else:\n", - " print(\"No queries returned by the model\")\n", - "\n", - " documents = []\n", - " # Retrieve a set of chunks from the vector index and append them to the list of\n", - " # documents that should be included in the final RAG step\n", - " for query in queries:\n", - " ret_nodes = retrieve(query)\n", - " documents.extend(format_for_cohere_client(ret_nodes))\n", - "\n", - " # One final dedpulication step in case multiple queries return the same chunk\n", - " documents = [dict(t) for t in {tuple(d.items()) for d in documents}]\n", - "\n", - " # Make a request to the model\n", - " response = co.chat(\n", - " message=prompt,\n", - " model=\"command-r\",\n", - " temperature=0.3,\n", - " documents=documents,\n", - " prompt_truncation=\"AUTO\"\n", - " )\n", - " else:\n", - " response = co.chat(\n", - " message=prompt,\n", - " model=\"command-r\",\n", - " temperature=0.3,\n", - " )\n", - "\n", - " return response" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "lX0YByK2eIeF", - "outputId": "a29cdf89-8b88-4c37-901c-6b1ce88cfa5e" - }, - "outputs": [ + "cells": [ { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Analysis_of_Form_10_K_Using_Cohere_and_RAG.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" } - ], - "source": [ - "prompt_template = \"\"\"# financial form 10-K\n", - "{tenk}\n", - "\n", - "# question\n", - "{question}\"\"\"\n", - "\n", - "full_context_prompt = prompt_template.format(tenk=edgar_10k, question=PROMPT)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "_s_9t57wfRCy", - "outputId": "babf0cb3-a719-467d-ec5f-80e8477f2e40" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "r1 = get_response(PROMPT, rag=True)\n", - "r2 = get_response(full_context_prompt, rag=False)" - ] }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "INxf-xvdiOgF", - "outputId": "8632e4ce-e945-44d6-ed8d-7e6a4b923348" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def get_price(r):\n", - " return (r.token_count[\"prompt_tokens\"] * 0.5 / 10e6) + (r.token_count[\"response_tokens\"] * 1.5 / 10e6)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "uuUUZeewiSV0", - "outputId": "991125f1-5892-4744-e919-7b46930a0272" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "RAG is 93% cheaper than full context\n" - ] - } - ], - "source": [ - "rag_price = get_price(r1)\n", - "full_context_price = get_price(r2)\n", - "\n", - "print(f\"RAG is {(full_context_price - rag_price) / full_context_price:.0%} cheaper than full context\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "8sqRqK8ekKAH", - "outputId": "4d44b64c-50cc-42f0-e2f1-c38205e42c04" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "14.9 s ± 1.4 s per loop (mean ± std. dev. of 7 runs, 1 loop each)\n" - ] - } - ], - "source": [ - "%timeit get_response(PROMPT, rag=True)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "r9Ck-k_gCNJ1", - "outputId": "fe4cdd1a-a4ac-4e20-8c8d-a3ef05ab8bcc" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "22.7 s ± 7.43 s per loop (mean ± std. dev. of 7 runs, 1 loop each)\n" - ] - } - ], - "source": [ - "%timeit get_response(full_context_prompt, rag=False)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Y7sLarNgFGlV" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" - }, - "language_info": { - "name": "python" - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "012491a19a8143f2adc1a95c5d20e488": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_c0c90d8f84b64a11a48e81ba8dec7044", - "max": 7366, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_1167e72dd2184c6ca8c670b27c73a27d", - "value": 7366 - } - }, - "016a793bd7684acc9733f1e3160bd4d6": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "01d81bc2d81741e6bb0a82ba78dc2b21": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_76d51ab196f54b398dcdc574968331fa", - "IPY_MODEL_b9f6e9e50e224cf89b902e419dae269a", - "IPY_MODEL_1271483cc9da41b28637dce1a40fa538" - ], - "layout": "IPY_MODEL_78a1cb4ec6cc4044b2149cb84f34979c" - } - }, - "0e300e8dbea143d688b08c32883d2d29": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "1167e72dd2184c6ca8c670b27c73a27d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "1271483cc9da41b28637dce1a40fa538": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_204957d62b7a4f7db7fa195a3d042b42", - "placeholder": "​", - "style": "IPY_MODEL_930d287b503b4eeeb527c6facc97ca30", - "value": " 43.7k/43.7k [00:00<00:00, 1.97MB/s]" - } - }, - "159f81e1ea4c40a394b6d796527c7c4a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_96997c9c8f3a4237b1d025252c3c2358", - "IPY_MODEL_28b25e6395c54e8e9494f403953065bb", - "IPY_MODEL_cf03a6a84e1345aab6d2d78f9ae5f34a" - ], - "layout": "IPY_MODEL_5a15923788d74d98a70646e1e921831e" - } - }, - "17e8c3567f1a4d6aaa485fd1014977ae": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "204957d62b7a4f7db7fa195a3d042b42": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "21229dbb1f414913b8ad230648ab76e9": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "21c71aa09fae458fa483d58019506d46": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "24055747d0e44bf29f80017117e332cc": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "28b25e6395c54e8e9494f403953065bb": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_21c71aa09fae458fa483d58019506d46", - "max": 12777406, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_b3cb567ec4934a6d935de606af921a61", - "value": 12777406 - } - }, - "2a9c8f7bb54e4814825bddcbb10b3482": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2e094e1165554834a0cc19ebffe93311": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "403ebbf8e6da4c188c42e83ee01db07b": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "41b58953474f49f0915d14801fb18174": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_efb2bf269c1d4ace81ff4a6fabbfb3b6", - "placeholder": "​", - "style": "IPY_MODEL_fc96c077774241a58d24ac42dd52df7e", - "value": " 429/429 [00:00<00:00, 20.7kB/s]" - } - }, - "56cd150b53234f53b28dec80ebeb33a8": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "59f4d94a1be24ef7a1366b7220a9c9de": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5a15923788d74d98a70646e1e921831e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5a94eb68326b4466b7c19ad67f8f5ba6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_59f4d94a1be24ef7a1366b7220a9c9de", - "placeholder": "​", - "style": "IPY_MODEL_6ba07312eb854ae9a88e6cfb886b65c3", - "value": " 7.92k/7.92k [00:00<00:00, 366kB/s]" - } - }, - "5f5e73e41dae4625a98a6eee6120a7d4": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "6643b53bdbe74a6491a3db6ec06446e9": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_0e300e8dbea143d688b08c32883d2d29", - "placeholder": "​", - "style": "IPY_MODEL_2e094e1165554834a0cc19ebffe93311", - "value": "special_tokens_map.json: 100%" - } - }, - "6ba07312eb854ae9a88e6cfb886b65c3": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "76d51ab196f54b398dcdc574968331fa": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_403ebbf8e6da4c188c42e83ee01db07b", - "placeholder": "​", - "style": "IPY_MODEL_f8e3c447794448529391f9660d419632", - "value": "tokenization_cohere_fast.py: 100%" - } - }, - "782b11c627be41b2b67e2774ee7fcf0b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "78a1cb4ec6cc4044b2149cb84f34979c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "79a852590a0444bebad62f2c679e72c6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "7d7dd120240043c1aec77af517bf7add": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "7e9939b2a8394aa3ae4dd1a90d9517ce": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "81d523a8f29c491aaed45a199260b414": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "8670211888514256a54240d002135917": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_016a793bd7684acc9733f1e3160bd4d6", - "placeholder": "​", - "style": "IPY_MODEL_c8330e6698cd41868efc23be3962db7d", - "value": "tokenizer_config.json: 100%" - } - }, - "87d5a00cc4a2460e836ddd22cff918dd": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "88b9a3c1bc78462ea149b94d1ca08e59": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_7e9939b2a8394aa3ae4dd1a90d9517ce", - "max": 7916, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_24055747d0e44bf29f80017117e332cc", - "value": 7916 - } - }, - "88fc0e784a71447abbba8273f6fcdace": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_bb120e29c7fb49e4823daf66f048e95b", - "IPY_MODEL_012491a19a8143f2adc1a95c5d20e488", - "IPY_MODEL_a9cd4375dfd94af4ab2c004e9dbe6fa7" - ], - "layout": "IPY_MODEL_21229dbb1f414913b8ad230648ab76e9" - } - }, - "930d287b503b4eeeb527c6facc97ca30": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "93d9e20a78774bb485ceca31d4453204": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_2a9c8f7bb54e4814825bddcbb10b3482", - "max": 429, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_5f5e73e41dae4625a98a6eee6120a7d4", - "value": 429 - } - }, - "96997c9c8f3a4237b1d025252c3c2358": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_81d523a8f29c491aaed45a199260b414", - "placeholder": "​", - "style": "IPY_MODEL_56cd150b53234f53b28dec80ebeb33a8", - "value": "tokenizer.json: 100%" - } - }, - "a65823cef8a648cebad7e61f012de1f1": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_6643b53bdbe74a6491a3db6ec06446e9", - "IPY_MODEL_93d9e20a78774bb485ceca31d4453204", - "IPY_MODEL_41b58953474f49f0915d14801fb18174" - ], - "layout": "IPY_MODEL_17e8c3567f1a4d6aaa485fd1014977ae" - } - }, - "a7b1f4318e3242e591b15147d699c656": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a9cd4375dfd94af4ab2c004e9dbe6fa7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_af93bfd421504e3eada6c8c884869e2f", - "placeholder": "​", - "style": "IPY_MODEL_782b11c627be41b2b67e2774ee7fcf0b", - "value": " 7.37k/7.37k [00:00<00:00, 373kB/s]" - } - }, - "ab441cef6118450f9bfbcc29f8f34d4f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "af63d2b1e22c40629b2fe585813a2bf4": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "af93bfd421504e3eada6c8c884869e2f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "b3cb567ec4934a6d935de606af921a61": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "b9f6e9e50e224cf89b902e419dae269a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_a7b1f4318e3242e591b15147d699c656", - "max": 43727, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_7d7dd120240043c1aec77af517bf7add", - "value": 43727 - } - }, - "bb120e29c7fb49e4823daf66f048e95b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d102def58f554d48890008185d17af96", - "placeholder": "​", - "style": "IPY_MODEL_79a852590a0444bebad62f2c679e72c6", - "value": "configuration_cohere.py: 100%" - } - }, - "c0c90d8f84b64a11a48e81ba8dec7044": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c8330e6698cd41868efc23be3962db7d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "cf03a6a84e1345aab6d2d78f9ae5f34a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_af63d2b1e22c40629b2fe585813a2bf4", - "placeholder": "​", - "style": "IPY_MODEL_87d5a00cc4a2460e836ddd22cff918dd", - "value": " 12.8M/12.8M [00:00<00:00, 51.7MB/s]" - } - }, - "d102def58f554d48890008185d17af96": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "e2146d738e0d4fe39af19bfb22da2584": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_8670211888514256a54240d002135917", - "IPY_MODEL_88b9a3c1bc78462ea149b94d1ca08e59", - "IPY_MODEL_5a94eb68326b4466b7c19ad67f8f5ba6" - ], - "layout": "IPY_MODEL_ab441cef6118450f9bfbcc29f8f34d4f" - } - }, - "efb2bf269c1d4ace81ff4a6fabbfb3b6": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f8e3c447794448529391f9660d419632": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "fc96c077774241a58d24ac42dd52df7e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - } - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb b/notebooks/guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb index 65be47b2..fb6ce162 100644 --- a/notebooks/guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb +++ b/notebooks/guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb @@ -1,1165 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "VvQHgtiAF_Ld" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "65_Qg86Sl2eF" - }, - "source": [ - "# Analyzing Hacker News with Six Language Understanding Methods\n", - "Large language models give machines a vastly improved representation and understanding of language. These abilities give developers more options for content recommendation, analysis, and filtering.\n", - "\n", - "In this notebook we take thousands of the most popular posts from Hacker News and demonstrate some of these functionalities:\n", - "\n", - "1. Given an existing post title, retrieve the most similar posts (nearest neighbor search using embeddings)\n", - "1. Given a query that we write, retrieve the most similar posts\n", - "1. Plot the archive of articles by similarity (where similar posts are close together and different ones are far)\n", - "1. Cluster the posts to identify the major common themes\n", - "1. Extract major keywords from each cluster so we can identify what the clsuter is about\n", - "1. (Experimental) Name clusters with a generative language model\n", - "\n", - "## Setup\n", - "Let's start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "PUaWQA1znD_g", - "outputId": "1a020c50-d1db-4ef3-9735-0e03984376b1", - "scrolled": true - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Requirement already satisfied: cohere in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (5.1.5)\n", - "Requirement already satisfied: umap-learn in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (0.5.5)\n", - "Requirement already satisfied: altair in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (5.2.0)\n", - "Requirement already satisfied: annoy in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (1.17.3)\n", - "Requirement already satisfied: bertopic in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (0.16.0)\n", - "Requirement already satisfied: httpx>=0.21.2 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from cohere) (0.27.0)\n", - "Requirement already satisfied: pydantic>=1.9.2 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from cohere) (2.6.0)\n", - "Requirement already satisfied: typing_extensions>=4.0.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from cohere) (4.10.0)\n", - "Requirement already satisfied: numpy>=1.17 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from umap-learn) (1.24.3)\n", - "Requirement already satisfied: scipy>=1.3.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from umap-learn) (1.11.1)\n", - "Requirement already satisfied: scikit-learn>=0.22 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from umap-learn) (1.3.0)\n", - "Requirement already satisfied: numba>=0.51.2 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from umap-learn) (0.57.0)\n", - "Requirement already satisfied: pynndescent>=0.5 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from umap-learn) (0.5.12)\n", - "Requirement already satisfied: tqdm in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from umap-learn) (4.65.0)\n", - "Requirement already satisfied: jinja2 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from altair) (3.1.2)\n", - "Requirement already satisfied: jsonschema>=3.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from altair) (4.17.3)\n", - "Requirement already satisfied: packaging in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from altair) (23.2)\n", - "Requirement already satisfied: pandas>=0.25 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from altair) (2.0.3)\n", - "Requirement already satisfied: toolz in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from altair) (0.12.0)\n", - "Requirement already satisfied: hdbscan>=0.8.29 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from bertopic) (0.8.33)\n", - "Requirement already satisfied: sentence-transformers>=0.4.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from bertopic) (2.6.1)\n", - "Requirement already satisfied: plotly>=4.7.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from bertopic) (5.9.0)\n", - "Requirement already satisfied: cython<3,>=0.27 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from hdbscan>=0.8.29->bertopic) (0.29.37)\n", - "Requirement already satisfied: joblib>=1.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from hdbscan>=0.8.29->bertopic) (1.2.0)\n", - "Requirement already satisfied: anyio in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from httpx>=0.21.2->cohere) (3.5.0)\n", - "Requirement already satisfied: certifi in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from httpx>=0.21.2->cohere) (2023.11.17)\n", - "Requirement already satisfied: httpcore==1.* in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from httpx>=0.21.2->cohere) (1.0.2)\n", - "Requirement already satisfied: idna in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from httpx>=0.21.2->cohere) (3.4)\n", - "Requirement already satisfied: sniffio in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from httpx>=0.21.2->cohere) (1.2.0)\n", - "Requirement already satisfied: h11<0.15,>=0.13 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from httpcore==1.*->httpx>=0.21.2->cohere) (0.14.0)\n", - "Requirement already satisfied: attrs>=17.4.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from jsonschema>=3.0->altair) (22.1.0)\n", - "Requirement already satisfied: pyrsistent!=0.17.0,!=0.17.1,!=0.17.2,>=0.14.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from jsonschema>=3.0->altair) (0.18.0)\n", - "Requirement already satisfied: llvmlite<0.41,>=0.40.0dev0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from numba>=0.51.2->umap-learn) (0.40.0)\n", - "Requirement already satisfied: python-dateutil>=2.8.2 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from pandas>=0.25->altair) (2.8.2)\n", - "Requirement already satisfied: pytz>=2020.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from pandas>=0.25->altair) (2023.3.post1)\n", - "Requirement already satisfied: tzdata>=2022.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from pandas>=0.25->altair) (2023.3)\n", - "Requirement already satisfied: tenacity>=6.2.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from plotly>=4.7.0->bertopic) (8.2.2)\n", - "Requirement already satisfied: annotated-types>=0.4.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from pydantic>=1.9.2->cohere) (0.6.0)\n", - "Requirement already satisfied: pydantic-core==2.16.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from pydantic>=1.9.2->cohere) (2.16.1)\n", - "Requirement already satisfied: threadpoolctl>=2.0.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from scikit-learn>=0.22->umap-learn) (2.2.0)\n", - "Requirement already satisfied: transformers<5.0.0,>=4.32.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from sentence-transformers>=0.4.1->bertopic) (4.39.3)\n", - "Requirement already satisfied: torch>=1.11.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from sentence-transformers>=0.4.1->bertopic) (2.2.2)\n", - "Requirement already satisfied: huggingface-hub>=0.15.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from sentence-transformers>=0.4.1->bertopic) (0.22.2)\n", - "Requirement already satisfied: Pillow in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from sentence-transformers>=0.4.1->bertopic) (10.0.1)\n", - "Requirement already satisfied: MarkupSafe>=2.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from jinja2->altair) (2.1.1)\n", - "Requirement already satisfied: filelock in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from huggingface-hub>=0.15.1->sentence-transformers>=0.4.1->bertopic) (3.9.0)\n", - "Requirement already satisfied: fsspec>=2023.5.0 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from huggingface-hub>=0.15.1->sentence-transformers>=0.4.1->bertopic) (2024.3.1)\n", - "Requirement already satisfied: pyyaml>=5.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from huggingface-hub>=0.15.1->sentence-transformers>=0.4.1->bertopic) (6.0)\n", - "Requirement already satisfied: requests in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from huggingface-hub>=0.15.1->sentence-transformers>=0.4.1->bertopic) (2.31.0)\n", - "Requirement already satisfied: six>=1.5 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from python-dateutil>=2.8.2->pandas>=0.25->altair) (1.16.0)\n", - "Requirement already satisfied: sympy in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from torch>=1.11.0->sentence-transformers>=0.4.1->bertopic) (1.11.1)\n", - "Requirement already satisfied: networkx in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from torch>=1.11.0->sentence-transformers>=0.4.1->bertopic) (3.1)\n", - "Requirement already satisfied: regex!=2019.12.17 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from transformers<5.0.0,>=4.32.0->sentence-transformers>=0.4.1->bertopic) (2022.7.9)\n", - "Requirement already satisfied: tokenizers<0.19,>=0.14 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from transformers<5.0.0,>=4.32.0->sentence-transformers>=0.4.1->bertopic) (0.15.2)\n", - "Requirement already satisfied: safetensors>=0.4.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from transformers<5.0.0,>=4.32.0->sentence-transformers>=0.4.1->bertopic) (0.4.2)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from requests->huggingface-hub>=0.15.1->sentence-transformers>=0.4.1->bertopic) (3.3.2)\n", - "Requirement already satisfied: urllib3<3,>=1.21.1 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from requests->huggingface-hub>=0.15.1->sentence-transformers>=0.4.1->bertopic) (1.26.18)\n", - "Requirement already satisfied: mpmath>=0.19 in /Users/alexiscook/anaconda3/lib/python3.11/site-packages (from sympy->torch>=1.11.0->sentence-transformers>=0.4.1->bertopic) (1.3.0)\n" - ] - } - ], - "source": [ - "!pip install cohere umap-learn altair annoy bertopic" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "pgh8_8qMMnqp" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import numpy as np\n", - "import pandas as pd\n", - "import umap\n", - "import altair as alt\n", - "from annoy import AnnoyIndex\n", - "import warnings\n", - "from sklearn.cluster import KMeans\n", - "from sklearn.feature_extraction.text import CountVectorizer\n", - "from bertopic.vectorizers import ClassTfidfTransformer\n", - "\n", - "warnings.filterwarnings('ignore')\n", - "pd.set_option('display.max_colwidth', None)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "Usvui4VSFh62" - }, - "outputs": [], - "source": [ - "# Paste your API key here. Remember to not share publicly\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Insert your Cohere API key" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "vNT13boTenyj" - }, - "source": [ - "## Dataset: Top 3,000 Ask HN posts\n", - "We will use the top 3,000 posts from the Ask HN section of Hacker News. We provide a CSV containing the posts." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "gYz7D3ZtCVdW", - "outputId": "4bef90e7-802c-4d20-c247-c78af371231f" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loaded a DataFrame with 3000 rows\n" - ] - } - ], - "source": [ - "# Load the dataframe containing the text and metadata of the posts\n", - "df = pd.read_csv('https://storage.googleapis.com/cohere-assets/blog/text-clustering/data/askhn3k_df.csv', index_col=0)\n", - "\n", - "print(f'Loaded a DataFrame with {len(df)} rows')" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "L34t61O2DBwD" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
titleurltextdeadbyscoretimetimestamptypeidparentdescendantsrankingdeleted
0I'm a software engineer going blind, how should I prepare?NaNI&#x27;m a 24 y&#x2F;o full stack engineer (I know some of you are rolling your eyes right now, just highlighting that I have experience on frontend apps as well as backend architecture). I&#x27;ve been working professionally for ~7 years building mostly javascript projects but also some PHP. Two years ago I was diagnosed with a condition called &quot;Usher&#x27;s Syndrome&quot; - characterized by hearing loss, balance issues, and progressive vision loss.<p>I know there are blind software engineers out there. My main questions are:<p>- Are there blind frontend engineers?<p>- What kinds of software engineering lend themselves to someone with limited vision? Backend only?<p>- Besides a screen reader, what are some of the best tools for building software with limited vision?<p>- Does your company employ blind engineers? How well does it work? What kind of engineer are they?<p>I&#x27;m really trying to get ahead of this thing and prepare myself as my vision is degrading rather quickly. I&#x27;m not sure what I can do if I can&#x27;t do SE as I don&#x27;t have any formal education in anything. I&#x27;ve worked really hard to get to where I am and don&#x27;t want it to go to waste.<p>Thank you for any input, and stay safe out there!<p>Edit:<p>Thank you all for your links, suggestions, and moral support, I really appreciate it. Since my diagnosis I&#x27;ve slowly developed a crippling anxiety centered around a feeling that I need to figure out the rest of my life before it&#x27;s too late. I know I shouldn&#x27;t think this way but it is hard not to. I&#x27;m very independent and I feel a pressure to &quot;show up.&quot; I will look into these opportunities mentioned and try to get in touch with some more members of the blind engineering community.NaNzachrip327015873320262020-04-19 21:33:46+00:00story22918980NaN473.0NaNNaN
1Am I the longest-serving programmer – 57 years and counting?NaNIn May of 1963, I started my first full-time job as a computer programmer for Mitchell Engineering Company, a supplier of steel buildings. At Mitchell, I developed programs in Fortran II on an IBM 1620 mostly to improve the efficiency of order processing and fulfillment. Since then, all my jobs for the past 57 years have involved computer programming. I am now a data scientist developing cloud-based big data fraud detection algorithms using machine learning and other advanced analytical technologies. Along the way, I earned a Master’s in Operations Research and a Master’s in Management Science, studied artificial intelligence for 3 years in a Ph.D. program for engineering, and just two years ago I received Graduate Certificates in Big Data Analytics from the schools of business and computer science at a local university (FAU). In addition, I currently hold the designation of Certified Analytics Professional (CAP). At 74, I still have no plans to retire or to stop programming.NaNgenedangelo263415908900242020-05-31 01:53:44+00:00story23366546NaN531.0NaNNaN
2Is S3 down?NaNI&#x27;m getting<p>{\\n &quot;errorCode&quot; : &quot;InternalError&quot;\\n}<p>When I attempt to use the AWS Console to view s3NaNiamdeedubs258914883039582017-02-28 17:45:58+00:00story13755673NaN1055.0NaNNaN
3What tech job would let me get away with the least real work possible?NaNHey HN,<p>I&#x27;ll probably get a lot of flak for this. Sorry.<p>I&#x27;m an average developer looking for ways to work as little as humanely possible.<p>The pandemic made me realize that I do not care about working anymore. The software I build is useless. Time flies real fast and I have to focus on my passions (which are not monetizable).<p>Unfortunately, I require shelter, calories and hobby materials. Thus the need for some kind of job.<p>Which leads me to ask my fellow tech workers, what kind of job (if any) do you think would fit the following requirements :<p>- No &#x2F; very little involvement in the product itself (I do not care.)<p>- Fully remote (You can&#x27;t do much when stuck in the office. Ideally being done in 2 hours in the morning then chilling would be perfect.)<p>- Low expectactions &#x2F; vague job description.<p>- Salary can be on the lower side.<p>- No career advancement possibilities required. Only tech, I do not want to manage people.<p>- Can be about helping other developers, setting up infrastructure&#x2F;deploy or pure data management since this is fun.<p>I think the only possible jobs would be some kind of backend-only dev or devops&#x2F;sysadmin work. But I&#x27;m not sure these exist anymore, it seems like you always end up having to think about the product itself. Web dev jobs always required some involvement in the frontend.<p>Thanks for any advice (or hate, which I can&#x27;t really blame you for).NaNlmueongoqx202216177848632021-04-07 08:41:03+00:00story26721951NaN1091.0NaNNaN
4What books changed the way you think about almost everything?NaNI was reflecting today about how often I think about Freakonomics. I don&#x27;t study it religiously. I read it one time more than 10 years ago. I can only remember maybe a single specific anecdote from the book. And yet the simple idea that basically every action humans take can be traced back to an incentive has fundamentally changed the way I view the world. Can anyone recommend books that have had a similar impact on them?NaNanderspitman200915493879052019-02-05 17:31:45+00:00story19087418NaN1165.0NaNNaN
\n", - "
" - ], - "text/plain": [ - " title \\\n", - "0 I'm a software engineer going blind, how should I prepare? \n", - "1 Am I the longest-serving programmer – 57 years and counting? \n", - "2 Is S3 down? \n", - "3 What tech job would let me get away with the least real work possible? \n", - "4 What books changed the way you think about almost everything? \n", - "\n", - " url \\\n", - "0 NaN \n", - "1 NaN \n", - "2 NaN \n", - "3 NaN \n", - "4 NaN \n", - "\n", - " text \\\n", - "0 I'm a 24 y/o full stack engineer (I know some of you are rolling your eyes right now, just highlighting that I have experience on frontend apps as well as backend architecture). I've been working professionally for ~7 years building mostly javascript projects but also some PHP. Two years ago I was diagnosed with a condition called "Usher's Syndrome" - characterized by hearing loss, balance issues, and progressive vision loss.

I know there are blind software engineers out there. My main questions are:

- Are there blind frontend engineers?

- What kinds of software engineering lend themselves to someone with limited vision? Backend only?

- Besides a screen reader, what are some of the best tools for building software with limited vision?

- Does your company employ blind engineers? How well does it work? What kind of engineer are they?

I'm really trying to get ahead of this thing and prepare myself as my vision is degrading rather quickly. I'm not sure what I can do if I can't do SE as I don't have any formal education in anything. I've worked really hard to get to where I am and don't want it to go to waste.

Thank you for any input, and stay safe out there!

Edit:

Thank you all for your links, suggestions, and moral support, I really appreciate it. Since my diagnosis I've slowly developed a crippling anxiety centered around a feeling that I need to figure out the rest of my life before it's too late. I know I shouldn't think this way but it is hard not to. I'm very independent and I feel a pressure to "show up." I will look into these opportunities mentioned and try to get in touch with some more members of the blind engineering community. \n", - "1 In May of 1963, I started my first full-time job as a computer programmer for Mitchell Engineering Company, a supplier of steel buildings. At Mitchell, I developed programs in Fortran II on an IBM 1620 mostly to improve the efficiency of order processing and fulfillment. Since then, all my jobs for the past 57 years have involved computer programming. I am now a data scientist developing cloud-based big data fraud detection algorithms using machine learning and other advanced analytical technologies. Along the way, I earned a Master’s in Operations Research and a Master’s in Management Science, studied artificial intelligence for 3 years in a Ph.D. program for engineering, and just two years ago I received Graduate Certificates in Big Data Analytics from the schools of business and computer science at a local university (FAU). In addition, I currently hold the designation of Certified Analytics Professional (CAP). At 74, I still have no plans to retire or to stop programming. \n", - "2 I'm getting

{\\n "errorCode" : "InternalError"\\n}

When I attempt to use the AWS Console to view s3 \n", - "3 Hey HN,

I'll probably get a lot of flak for this. Sorry.

I'm an average developer looking for ways to work as little as humanely possible.

The pandemic made me realize that I do not care about working anymore. The software I build is useless. Time flies real fast and I have to focus on my passions (which are not monetizable).

Unfortunately, I require shelter, calories and hobby materials. Thus the need for some kind of job.

Which leads me to ask my fellow tech workers, what kind of job (if any) do you think would fit the following requirements :

- No / very little involvement in the product itself (I do not care.)

- Fully remote (You can't do much when stuck in the office. Ideally being done in 2 hours in the morning then chilling would be perfect.)

- Low expectactions / vague job description.

- Salary can be on the lower side.

- No career advancement possibilities required. Only tech, I do not want to manage people.

- Can be about helping other developers, setting up infrastructure/deploy or pure data management since this is fun.

I think the only possible jobs would be some kind of backend-only dev or devops/sysadmin work. But I'm not sure these exist anymore, it seems like you always end up having to think about the product itself. Web dev jobs always required some involvement in the frontend.

Thanks for any advice (or hate, which I can't really blame you for). \n", - "4 I was reflecting today about how often I think about Freakonomics. I don't study it religiously. I read it one time more than 10 years ago. I can only remember maybe a single specific anecdote from the book. And yet the simple idea that basically every action humans take can be traced back to an incentive has fundamentally changed the way I view the world. Can anyone recommend books that have had a similar impact on them? \n", - "\n", - " dead by score time timestamp type \\\n", - "0 NaN zachrip 3270 1587332026 2020-04-19 21:33:46+00:00 story \n", - "1 NaN genedangelo 2634 1590890024 2020-05-31 01:53:44+00:00 story \n", - "2 NaN iamdeedubs 2589 1488303958 2017-02-28 17:45:58+00:00 story \n", - "3 NaN lmueongoqx 2022 1617784863 2021-04-07 08:41:03+00:00 story \n", - "4 NaN anderspitman 2009 1549387905 2019-02-05 17:31:45+00:00 story \n", - "\n", - " id parent descendants ranking deleted \n", - "0 22918980 NaN 473.0 NaN NaN \n", - "1 23366546 NaN 531.0 NaN NaN \n", - "2 13755673 NaN 1055.0 NaN NaN \n", - "3 26721951 NaN 1091.0 NaN NaN \n", - "4 19087418 NaN 1165.0 NaN NaN " - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Let's glance at the contents of the dataframe with the text and metadata\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We calculate the embeddings using Cohere's `embed-english-v3.0` model. The resulting embeddings matrix has 3,000 rows (one for each post) and 1024 columns (meaning each post title is represented with a 1024-dimensional embedding)." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "hsd72NviFPLM", - "outputId": "d85beb26-4978-43dc-da9c-a70c5e876a9c" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "(3000, 1024)" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "batch_size = 90\n", - "\n", - "embeds_list = []\n", - "for i in range(0, len(df), batch_size):\n", - " batch = df[i : min(i + batch_size, len(df))]\n", - " texts = list(batch[\"title\"])\n", - " embs_batch = co.embed(\n", - " texts=texts, model=\"embed-english-v3.0\", input_type=\"search_document\"\n", - " ).embeddings\n", - " embeds_list.extend(embs_batch)\n", - "\n", - "embeds = np.array(embeds_list)\n", - "embeds.shape" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "XjM2Tw9efZcq" - }, - "source": [ - "## Building a semantic search index\n", - "For nearest-neighbor search, we can use the open-source Annoy library. Let's create a semantic search index and feed it all the embeddings." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ZKdhsaQYEdGA", - "outputId": "6bc68633-77c7-4c66-c37b-38619be8ef7f" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Create the search index, pass the size of embedding\n", - "search_index = AnnoyIndex(embeds.shape[1], 'angular')\n", - "# Add all the vectors to the search index\n", - "for i in range(len(embeds)):\n", - " search_index.add_item(i, embeds[i])\n", - "\n", - "search_index.build(10) # 10 trees\n", - "search_index.save('askhn.ann')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "xmwMOqDdF7Eg" - }, - "source": [ - "## 1- Given an existing post title, retrieve the most similar posts (nearest neighbor search using embeddings)\n", - "We can query neighbors of a specific post using `get_nns_by_item`." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 367 - }, - "id": "N_mfShQNElBw", - "outputId": "0f03487c-15b3-45fb-83d6-bad466b2fe5e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Query post:'Pick startups for YC to fund'\n", - "Nearest neighbors:\n" - ] - }, - { - "data": { - "text/html": [ - "

\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
post titlesdistance
2991Best Bank for Startups?0.883494
2910Who's looking for a cofounder?0.885087
31What startup/technology is on your 'to watch' list?0.887212
685What startup/technology is on your 'to watch' list?0.887212
2123Who is seeking a cofounder?0.889451
727Agriculture startups doing interesting work?0.899192
2972How should I evaluate a startup as I job hunt?0.901621
2589What methods do you use to gain early customers for your startup?0.903065
2708Is there VC appetite for defense related startups?0.904016
\n", - "
" - ], - "text/plain": [ - " post titles \\\n", - "2991 Best Bank for Startups? \n", - "2910 Who's looking for a cofounder? \n", - "31 What startup/technology is on your 'to watch' list? \n", - "685 What startup/technology is on your 'to watch' list? \n", - "2123 Who is seeking a cofounder? \n", - "727 Agriculture startups doing interesting work? \n", - "2972 How should I evaluate a startup as I job hunt? \n", - "2589 What methods do you use to gain early customers for your startup? \n", - "2708 Is there VC appetite for defense related startups? \n", - "\n", - " distance \n", - "2991 0.883494 \n", - "2910 0.885087 \n", - "31 0.887212 \n", - "685 0.887212 \n", - "2123 0.889451 \n", - "727 0.899192 \n", - "2972 0.901621 \n", - "2589 0.903065 \n", - "2708 0.904016 " - ] - }, - "execution_count": 13, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Choose an example (we'll retrieve others similar to it)\n", - "example_id = 50\n", - "\n", - "# Retrieve nearest neighbors\n", - "similar_item_ids = search_index.get_nns_by_item(example_id,\n", - " 10, # Number of results to retrieve\n", - " include_distances=True)\n", - "# Format and print the text and distances\n", - "results = pd.DataFrame(data={'post titles': df.iloc[similar_item_ids[0]]['title'],\n", - " 'distance': similar_item_ids[1]}).drop(example_id)\n", - "\n", - "print(f\"Query post:'{df.iloc[example_id]['title']}'\\nNearest neighbors:\")\n", - "results" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "hrGTfIaXBNny" - }, - "source": [ - "## 2- Given a query that we write, retrieve the most similar posts\n", - "We're not limited to searching using existing items. If we get a query, we can embed it and find its nearest neighbors from the dataset." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 399 - }, - "id": "E0q3r11zFOiY", - "outputId": "25c0b6d2-7e4b-459d-f265-f5cab46ba6c9" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Query:'How can I improve my knowledge of calculus?'\n", - "Nearest neighbors:\n" - ] - }, - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
textsdistance
2457How do I improve my command of mathematical language?0.931286
1235How to learn new things better?1.024635
145How to self-learn math?1.044135
1317How can I learn to read mathematical notation?1.050976
910How Do You Learn?1.061253
2432How did you learn math notation?1.070800
1994How do I become smarter?1.083434
1529How do you personally learn?1.086088
796How do you keep improving?1.087251
1286How do I learn drawing?1.088468
\n", - "
" - ], - "text/plain": [ - " texts distance\n", - "2457 How do I improve my command of mathematical language? 0.931286\n", - "1235 How to learn new things better? 1.024635\n", - "145 How to self-learn math? 1.044135\n", - "1317 How can I learn to read mathematical notation? 1.050976\n", - "910 How Do You Learn? 1.061253\n", - "2432 How did you learn math notation? 1.070800\n", - "1994 How do I become smarter? 1.083434\n", - "1529 How do you personally learn? 1.086088\n", - "796 How do you keep improving? 1.087251\n", - "1286 How do I learn drawing? 1.088468" - ] - }, - "execution_count": 14, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "query = \"How can I improve my knowledge of calculus?\"\n", - "\n", - "# Get the query's embedding\n", - "# We'll need to embed the query using the same model that we used to embed the post titles\n", - "# so the query and titles are using the same embedding space.\n", - "query_embed = co.embed(texts=[query],\n", - " model=\"embed-english-v3.0\",\n", - " truncate=\"RIGHT\",\n", - " input_type=\"search_query\").embeddings\n", - "\n", - "# Retrieve the nearest neighbors\n", - "similar_item_ids = search_index.get_nns_by_vector(query_embed[0], 10, include_distances=True)\n", - "\n", - "# Format & print the results\n", - "results = pd.DataFrame(data={'texts': df.iloc[similar_item_ids[0]]['title'],\n", - " 'distance': similar_item_ids[1]})\n", - "print(f\"Query:'{query}'\\nNearest neighbors:\")\n", - "results" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VGTlNLvsG32c" - }, - "source": [ - "## 3- Plot the archive of articles by similarity\n", - "What if we want to browse the archive instead of only searching it? Let's plot all the questions in a 2D chart so you're able to visualize the posts in the archive and their similarities." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "id": "OvtQpscjI6gQ" - }, - "outputs": [], - "source": [ - "reducer = umap.UMAP(n_neighbors=100)\n", - "umap_embeds = reducer.fit_transform(embeds)" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 463 - }, - "id": "h1vCtZsSDkUS", - "outputId": "8f909285-7d10-4571-9178-099559846467" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
\n", - "" - ], - "text/plain": [ - "alt.Chart(...)" - ] - }, - "execution_count": 16, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "df['x'] = umap_embeds[:,0]\n", - "df['y'] = umap_embeds[:,1]\n", - "\n", - "# Plot\n", - "chart = alt.Chart(df).mark_circle(size=60).encode(\n", - " x=#'x',\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " tooltip=['title']\n", - " ).configure(background=\"#FDF7F0\"\n", - " ).properties(\n", - " width=700,\n", - " height=400,\n", - " title='Ask HN: top 3,000 posts'\n", - " )\n", - "\n", - "chart.interactive()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "X9nzt3O_bDq-" - }, - "source": [ - "## 4- Cluster the posts to identify the major common themes\n", - "Let's proceed to cluster the embeddings using KMeans from scikit-learn." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "id": "SfVle3ERXdNe" - }, - "outputs": [], - "source": [ - "# Pick the number of clusters\n", - "n_clusters = 8\n", - "\n", - "# Cluster the embeddings\n", - "kmeans_model = KMeans(n_clusters=n_clusters, random_state=0)\n", - "classes = kmeans_model.fit_predict(embeds)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RZyX8xSZwFAp" - }, - "source": [ - "## 5- Extract major keywords from each cluster so we can identify what the cluster is about" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "id": "48vDZriYwDu_" - }, - "outputs": [], - "source": [ - "# Extract the keywords for each cluster\n", - "documents = df['title']\n", - "documents = pd.DataFrame({\"Document\": documents,\n", - " \"ID\": range(len(documents)),\n", - " \"Topic\": None})\n", - "documents['Topic'] = classes\n", - "documents_per_topic = documents.groupby(['Topic'], as_index=False).agg({'Document': ' '.join})\n", - "count_vectorizer = CountVectorizer(stop_words=\"english\").fit(documents_per_topic.Document)\n", - "count = count_vectorizer.transform(documents_per_topic.Document)\n", - "words = count_vectorizer.get_feature_names_out()" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": { - "id": "QUz8Shwkg0DF" - }, - "outputs": [], - "source": [ - "ctfidf = ClassTfidfTransformer().fit_transform(count).toarray()\n", - "words_per_class = {label: [words[index] for index in ctfidf[label].argsort()[-10:]] for label in documents_per_topic.Topic}\n", - "df['cluster'] = classes\n", - "df['keywords'] = df['cluster'].map(lambda topic_num: \", \".join(np.array(words_per_class[topic_num])[:]))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6YCi0ZXob29g" - }, - "source": [ - "## Plot with clusters and keywords information\n", - "We can now plot the documents with their clusters and keywords" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 563 - }, - "id": "6WhxcgkyZps_", - "outputId": "838b40a5-fc2c-45cb-94a0-8cca3dfe1a75" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
\n", - "" - ], - "text/plain": [ - "alt.Chart(...)" - ] - }, - "execution_count": 20, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "selection = alt.selection_multi(fields=['keywords'], bind='legend')\n", - "\n", - "chart = alt.Chart(df).transform_calculate(\n", - " url='https://news.ycombinator.com/item?id=' + alt.datum.id\n", - ").mark_circle(size=60, stroke='#666', strokeWidth=1, opacity=0.3).encode(\n", - " x=#'x',\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " href='url:N',\n", - " color=alt.Color('keywords:N',\n", - " legend=alt.Legend(columns=1, symbolLimit=0, labelFontSize=14)\n", - " ),\n", - " opacity=alt.condition(selection, alt.value(1), alt.value(0.2)),\n", - " tooltip=['title', 'keywords', 'cluster', 'score', 'descendants']\n", - ").properties(\n", - " width=800,\n", - " height=500\n", - ").add_selection(\n", - " selection\n", - ").configure_legend(labelLimit= 0).configure_view(\n", - " strokeWidth=0\n", - ").configure(background=\"#FDF7F0\").properties(\n", - " title='Ask HN: Top 3,000 Posts'\n", - ")\n", - "chart.interactive()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4ZEYkKsSfQne" - }, - "source": [ - "## 6- (Experimental) Naming clusters with a generative language model\n", - "While the extracted keywords do add a lot of information to help us identify the clusters at a glance, we should be able to have a generative model look at these keywords and suggest a name. So far I have reasonable results from a prompt that looks like this:\n", - "\n", - "```\n", - "The common theme of the following words: books, book, read, the, you, are, what, best, in, your\n", - "is that they all relate to favorite books to read.\n", - "---\n", - "The common theme of the following words: startup, company, yc, failed\n", - "is that they all relate to startup companies and their failures.\n", - "---\n", - "The common theme of the following words: freelancer, wants, hired, be, who, seeking, to, 2014, 2020, april\n", - "is that they all relate to hiring for a freelancer to join the team of a startup.\n", - "---\n", - "The common theme of the following words: \n", - "is that they all relate to\n", - "```\n", - "\n", - "There's a lot of room for improvement though. I'm really excited by this use case because it adds so much information. Imagine if the in the following tree of topics, you assigned each cluster an intelligible name. Then imagine if you assigned each *branching* a name as well\n", - "\n", - "![](https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/images/kmeans-centroid-dendrogram.png)\n", - "\n", - "We can’t wait to see what you start building! Share your projects or find support on our [Discord server](https://discord.com/invite/co-mmunity).\n" - ] - } - ], - "metadata": { - "colab": { - "provenance": [], - "toc_visible": true - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Article_Recommender_with_Text_Embedding_Classification_Extraction.ipynb b/notebooks/guides/Article_Recommender_with_Text_Embedding_Classification_Extraction.ipynb index 41ec1a4f..32a5d632 100644 --- a/notebooks/guides/Article_Recommender_with_Text_Embedding_Classification_Extraction.ipynb +++ b/notebooks/guides/Article_Recommender_with_Text_Embedding_Classification_Extraction.ipynb @@ -1,1282 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Q0FyHWcc6RI9" - }, - "source": [ - "## Article Recommender with Text Embedding, Classification, and Extraction" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nGX0RfPUfFgq" - }, - "source": [ - "This is a simple demonstration of how we can stack multiple NLP models together \n", - "to get an output much closer to our desired outcome." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VkGvDUYd2gnh" - }, - "source": [ - "Embeddings can capture the meaning of a piece of text beyond keyword-matching. In this article, we will build a simple news article recommender system that computes the embeddings of all available articles and recommend the most relevant articles based on embeddings similarity. \n", - "\n", - "We will also make the recommendation tighter by using text classification to recommend only articles within the same category. We will then extract a list of tags from each recommended article, which can further help readers discover new articles. \n", - "\n", - "All this will be done via three Cohere API endpoints stacked together: Embed, Classify, and Chat.\n", - "\n", - "![Article recommender with Embed, Classify, and Chat](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/article-recommender/article-rec-1.png)\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "fNvRai38Isqr" - }, - "source": [ - "We will implement the following steps:\n", - "\n", - "**1: Find the most similar articles to the one currently reading using embeddings.**\n", - "\n", - "**2: Keep only articles of the same category using text classification.**\n", - "\n", - "**3: Extract tags from these articles.**\n", - "\n", - "**4: Show the top 5 recommended articles.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "29uwe-jzJ9rh", - "outputId": "d85ab1db-36d4-4fc3-bbba-319b88ba158f" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "Looking in indexes: https://pypi.org/simple, https://us-python.pkg.dev/colab-wheels/public/simple/\n", - "Collecting cohere\n", - " Downloading cohere-1.3.10-cp37-cp37m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (18.0 MB)\n", - "\u001b[K |████████████████████████████████| 18.0 MB 135 kB/s \n", - "\u001b[?25hRequirement already satisfied: requests in /usr/local/lib/python3.7/dist-packages (from cohere) (2.23.0)\n", - "Requirement already satisfied: urllib3!=1.25.0,!=1.25.1,<1.26,>=1.21.1 in /usr/local/lib/python3.7/dist-packages (from requests->cohere) (1.24.3)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.7/dist-packages (from requests->cohere) (2022.6.15)\n", - "Requirement already satisfied: idna<3,>=2.5 in /usr/local/lib/python3.7/dist-packages (from requests->cohere) (2.10)\n", - "Requirement already satisfied: chardet<4,>=3.0.2 in /usr/local/lib/python3.7/dist-packages (from requests->cohere) (3.0.4)\n", - "Installing collected packages: cohere\n", - "Successfully installed cohere-1.3.10\n" - ] - } - ], - "source": [ - "! pip install cohere" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "y9-RyLu7KHII" - }, - "outputs": [], - "source": [ - "import numpy as np\n", - "import pandas as pd\n", - "import re\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "SeR8yeXl4YtQ" - }, - "source": [ - "# **1: Find the most similar articles to the one currently reading using embeddings**\n", - "\n", - "![Step 1 - Embed](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/article-recommender/article-rec-2.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Efe2XFpWqsw7" - }, - "source": [ - "## 1.1: Get articles" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MJMuR_Pb5TUh" - }, - "source": [ - "Throughout this article, we'll use the [BBC news article dataset](https://www.kaggle.com/competitions/learn-ai-bbc/data?select=BBC+News+Train.csv) as an example [[Source]](http://mlg.ucd.ie/datasets/bbc.html). This dataset consists of articles from a few categories: business, politics, tech, entertainment, and sport.\n", - "\n", - "We'll extract a subset of the data and in Step 1, use the first 100 data points.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "G95txfh1KHCu", - "outputId": "3b58f535-d1b9-4862-f6d1-e2aa5e37495c" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
Text
0worldcom ex-boss launches defence lawyers defe...
1german business confidence slides german busin...
2bbc poll indicates economic gloom citizens in ...
3lifestyle governs mobile choice faster bett...
4enron bosses in $168m payout eighteen former e...
\n", - "
" - ], - "text/plain": [ - " Text\n", - "0 worldcom ex-boss launches defence lawyers defe...\n", - "1 german business confidence slides german busin...\n", - "2 bbc poll indicates economic gloom citizens in ...\n", - "3 lifestyle governs mobile choice faster bett...\n", - "4 enron bosses in $168m payout eighteen former e..." + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Article_Recommender_with_Text_Embedding_Classification_Extraction.ipynb." ] - }, - "execution_count": 2, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Load the dataset to a dataframe\n", - "df = pd.read_csv('https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/bbc_news_subset.csv', delimiter=',')\n", - "\n", - "# Select a portion of the dataset \n", - "INP_START = 0\n", - "INP_END = 100\n", - "df_inputs = df.iloc[INP_START:INP_END]\n", - "df_inputs = df_inputs.copy()\n", - "\n", - "# Remove columns we don't need\n", - "df_inputs.drop(['ArticleId','Category'],axis=1,inplace=True)\n", - "\n", - "# View the data\n", - "df_inputs.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "O_kIeQpENJzp" - }, - "source": [ - "## 1.2: Turn articles into embeddings\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wu3fRvs3A5oe" - }, - "source": [ - "Next we turn each article text into embeddings. An [embedding](https://docs.cohere.ai/embedding-wiki) is a list of numbers that our models use to represent a piece of text, capturing its context and meaning.\n", - "\n", - "We do this by calling Cohere's [Embed endpoint](https://docs.cohere.ai/embed-reference), which takes in text as input and returns embeddings as output." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "CoLcyJLsKHAN", - "outputId": "d3e53c90-9505-4a5d-ef65-135312b7b0eb" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Number of articles: 100\n" - ] } - ], - "source": [ - "# Get text embeddings via the Embed endpoint\n", - "articles = df_inputs['Text'].tolist()\n", - "\n", - "output = co.embed(\n", - " model ='embed-english-v3.0',\n", - " input_type='search_document',\n", - " texts = articles)\n", - "embeds = output.embeddings\n", - "\n", - "print('Number of articles:', len(embeds))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "UqOIWI_LM2Rc" - }, - "source": [ - "## 1.3: Pick one article and find the most similar articles" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MIKvKKgJBcnK" - }, - "source": [ - "Next, we pick any one article to be the one the reader is currently reading (let's call this the target) and find other articles with the most similar embeddings (let's call these candidates) using cosine similarity.\n", - "\n", - "[Cosine similarity](https://en.wikipedia.org/wiki/Cosine_similarity) is a metric that measures how similar sequences of numbers are (embeddings in our case), and we compute it for each target-candidate pair. " - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "qfJJZ6Z0NaWg", - "outputId": "40baea0c-9d1e-4fa2-a75e-3b0f0ba491ce" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Choose one article ID between 0 and 99 below...\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Choose one article ID as the one you are currently reading\n", - "print(f'Choose one article ID between {INP_START} and {INP_END-1} below...')" - ] - }, - { - "cell_type": "code", - "execution_count": 93, - "metadata": { - "id": "yn0lvlBuNwq_" - }, - "outputs": [], - "source": [ - "# Enter your article ID\n", - "READING_IDX = 70\n", - "\n", - "# Get embedding for the article\n", - "reading = embeds[READING_IDX]" - ] - }, - { - "cell_type": "code", - "execution_count": 94, - "metadata": { - "id": "qhhaiIsEQF9k" - }, - "outputs": [], - "source": [ - "# Calculate cosine similarity between the target and candidate articles\n", - "\n", - "from sklearn.metrics.pairwise import cosine_similarity\n", - "\n", - "def get_similarity(target,candidates):\n", - " # Turn list into array\n", - " candidates = np.array(candidates)\n", - " target = np.expand_dims(np.array(target),axis=0)\n", - "\n", - " # Calculate cosine similarity\n", - " similarity_scores = cosine_similarity(target,candidates)\n", - " similarity_scores = np.squeeze(similarity_scores).tolist()\n", - "\n", - " # Sort by descending order in similarity\n", - " similarity_scores = list(enumerate(similarity_scores))\n", - " similarity_scores = sorted(similarity_scores, key=lambda x:x[1], reverse=True)\n", - "\n", - " # Return similarity scores\n", - " return similarity_scores" - ] - }, - { - "cell_type": "code", - "execution_count": 95, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "jVNQ0cUDR-5U", - "outputId": "040e57ad-ff14-4c19-97f1-554edc4dac59" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Target:\n", - "[ID 70] aragones angered by racism fine spain coach luis aragones is furious after being fined by the spanis ... \n", - "\n", - "Candidates:\n", - "[ID 23] ferguson urges henry punishment sir alex ferguson has called on the football association to punish a ...\n", - "[ID 51] mourinho defiant on chelsea form chelsea boss jose mourinho has insisted that sir alex ferguson and ...\n", - "[ID 73] balco case trial date pushed back the trial date for the bay area laboratory cooperative (balco) ste ...\n", - "[ID 41] mcleish ready for criticism rangers manager alex mcleish accepts he is going to be criticised after ...\n", - "[ID 42] premier league planning cole date the premier league is attempting to find a mutually convenient dat ...\n" - ] - } - ], - "source": [ - "# Get the similarity between the target and candidate articles\n", - "similarity = get_similarity(reading,embeds)\n", - "\n", - "# View the top 5 articles\n", - "print('Target:')\n", - "print(f'[ID {READING_IDX}]',df_inputs['Text'][READING_IDX][:100],'...','\\n')\n", - "\n", - "print('Candidates:')\n", - "for i in similarity[1:6]: # Exclude the target article\n", - " print(f'[ID {i[0]}]',df_inputs['Text'][i[0]][:100],'...')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ZpeETEhfyvOa" - }, - "source": [ - "# **2: Keep only articles of the same category using text classification**\n", - "\n", - "![Step 2 - Classify](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/article-recommender/article-rec-3.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9-neV3KEyyQP" - }, - "source": [ - "Two articles may be similar but they may not necessarily belong to the same category. For example, an article about a sports team manager facing a fine may be similar to another about a business entity facing a fine, but they are not of the same category.\n", - "\n", - "Perhaps we can make the system better by only recommending articles of the same category. For this, let's build a news category classifier.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "viuvclW_fqdf" - }, - "source": [ - "## 2.1: Build a classifier\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7GFksI1A8mHS" - }, - "source": [ - "We use Cohere's [Classify endpoint](https://docs.cohere.ai/classify-reference) to build a news category classifier, classifying articles into five categories: Business, Politics, Tech, Entertainment, and Sport. \n", - "\n", - "A typical text classification model requires hundreds/thousands of data points to train, but with this endpoint, we can build a classifier with a few as five examples per class.\n", - "\n", - "To build the classifier, we need a set of examples consisting of text (news text) and labels (news category). The BBC News dataset happens to have both (columns 'Text' and 'Category'), so this time we’ll use the categories for building our examples. For this, we will set aside another portion of dataset." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "pBJ5SQ5-zrJr", - "outputId": "84a72cd9-4a8b-491e-c8da-4a8f46da4a99" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
TextCategory
100honda wins china copyright ruling japan s hond...business
101ukip could sue veritas defectors the uk indepe...politics
102security warning over fbi virus the us feder...tech
103europe backs digital tv lifestyle how people r...tech
104celebrities get to stay in jungle all four con...entertainment
\n", - "
" - ], - "text/plain": [ - " Text Category\n", - "100 honda wins china copyright ruling japan s hond... business\n", - "101 ukip could sue veritas defectors the uk indepe... politics\n", - "102 security warning over fbi virus the us feder... tech\n", - "103 europe backs digital tv lifestyle how people r... tech\n", - "104 celebrities get to stay in jungle all four con... entertainment" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Select a portion of the dataset to sample the classification examples from\n", - "EX_START = 100\n", - "EX_END = 200\n", - "df_examples = df.iloc[EX_START:EX_END]\n", - "df_examples = df_examples.copy()\n", - "\n", - "# Remove columns we don't need\n", - "df_examples.drop(['ArticleId'],axis=1,inplace=True)\n", - "\n", - "# View the data\n", - "df_examples.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4e2_yP0t-ciE" - }, - "source": [ - "With the Classify endpoint, there is a limit of 512 tokens per input. This means full articles won't be able to fit in the examples, so we will approximate and limit each article to its first 300 characters." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "id": "Z7l_B_87-dfT" - }, - "outputs": [], - "source": [ - "# Shorten the example articles (because the medium endpoint max token limit is 2048)\n", - "MAX_CHARS = 300\n", - "\n", - "def shorten_text(text):\n", - " return text[:MAX_CHARS]\n", - "\n", - "df_examples['Text'] = df_examples['Text'].apply(shorten_text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "hkqfMw019fvH" - }, - "source": [ - "The Classify endpoint needs a minimum of 2 examples for each category. We'll have 5 examples each, sampled randomly from the dataset. We have 5 categories, so we will have a total of 25 examples." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "OUdZ6y3g-ZEN", - "outputId": "69076931-fa30-4602-cbb0-f9f4b45dc86f" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Number of examples per category: 5\n", - "List of categories: ['business', 'politics', 'tech', 'entertainment', 'sport']\n", - "Number of categories: 5\n", - "Total number of examples: 25\n" - ] - } - ], - "source": [ - "# Set the number of examples per category\n", - "EX_PER_CAT = 5 \n", - "\n", - "# Get the list of all available categories\n", - "categories = df_examples['Category'].unique().tolist()\n", - "\n", - "# Create list of examples containing texts and labels\n", - "ex_texts = []\n", - "ex_labels = []\n", - "for category in categories:\n", - " df_category = df_examples[df_examples['Category'] == category]\n", - " samples = df_category.sample(n=EX_PER_CAT, random_state=42)\n", - " ex_texts += samples['Text'].tolist()\n", - " ex_labels += samples['Category'].tolist()\n", - "\n", - "print(f'Number of examples per category: {EX_PER_CAT}')\n", - "print(f'List of categories: {categories}')\n", - "print(f'Number of categories: {len(categories)}')\n", - "print(f'Total number of examples: {len(ex_texts)}')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ZuFzl0IS--SA" - }, - "source": [ - "Once the examples are ready, we can now get the classifications. Here is a function that returns the classification given an input." - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": { - "id": "HNFPu99N_Agc" - }, - "outputs": [], - "source": [ - "# Get classifications via the Classify endpoint\n", - "\n", - "from cohere import ClassifyExample\n", - "\n", - "# Collate the examples\n", - "examples = []\n", - "for txt, lbl in zip(ex_texts,ex_labels):\n", - " examples.append(ClassifyExample(text=txt, label=lbl))\n", - "\n", - "# Classification function\n", - "def classify_text(texts, examples):\n", - " classifications = co.classify(\n", - " inputs=texts,\n", - " examples=examples\n", - " )\n", - "\n", - " return [c.prediction for c in classifications.classifications]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mQ3HJ8Ep4uYk" - }, - "source": [ - "## 2.2: Measure its performance" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "spu8JHGX_C68" - }, - "source": [ - "Before actually using the classifier, let's first test its performance. Here we take another 100 data points as the test dataset and the classifier will predict its class i.e. news category." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "-OwI7MzZ0Ev0", - "outputId": "66d1ccf1-98db-4962-b313-3548cba5649b" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
TextCategory
200sa return to mauritius top seeds south africa ...sport
201snow patrol feted at irish awards snow patrol ...entertainment
202clyde 0-5 celtic celtic brushed aside clyde to...sport
203bad weather hits nestle sales a combination of...business
204net fingerprints combat attacks eighty large n...tech
\n", - "
" - ], - "text/plain": [ - " Text Category\n", - "200 sa return to mauritius top seeds south africa ... sport\n", - "201 snow patrol feted at irish awards snow patrol ... entertainment\n", - "202 clyde 0-5 celtic celtic brushed aside clyde to... sport\n", - "203 bad weather hits nestle sales a combination of... business\n", - "204 net fingerprints combat attacks eighty large n... tech" - ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Select a portion of the dataset for testing the classifier\n", - "TEST_START = 200\n", - "TEST_END = 300\n", - "df_test = df.iloc[TEST_START:TEST_END]\n", - "df_test = df_test.copy()\n", - "\n", - "# Remove columns we don't need\n", - "df_test.drop(['ArticleId'],axis=1,inplace=True)\n", - "\n", - "# Shorten the text to fit token limit\n", - "df_test['Text'] = df_test['Text'].apply(shorten_text)\n", - "\n", - "# View the data\n", - "df_test.head()" - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": { - "id": "Kkt3E5_c_O5c" - }, - "outputs": [], - "source": [ - "# Create batches of texts and classify them\n", - "predictions = []\n", - "BATCH_SIZE = 90 # The API accepts a maximum of 96 inputs\n", - "for i in range(0, len(df_test['Text']), BATCH_SIZE):\n", - " batch_texts = df_test['Text'][i:i+BATCH_SIZE].tolist()\n", - " predictions.extend(classify_text(batch_texts, examples)) \n", - " \n", - "# Actual classes\n", - "actual = df_test['Category'].tolist()" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "nZ10tnuB_QKf", - "outputId": "f3dc05c5-6dc3-456f-dc17-ca81fd69e323" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy: 89.0\n" - ] - } - ], - "source": [ - "# Compute metrics on the test dataset\n", - "from sklearn.metrics import accuracy_score\n", - "\n", - "accuracy = accuracy_score(actual, predictions)\n", - "print(f'Accuracy: {accuracy*100}')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "F0Rqzz1w_SiB" - }, - "source": [ - "We get a good accuracy score of 91%, so the classifier is ready to be \n", - "implemented in our recommender system." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MaY7t_1DJBiy" - }, - "source": [ - "# **3: Extract tags from these articles.**\n", - "\n", - "![Step 3 - Extract](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/article-recommender/article-rec-4.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "x8hoJCh7Tv01" - }, - "source": [ - "We now proceed to the tags extraction step. Compared to the previous two steps, this step is not about sorting or filtering articles, but rather enriching them with more information. \n", - "\n", - "We do this with the Chat endpoint." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yqg40uJbXs9T" - }, - "source": [ - "We call the endpoint by specifying a few settings, and it will generate the corresponding extractions." - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "metadata": { - "id": "6j0t4VjJJBNp" - }, - "outputs": [], - "source": [ - "# Get extractions via the Generate endpoint\n", - "def extract_tags(article):\n", - " prompt = f\"\"\"Given an article, extract a list of tags containing keywords of that article.\n", - "\n", - "# Examples\n", - "Article: japanese banking battle at an end japan s sumitomo mitsui \\\n", - "financial has withdrawn its takeover offer for rival bank ufj holdings enabling the \\\n", - "latter to merge with mitsubishi tokyo. sumitomo bosses told counterparts at ufj of its \\\n", - "decision on friday clearing the way for it to conclude a 3 trillion\n", - "\n", - "Tags: sumitomo mitsui financial, ufj holdings, mitsubishi tokyo, japanese banking\n", - "\n", - "Article:france starts digital terrestrial france has become the last big european country to \\\n", - "launch a digital terrestrial tv (dtt) service. initially more than a third of the \\\n", - "population will be able to receive 14 free-to-air channels. despite the long wait for a \\\n", - "french dtt roll-out the new platform s bac\n", - "\n", - "Tags: france, digital terrestrial\n", - "\n", - "Article: apple laptop is greatest gadget the apple powerbook 100 has been chosen as the greatest \\\n", - "gadget of all time by us magazine mobile pc. the 1991 laptop was chosen because it was \\\n", - "one of the first lightweight portable computers and helped define the layout of all future \\\n", - "notebook pcs. the magazine h\n", - "\n", - "Tags: apple, apple powerbook 100, laptop\n", - "\n", - "\n", - "Article:{article}\n", - "\n", - "Tags:\"\"\"\n", - " \n", - " \n", - " response = co.chat(\n", - " model='command-r',\n", - " message=prompt,\n", - " preamble=\"\")\n", - "\n", - " return response.text" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "fzcXdkhR6hVy" - }, - "source": [ - "# **4: Show the top 5 recommended articles.**\n", - "\n", - "![Complete all steps](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/article-recommender/article-rec-5.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mLyUW3Gp4KRB" - }, - "source": [ - "Let's now put everything together for our article recommender system.\n", - "\n", - "First, we select the target article and compute the similarity scores against the candidate articles." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "XVTSzK6AXoS3", - "outputId": "f1f690fb-d281-41f5-abb3-8eafa4c5a172" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Choose one article ID between 0 and 99 below...\n" - ] - } - ], - "source": [ - "# Choose one article ID as the one you are currently reading\n", - "print(f'Choose one article ID between {INP_START} and {INP_END-1} below...')" - ] - }, - { - "cell_type": "code", - "execution_count": 96, - "metadata": { - "id": "mM7mBSGvJBKd" - }, - "outputs": [], - "source": [ - "# Enter your article ID\n", - "READING_IDX = 70\n", - "\n", - "# Get embedding for the article\n", - "reading = embeds[READING_IDX]\n", - "\n", - "# Get the similarity between the target and candidate articles\n", - "similarity = get_similarity(reading,embeds)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ECFlqp2k5jET" - }, - "source": [ - "Next, we filter the articles via classification. Finally, we extract the keywords from each article and show the recommendations.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 97, - "metadata": { - "id": "eviOxPUZJBGo" - }, - "outputs": [], - "source": [ - "# Choose the number of articles to recommend\n", - "SHOW_TOP = 5\n", - "\n", - "# Shorten the text to fit token limit\n", - "df_inputs = df_inputs.copy()\n", - "df_inputs['Text'] = df_inputs['Text'].apply(shorten_text)\n", - "\n", - "# Get the recommendations\n", - "def get_recommendations(reading_idx,similarity,show_top):\n", - "\n", - " # Show the current article\n", - " print('------ You are reading... ------')\n", - " print(f'[ID {READING_IDX}] Article:',df_inputs['Text'][reading_idx][:MAX_CHARS]+'...\\n')\n", - "\n", - " # Show the recommended articles\n", - " print('------ You might also like... ------')\n", - "\n", - " # Classify the target article\n", - " target_class = classify_text([df_inputs['Text'][reading_idx]],examples)\n", - " print(target_class)\n", - "\n", - " count = 0\n", - " for idx,score in similarity:\n", - "\n", - " # Classify each candidate article\n", - " candidate_class = classify_text([df_inputs['Text'][idx]],examples)\n", - " \n", - " # Show recommendations\n", - " if target_class == candidate_class and idx != reading_idx:\n", - " selection = df_inputs['Text'][idx][:MAX_CHARS]\n", - " print(f'[ID {idx}] Article:',selection+'...')\n", - "\n", - " # Extract and show tags\n", - " tags = extract_tags(selection)\n", - " if tags:\n", - " print(f'Tags: {tags.strip()}\\n')\n", - " else:\n", - " print(f'Tags: none\\n') \n", - "\n", - " # Increment the article count\n", - " count += 1\n", - "\n", - " # Stop once articles reach the SHOW_TOP number\n", - " if count == show_top:\n", - " break" - ] - }, - { - "cell_type": "code", - "execution_count": 54, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "im9BmZYJ614y", - "outputId": "9c54028f-c404-4111-ccfc-c603d62c45ac" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "------ You are reading... ------\n", - "[ID 70] Article: aragones angered by racism fine spain coach luis aragones is furious after being fined by the spanish football federation for his comments about thierry henry. the 66-year-old criticised his 3000 euros (£2 060) punishment even though it was far below the maximum penalty. i am not guilty nor do i ...\n", - "\n", - "------ You might also like... ------\n", - "[ID 23] Article: ferguson urges henry punishment sir alex ferguson has called on the football association to punish arsenal s thierry henry for an incident involving gabriel heinze. ferguson believes henry deliberately caught heinze on the head with his knee during united s controversial win. the united boss said i...\n", - "Tags: football, sir alex ferguson, thierry henry, arsenal, manchester united\n", - "\n", - "[ID 51] Article: mourinho defiant on chelsea form chelsea boss jose mourinho has insisted that sir alex ferguson and arsene wenger would swap places with him. mourinho s side were knocked out of the fa cup by newcastle last sunday before seeing barcelona secure a 2-1 champions league first-leg lead in the nou camp....\n", - "Tags: chelsea, jose mourinho, sir alex ferguson, arsene wenger, fa cup, newcastle, barcelona, champions league\n", - "\n", - "[ID 41] Article: mcleish ready for criticism rangers manager alex mcleish accepts he is going to be criticised after their disastrous uefa cup exit at the hands of auxerre at ibrox on wednesday. mcleish told bbc radio five live: we were in pole position to get through to the next stage but we blew it we absolutel...\n", - "Tags: rangers, alex mcleish, auxerre, uefa cup, ibrox\n", - "\n", - "[ID 42] Article: premier league planning cole date the premier league is attempting to find a mutually convenient date to investigate allegations chelsea made an illegal approach for ashley cole. both chelsea and arsenal will be asked to give evidence to a premier league commission but no deadline has been put on ...\n", - "Tags: premier league, chelsea, arsenal, ashley cole\n", - "\n", - "[ID 14] Article: ireland 21-19 argentina an injury-time dropped goal by ronan o gara stole victory for ireland from underneath the noses of argentina at lansdowne road on saturday. o gara kicked all of ireland s points with two dropped goals and five penalties to give the home side a 100% record in their autumn i...\n", - "Tags: rugby, ireland, argentina, ronan o gara\n", - "\n" - ] - } - ], - "source": [ - "# Show the recommended articles\n", - "get_recommendations(READING_IDX,similarity,SHOW_TOP)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IVhljS_4ILM2" - }, - "source": [ - "Keeping to the Section 1.3 example, here we see how the classification and extraction steps have improved our recommendation outcome.\n", - "\n", - "First, now the article with ID 73 (non sport) doesn't get recommended anymore. And now we have the tags related to each article being generated. \n", - "\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7_Mkm7c7_X5D" - }, - "source": [ - "Let's try a couple of other articles in business and tech and see the output..." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TNQpkgXvkNtI" - }, - "source": [ - "Business article (returning recommendations around German economy and economic growth/slump):" - ] - }, - { - "cell_type": "code", - "execution_count": 46, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "utbxE-k7_U1c", - "outputId": "ca7b6085-6360-46a7-ed39-1c367e3317ef" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "------ You are reading... ------\n", - "[ID 1] Article: german business confidence slides german business confidence fell in february knocking hopes of a speedy recovery in europe s largest economy. munich-based research institute ifo said that its confidence index fell to 95.5 in february from 97.5 in january its first decline in three months. the stu...\n", - "\n", - "------ You might also like... ------\n", - "[ID 56] Article: borussia dortmund near bust german football club and former european champion borussia dortmund has warned it will go bankrupt if rescue talks with creditors fail. the company s shares tumbled after it said it has entered a life-threatening profitability and financial situation . borussia dortmund...\n", - "Tags: borussia dortmund, german football, bankruptcy\n", - "\n", - "[ID 2] Article: bbc poll indicates economic gloom citizens in a majority of nations surveyed in a bbc world service poll believe the world economy is worsening. most respondents also said their national economy was getting worse. but when asked about their own family s financial outlook a majority in 14 countries...\n", - "Tags: bbc, economy, financial outlook\n", - "\n", - "[ID 8] Article: car giant hit by mercedes slump a slump in profitability at luxury car maker mercedes has prompted a big drop in profits at parent daimlerchrysler. the german-us carmaker saw fourth quarter operating profits fall to 785m euros ($1bn) from 2.4bn euros in 2003. mercedes-benz s woes - its profits slid...\n", - "Tags: daimlerchrysler, mercedes, luxury car, profitability\n", - "\n", - "[ID 32] Article: china continues rapid growth china s economy has expanded by a breakneck 9.5% during 2004 faster than predicted and well above 2003 s 9.1%. the news may mean more limits on investment and lending as beijing tries to take the economy off the boil. china has sucked in raw materials and energy to fee...\n", - "Tags: china, economy, beijing\n", - "\n", - "[ID 96] Article: bmw to recall faulty diesel cars bmw is to recall all cars equipped with a faulty diesel fuel-injection pump supplied by parts maker robert bosch. the faulty part does not represent a safety risk and the recall only affects pumps made in december and january. bmw said that it was too early to say h...\n", - "Tags: bmw, diesel cars, robert bosch, fuel injection pump\n", - "\n" - ] - } - ], - "source": [ - "# A business news article example (ID 40)\n", - "\n", - "# Enter your article ID\n", - "READING_IDX = 1\n", - "\n", - "# Get embedding for the article\n", - "reading = embeds[READING_IDX]\n", - "\n", - "# Get the similarity between the target and candidate articles\n", - "similarity = get_similarity(reading,embeds)\n", - "\n", - "# Show the recommended articles\n", - "get_recommendations(READING_IDX,similarity,SHOW_TOP)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VYitPtmJkUo5" - }, - "source": [ - "Tech article (returning recommendations around consumer devices):" - ] - }, - { - "cell_type": "code", - "execution_count": 47, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "XGpZxk0j_6G4", - "outputId": "d287c789-52ac-4cfe-92e3-3b605570a876" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "------ You are reading... ------\n", - "[ID 71] Article: camera phones are must-haves four times more mobiles with cameras in them will be sold in europe by the end of 2004 than last year says a report from analysts gartner. globally the number sold will reach 159 million an increase of 104%. the report predicts that nearly 70% of all mobile phones ...\n", - "\n", - "------ You might also like... ------\n", - "[ID 3] Article: lifestyle governs mobile choice faster better or funkier hardware alone is not going to help phone firms sell more handsets research suggests. instead phone firms keen to get more out of their customers should not just be pushing the technology for its own sake. consumers are far more interest...\n", - "Tags: mobile, lifestyle, phone firms, handsets\n", - "\n", - "[ID 69] Article: gates opens biggest gadget fair bill gates has opened the consumer electronics show (ces) in las vegas saying that gadgets are working together more to help people manage multimedia content around the home and on the move. mr gates made no announcement about the next generation xbox games console ...\n", - "Tags: bill gates, consumer electronics show, gadgets, xbox\n", - "\n", - "[ID 46] Article: china ripe for media explosion asia is set to drive global media growth to 2008 and beyond with china and india filling the two top spots analysts have predicted. japan south korea and singapore will also be strong players but china s demographics give it the edge a media conference in londo...\n", - "Tags: china, india, japan, south korea, singapore, global media growth\n", - "\n", - "[ID 19] Article: moving mobile improves golf swing a mobile phone that recognises and responds to movements has been launched in japan. the motion-sensitive phone - officially titled the v603sh - was developed by sharp and launched by vodafone s japanese division. devised mainly for mobile gaming users can also ac...\n", - "Tags: mobile phone, japan, sharp, vodafone, golf swing\n", - "\n", - "[ID 63] Article: what high-definition will do to dvds first it was the humble home video then it was the dvd and now hollywood is preparing for the next revolution in home entertainment - high-definition. high-definition gives incredible 3d-like pictures and surround sound. the dvd disks and the gear to play the...\n", - "Tags: high-definition, dvd, hollywood, home entertainment\n", - "\n" - ] - } - ], - "source": [ - "# A tech news article example (ID 30)\n", - "\n", - "# Enter your article ID\n", - "READING_IDX = 71\n", - "\n", - "# Get embedding for the article\n", - "reading = embeds[READING_IDX]\n", - "\n", - "# Get the similarity between the target and candidate articles\n", - "similarity = get_similarity(reading,embeds)\n", - "\n", - "# Show the recommended articles\n", - "get_recommendations(READING_IDX,similarity,SHOW_TOP)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "f55W2I9RZzXz" - }, - "source": [ - "In conclusion, this demonstrates an example of how we can stack multiple NLP endpoints together to get an output much closer to our desired outcome.\n", - "\n", - "In practice, hosting and maintaining multiple models can turn quickly into a complex activity. But by leveraging Cohere endpoints, this task is reduced to a simple API call." - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [], - "name": "Article Recommender with Text Embedding, Classification, and Extraction.ipynb", - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3.10.0 64-bit ('3.10.0')", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Basic_Semantic_Search.ipynb b/notebooks/guides/Basic_Semantic_Search.ipynb index 681311e9..785b641f 100644 --- a/notebooks/guides/Basic_Semantic_Search.ipynb +++ b/notebooks/guides/Basic_Semantic_Search.ipynb @@ -1,3340 +1,18 @@ { - "cells": [ - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "65_Qg86Sl2eF" - }, - "source": [ - "# Basic Semantic Search\n", - "Language models give computers the ability to search by meaning and go beyond searching by matching keywords. This capability is called semantic search. \n", - "\n", - "![Searching an archive using sentence embeddings](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/basic-semantic-search-overview.png?3)\n", - "\n", - "In this notebook, we'll build a simple semantic search engine. The applications of semantic search go beyond building a web search engine. They can empower a private search engine for internal documents or records. It can also be used to power features like StackOverflow's \"similar questions\" feature.\n", - "\n", - "1. Get the archive of questions\n", - "2. [Embed](https://docs.cohere.ai/embed-reference/) the archive\n", - "3. Search using an index and nearest neighbor search\n", - "4. Visualize the archive based on the embeddings " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "PUaWQA1znD_g", - "outputId": "6319482e-7186-42a7-b7e4-bcaa4ff58c32", - "scrolled": true, - "tags": [] - }, - "outputs": [], - "source": [ - "# Install Cohere for embeddings, Umap to reduce embeddings to 2 dimensions, \n", - "# Altair for visualization, Annoy for approximate nearest neighbor search\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" umap-learn altair annoy datasets tqdm" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And if you're running an older version of the SDK, you might need to upgrade it like so:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "#!pip install --upgrade cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "5qXUv-UqvWcw" - }, - "source": [ - "Get your Cohere API key by [signing up here](https://os.cohere.ai/register). Paste it in the cell below." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 1. Getting Set up" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "pgh8_8qMMnqp" - }, - "outputs": [], - "source": [ - "#@title Import libraries (Run this cell to execute required code) {display-mode: \"form\"}\n", - "\n", - "import cohere\n", - "import numpy as np\n", - "import re\n", - "import pandas as pd\n", - "from tqdm import tqdm\n", - "from datasets import load_dataset\n", - "import umap\n", - "import altair as alt\n", - "from sklearn.metrics.pairwise import cosine_similarity\n", - "from annoy import AnnoyIndex\n", - "import warnings\n", - "warnings.filterwarnings('ignore')\n", - "pd.set_option('display.max_colwidth', None)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "You'll need your API key for this next cell. [Sign up to Cohere](https://os.cohere.ai/) and get one if you haven't yet." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# We'll set up the name of the model we want to use, the API key, and the input type.\n", - "# Create and retrieve a Cohere API key from dashboard.cohere.ai/welcome/register\n", - "# Paste your API key here. Remember to not share publicly\n", - "model_name = \"embed-english-v3.0\"\n", - "api_key = \"\"\n", - "input_type_embed = \"search_document\"\n", - "\n", - "# Now we'll set up the cohere client.\n", - "co = cohere.Client(api_key)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "2LZ7SBKSU4bx" - }, - "source": [ - "## 2. Get The Archive of Questions\n", - "We'll use the [trec](https://www.tensorflow.org/datasets/catalog/trec) dataset which is made up of questions and their categories." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 882, - "referenced_widgets": [ - "2d44531858df4bc0966df8428935563c", - "ff734b1180664decaf1e1b8bf872845a", - "e557b894f02342fa9066f71335d6af84", - "80057e8ff40e4650b44bcfcf7d0daae9", - "53d91b222543438abf230c8f78fca70f", - "b89239d06fcd4631b371d2c86561fc2e", - "45792125da1f4a49958a9639ee5c96ec", - "8a8b53819549461893cdd5fc4b8e1b78", - "cb6446e5c31d4f85be145daa24a6b26e", - "a8b51924aa354e5aac12e8337d7d8f8b", - "d27fa07b87304bfd8cdc24da9054ca1d", - "78240609f0604bd0b1adad569dc0f974", - "4c7783ff2f1548afada89cc88c3b92c5", - "9a567fe8dbe640aa9f0aaf474154f027", - "78d35549ada74c16ab944bbc5e2cf26c", - "0e965c7c8df64ac6939c6558782975b6", - "b824cc630e694a45bc115e7966635f8c", - "ecf0bfe2a3b64842899f1747a9464b5a", - "1d97a78d0bc9493cacdcc8791b95e975", - "4e8394b63bef49baa248a14617b5a31b", - "9149918cfac346f5bc8aa1af3905e142", - "ed3c65029986424b9add6e898a640e7e", - "e143b4c91a4b462a98f9cf0b4d536ba5", - "4848fa9fdec942f2ac91b002b85fc91f", - "63b34ce229a944519cf0b433a45cb193", - "83d175f7a8a54bd4a16ae1ecfec03d5e", - "51a84c76b5aa4058bf8d98c210e7748e", - "ec2172b3f0d94a718c26fd9c981a5c59", - "ba280184c6a94cf6917d5cf4fc98c979", - "1c48a5a5f2454f10aac91f18a8a825e4", - "493c01959b32449f83da87955ecb626c", - "36589b88026d47199f4e3dffb5e89ba5", - "c399bf63200142d7b9ddd7969508574f", - "fa14ffa6929b479ebe5c25ea95982098", - "2d506a9cd3344f6b9590be0bbc2dd966", - "2231443733bd4a6ca934ab104dc164bb", - "02cde82b324649dcb9c4025155139388", - "b75257f523674c6abfe06075b12a173f", - "de65d146f7a2464e949987b99f5bcb5f", - "2f443d35b17c4d698519cb9871978f12", - "ea303f1a33c4466ba93eb3a1648b3b0e", - "c7eac96e6d634afaa814a34a38c0b753", - "afdbaee6c660406fb15ff72134fabc84", - "cfabbd971cd9412a8b011becf9135668", - "0357483be99040789dd556e21a58cabb", - "126e714e82df4e7db80887f4c17e4408", - "c0d2b22b21994adabd26f9a8dc9bd520", - "b8efc27880d244bab5866870512a3c43", - "21d09b177b3c40afa9cec748047c616b", - "3a15e25731624ea6958865aff29703b7", - "a3a52b5b7884459eb970c2ba1de0a407", - "7886d4fe5ed543539fa3cdae500e9d75", - "dff651e77fa24936b044f188c8ea7111", - "b898a5f67d12459baed855db00c167d0", - "ccc8148178f14a68bcba78849e37dad2", - "f0a95ebc94864a0990a3b39233df3852", - "984ce4ac28ca4b1ca215e83478c1f49f", - "a3213232a6434b1f9772db74c3d3bc98", - "93402d7543d24b29a76d91917209fb70", - "235991f11029451b9e1f3a1892ff7e51", - "19c46b096a414fe6a5e0f12fcb3d50bb", - "89b83910243a4605bbd9b39542c92d34", - "3209e382403746cd828275b4b9864f5f", - "151fe29186d0465890f09e7a02bad24f", - "b5ebf6617a9a44c596a3a580a975063b", - "f656d7ed899542bc86ea455bb2b8354f", - "dab41f665c1849338e77f8fcfff67d97", - "bb6bd6b3c1c94adaaa15d20e52d23d51", - "c1b9ef31a59545f58a4ac7ca769e4055", - "ecc4f48fd3a54989978d4c9ce4e39c06", - "3413197178c84b89b756a749371b763d", - "be0b46b796284f29af9191d16e0b8ab1", - "a14a12265c8f4ad29c60dfdda10e7b44", - "c979e25b8b3743ca9ab88b6dbe255510", - "2729090b33b8432780efd6c51cf332fd", - "f7d9263cf0b2495f80928a31882ee717", - "88fdd549bc8d4f7e99917e4460f01653" - ] - }, - "id": "6_Z18T1qSicl", - "outputId": "9717e298-5c90-49ed-9aff-ae4156b3beef" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
label-coarselabel-finetext
000How did serfdom develop in and then leave Russia ?
111What films featured the character Popeye Doyle ?
200How can I find a list of celebrities ' real names ?
312What fowl grabs the spotlight after the Chinese Year of the Monkey ?
423What is the full form of .com ?
534What contemptible scoundrel stole the cork from my lunch ?
635What team did baseball 's St. Louis Browns become ?
736What is the oldest profession ?
807What are liver enzymes ?
934Name the scar-faced bounty hunter of The Old West .
\n", - "
" - ], - "text/plain": [ - " label-coarse label-fine \\\n", - "0 0 0 \n", - "1 1 1 \n", - "2 0 0 \n", - "3 1 2 \n", - "4 2 3 \n", - "5 3 4 \n", - "6 3 5 \n", - "7 3 6 \n", - "8 0 7 \n", - "9 3 4 \n", - "\n", - " text \n", - "0 How did serfdom develop in and then leave Russia ? \n", - "1 What films featured the character Popeye Doyle ? \n", - "2 How can I find a list of celebrities ' real names ? \n", - "3 What fowl grabs the spotlight after the Chinese Year of the Monkey ? \n", - "4 What is the full form of .com ? \n", - "5 What contemptible scoundrel stole the cork from my lunch ? \n", - "6 What team did baseball 's St. Louis Browns become ? \n", - "7 What is the oldest profession ? \n", - "8 What are liver enzymes ? \n", - "9 Name the scar-faced bounty hunter of The Old West . " - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Get dataset\n", - "dataset = load_dataset(\"trec\", split=\"train\")\n", - "\n", - "# Import into a pandas dataframe, take only the first 1000 rows\n", - "df = pd.DataFrame(dataset)[:1000]\n", - "\n", - "# Preview the data to ensure it has loaded correctly\n", - "df.head(10)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "zrajiGkNVw7E" - }, - "source": [ - "## 2. Embed the archive\n", - "The next step is to embed the text of the questions." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![embedding archive texts](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/semantic-search-embed-text-archive.png)\n", - "\n", - "To get a thousand embeddings of this length should take about fifteen seconds." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "AD7VSg88Yc75", - "outputId": "1bcaf665-db76-41e2-e960-70dfdd5e23b3", - "tags": [] - }, - "outputs": [], - "source": [ - "# Get the embeddings\n", - "embeds = co.embed(texts=list(df['text']),\n", - " model=model_name,\n", - " input_type=input_type_embed).embeddings" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "TgG_l10YY2rH", - "outputId": "6e34a8a1-0af2-4623-943a-db2f6741afda" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "(1000, 4096)" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Check the dimensions of the embeddings\n", - "embeds = np.array(embeds)\n", - "embeds.shape" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "lzcDFCDePVxA" - }, - "source": [ - "## 3. Search using an index and nearest neighbor search\n", - "![Building the search index from the embeddings](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/semantic-search-index.png)\n", - "Let's now use [Annoy](https://github.com/spotify/annoy) to build an index that stores the embeddings in a way that is optimized for fast search. This approach scales well to a large number of texts (other options include [Faiss](https://github.com/facebookresearch/faiss), [ScaNN](https://github.com/google-research/google-research/tree/master/scann), and [PyNNDescent](https://github.com/lmcinnes/pynndescent)).\n", - "\n", - "After building the index, we can use it to retrieve the nearest neighbors either of existing questions (section 3.1), or of new questions that we embed (section 3.2)." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "fPCuyFc8w04q", - "outputId": "7e7a0491-d279-4998-f01b-63466947057b" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Create the search index, pass the size of embedding\n", - "search_index = AnnoyIndex(embeds.shape[1], 'angular')\n", - "# Add all the vectors to the search index\n", - "for i in range(len(embeds)):\n", - " search_index.add_item(i, embeds[i])\n", - "\n", - "search_index.build(10) # 10 trees\n", - "search_index.save('test.ann')" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "GfIDv25K0A-0" - }, - "source": [ - "### 3.1. Find the neighbors of an example from the dataset\n", - "If we're only interested in measuring the distance between the questions in the dataset (no outside queries), a simple way is to calculate the distance between every pair of embeddings we have." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 366 - }, - "id": "AuBw_S7TyUiS", - "outputId": "6ae857c6-dd8e-499f-bb4c-6516b317c8b5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:'What are bear and bull markets ?'\n", - "Nearest neighbors:\n" - ] - }, - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
textsdistance
614What animals do you find in the stock market ?0.904278
137What are equity securities ?0.992819
513What do economists do ?1.066583
307What does NASDAQ stand for ?1.080738
363What does it mean `` Rupee Depreciates '' ?1.086724
932Why did the world enter a global depression in 1929 ?1.099370
547Where can stocks be traded on-line ?1.105368
922What is the difference between a median and a mean ?1.141870
601What is `` the bear of beers '' ?1.154140
\n", - "
" - ], - "text/plain": [ - " texts distance\n", - "614 What animals do you find in the stock market ? 0.904278\n", - "137 What are equity securities ? 0.992819\n", - "513 What do economists do ? 1.066583\n", - "307 What does NASDAQ stand for ? 1.080738\n", - "363 What does it mean `` Rupee Depreciates '' ? 1.086724\n", - "932 Why did the world enter a global depression in 1929 ? 1.099370\n", - "547 Where can stocks be traded on-line ? 1.105368\n", - "922 What is the difference between a median and a mean ? 1.141870\n", - "601 What is `` the bear of beers '' ? 1.154140" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Choose an example (we'll retrieve others similar to it)\n", - "example_id = 92\n", - "\n", - "# Retrieve nearest neighbors\n", - "similar_item_ids = search_index.get_nns_by_item(example_id,10,\n", - " include_distances=True)\n", - "# Format and print the text and distances\n", - "results = pd.DataFrame(data={'texts': df.iloc[similar_item_ids[0]]['text'], \n", - " 'distance': similar_item_ids[1]}).drop(example_id)\n", - "\n", - "print(f\"Question:'{df.iloc[example_id]['text']}'\\nNearest neighbors:\")\n", - "results" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "bI4kGnnPcu90" - }, - "source": [ - "### 3.2. Find the neighbors of a user query\n", - "We're not limited to searching using existing items. If we get a query, we can embed it and find its nearest neighbors from the dataset." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 380 - }, - "id": "-eogJaFOyxt0", - "outputId": "94f9900d-2f0d-4c4b-fc31-1aa9187259b1" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Query:'What is the tallest mountain in the world?'\n", - "Nearest neighbors:\n" - ] - }, - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
textsdistance
236What is the name of the tallest mountain in the world ?0.447309
670What is the highest mountain in the world ?0.552254
412What was the highest mountain on earth before Mount Everest was discovered ?0.801252
907What mountain range is traversed by the highest railroad in the world ?0.929516
435What is the highest peak in Africa ?0.930806
109Where is the highest point in Japan ?0.977315
901What 's the longest river in the world ?1.064209
114What is the largest snake in the world ?1.076390
962What 's the second-largest island in the world ?1.088034
27What is the highest waterfall in the United States ?1.091145
\n", - "
" - ], - "text/plain": [ - " texts \\\n", - "236 What is the name of the tallest mountain in the world ? \n", - "670 What is the highest mountain in the world ? \n", - "412 What was the highest mountain on earth before Mount Everest was discovered ? \n", - "907 What mountain range is traversed by the highest railroad in the world ? \n", - "435 What is the highest peak in Africa ? \n", - "109 Where is the highest point in Japan ? \n", - "901 What 's the longest river in the world ? \n", - "114 What is the largest snake in the world ? \n", - "962 What 's the second-largest island in the world ? \n", - "27 What is the highest waterfall in the United States ? \n", - "\n", - " distance \n", - "236 0.447309 \n", - "670 0.552254 \n", - "412 0.801252 \n", - "907 0.929516 \n", - "435 0.930806 \n", - "109 0.977315 \n", - "901 1.064209 \n", - "114 1.076390 \n", - "962 1.088034 \n", - "27 1.091145 " - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "query = \"What is the tallest mountain in the world?\"\n", - "input_type_query = \"search_query\"\n", - "\n", - "# Get the query's embedding\n", - "query_embed = co.embed(texts=[query],\n", - " model=model_name,\n", - " input_type=input_type_query).embeddings\n", - "\n", - "# Retrieve the nearest neighbors\n", - "similar_item_ids = search_index.get_nns_by_vector(query_embed[0],10,\n", - " include_distances=True)\n", - "# Format the results\n", - "query_results = pd.DataFrame(data={'texts': df.iloc[similar_item_ids[0]]['text'], \n", - " 'distance': similar_item_ids[1]})\n", - "\n", - "\n", - "print(f\"Query:'{query}'\\nNearest neighbors:\")\n", - "print(query_results) # NOTE: Your results might look slightly different to ours." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "4tN7fGDst7rh" - }, - "source": [ - "## 4. Visualizing the archive\n", - "Finally, let's plot out all the questions onto a 2D chart so you're able to visualize the semantic similarities of this dataset!" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 469 - }, - "id": "BpWn78FwZ70f", - "outputId": "71ac3484-54c2-4689-9b16-1a1d57b3243f" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "
\n", - "" - ], - "text/plain": [ - "alt.Chart(...)" - ] - }, - "execution_count": 13, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "#@title Plot the archive {display-mode: \"form\"}\n", - "\n", - "# UMAP reduces the dimensions from 1024 to 2 dimensions that we can plot\n", - "reducer = umap.UMAP(n_neighbors=20) \n", - "umap_embeds = reducer.fit_transform(embeds)\n", - "# Prepare the data to plot and interactive visualization\n", - "# using Altair\n", - "df_explore = pd.DataFrame(data={'text': df['text']})\n", - "df_explore['x'] = umap_embeds[:,0]\n", - "df_explore['y'] = umap_embeds[:,1]\n", - "\n", - "# Plot\n", - "chart = alt.Chart(df_explore).mark_circle(size=60).encode(\n", - " x=#'x',\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False)\n", - " ),\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False)\n", - " ),\n", - " tooltip=['text']\n", - ").properties(\n", - " width=700,\n", - " height=400\n", - ")\n", - "chart.interactive()" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "4ZEYkKsSfQne" - }, - "source": [ - "Hover over the points to read the text. Do you see some of the patterns in clustered points? Similar questions, or questions asking about similar topics?\n", - "\n", - "This concludes this introductory guide to semantic search using sentence embeddings. As you continue the path of building a search product additional considerations arise (like dealing with long texts, or finetuning to better improve the embeddings for a specific use case). \n", - "\n", - "\n", - "We can’t wait to see what you start building! Share your projects or find support on [Discord](https://discord.com/invite/co-mmunity).\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [], - "name": "Basic Semantic Search", - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.7" - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "02cde82b324649dcb9c4025155139388": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "info", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_c7eac96e6d634afaa814a34a38c0b753", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_ea303f1a33c4466ba93eb3a1648b3b0e", - "value": 1 - } - }, - "0357483be99040789dd556e21a58cabb": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_c0d2b22b21994adabd26f9a8dc9bd520", - "IPY_MODEL_b8efc27880d244bab5866870512a3c43", - "IPY_MODEL_21d09b177b3c40afa9cec748047c616b" - ], - "layout": "IPY_MODEL_126e714e82df4e7db80887f4c17e4408" - } - }, - "0e965c7c8df64ac6939c6558782975b6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_ed3c65029986424b9add6e898a640e7e", - "placeholder": "​", - "style": "IPY_MODEL_9149918cfac346f5bc8aa1af3905e142", - "value": " 0/0 [00:01<?, ? MiB/s]" - } - }, - "126e714e82df4e7db80887f4c17e4408": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "151fe29186d0465890f09e7a02bad24f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "19c46b096a414fe6a5e0f12fcb3d50bb": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "1c48a5a5f2454f10aac91f18a8a825e4": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "1d97a78d0bc9493cacdcc8791b95e975": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "21d09b177b3c40afa9cec748047c616b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_ccc8148178f14a68bcba78849e37dad2", - "placeholder": "​", - "style": "IPY_MODEL_b898a5f67d12459baed855db00c167d0", - "value": " 5451/5452 [00:00<00:00, 70723.97 examples/s]" - } - }, - "2231443733bd4a6ca934ab104dc164bb": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_2f443d35b17c4d698519cb9871978f12", - "placeholder": "​", - "style": "IPY_MODEL_de65d146f7a2464e949987b99f5bcb5f", - "value": "" - } - }, - "235991f11029451b9e1f3a1892ff7e51": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_f656d7ed899542bc86ea455bb2b8354f", - "placeholder": "​", - "style": "IPY_MODEL_b5ebf6617a9a44c596a3a580a975063b", - "value": " 124/0 [00:00<00:00, 1237.15 examples/s]" - } - }, - "2729090b33b8432780efd6c51cf332fd": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2d44531858df4bc0966df8428935563c": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_e557b894f02342fa9066f71335d6af84", - "IPY_MODEL_80057e8ff40e4650b44bcfcf7d0daae9", - "IPY_MODEL_53d91b222543438abf230c8f78fca70f" - ], - "layout": "IPY_MODEL_ff734b1180664decaf1e1b8bf872845a" - } - }, - "2d506a9cd3344f6b9590be0bbc2dd966": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2f443d35b17c4d698519cb9871978f12": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "3209e382403746cd828275b4b9864f5f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "3413197178c84b89b756a749371b763d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_88fdd549bc8d4f7e99917e4460f01653", - "placeholder": "​", - "style": "IPY_MODEL_f7d9263cf0b2495f80928a31882ee717", - "value": " 499/500 [00:00<00:00, 12300.66 examples/s]" - } - }, - "36589b88026d47199f4e3dffb5e89ba5": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "3a15e25731624ea6958865aff29703b7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "45792125da1f4a49958a9639ee5c96ec": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "4848fa9fdec942f2ac91b002b85fc91f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "493c01959b32449f83da87955ecb626c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "4c7783ff2f1548afada89cc88c3b92c5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "4e8394b63bef49baa248a14617b5a31b": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "51a84c76b5aa4058bf8d98c210e7748e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_c399bf63200142d7b9ddd7969508574f", - "placeholder": "​", - "style": "IPY_MODEL_36589b88026d47199f4e3dffb5e89ba5", - "value": " 0/0 [00:01<?, ? file/s]" - } - }, - "53d91b222543438abf230c8f78fca70f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d27fa07b87304bfd8cdc24da9054ca1d", - "placeholder": "​", - "style": "IPY_MODEL_a8b51924aa354e5aac12e8337d7d8f8b", - "value": " 2/2 [00:01<00:00, 1.92 url/s]" - } - }, - "63b34ce229a944519cf0b433a45cb193": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_ba280184c6a94cf6917d5cf4fc98c979", - "placeholder": "​", - "style": "IPY_MODEL_ec2172b3f0d94a718c26fd9c981a5c59", - "value": "Extraction completed...: " - } - }, - "78240609f0604bd0b1adad569dc0f974": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_9a567fe8dbe640aa9f0aaf474154f027", - "IPY_MODEL_78d35549ada74c16ab944bbc5e2cf26c", - "IPY_MODEL_0e965c7c8df64ac6939c6558782975b6" - ], - "layout": "IPY_MODEL_4c7783ff2f1548afada89cc88c3b92c5" - } - }, - "7886d4fe5ed543539fa3cdae500e9d75": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "78d35549ada74c16ab944bbc5e2cf26c": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_4e8394b63bef49baa248a14617b5a31b", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_1d97a78d0bc9493cacdcc8791b95e975", - "value": 0 - } - }, - "80057e8ff40e4650b44bcfcf7d0daae9": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_cb6446e5c31d4f85be145daa24a6b26e", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_8a8b53819549461893cdd5fc4b8e1b78", - "value": 1 - } - }, - "83d175f7a8a54bd4a16ae1ecfec03d5e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_493c01959b32449f83da87955ecb626c", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_1c48a5a5f2454f10aac91f18a8a825e4", - "value": 0 - } - }, - "88fdd549bc8d4f7e99917e4460f01653": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "89b83910243a4605bbd9b39542c92d34": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "8a8b53819549461893cdd5fc4b8e1b78": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "9149918cfac346f5bc8aa1af3905e142": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "93402d7543d24b29a76d91917209fb70": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "info", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_151fe29186d0465890f09e7a02bad24f", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_3209e382403746cd828275b4b9864f5f", - "value": 1 - } - }, - "984ce4ac28ca4b1ca215e83478c1f49f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "9a567fe8dbe640aa9f0aaf474154f027": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_ecf0bfe2a3b64842899f1747a9464b5a", - "placeholder": "​", - "style": "IPY_MODEL_b824cc630e694a45bc115e7966635f8c", - "value": "Dl Size...: " - } - }, - "a14a12265c8f4ad29c60dfdda10e7b44": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a3213232a6434b1f9772db74c3d3bc98": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_89b83910243a4605bbd9b39542c92d34", - "placeholder": "​", - "style": "IPY_MODEL_19c46b096a414fe6a5e0f12fcb3d50bb", - "value": "" - } - }, - "a3a52b5b7884459eb970c2ba1de0a407": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a8b51924aa354e5aac12e8337d7d8f8b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "afdbaee6c660406fb15ff72134fabc84": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "b5ebf6617a9a44c596a3a580a975063b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "b75257f523674c6abfe06075b12a173f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_cfabbd971cd9412a8b011becf9135668", - "placeholder": "​", - "style": "IPY_MODEL_afdbaee6c660406fb15ff72134fabc84", - "value": " 5107/0 [00:01<00:00, 4181.54 examples/s]" - } - }, - "b824cc630e694a45bc115e7966635f8c": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "b89239d06fcd4631b371d2c86561fc2e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "b898a5f67d12459baed855db00c167d0": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "b8efc27880d244bab5866870512a3c43": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "danger", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_dff651e77fa24936b044f188c8ea7111", - "max": 5452, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_7886d4fe5ed543539fa3cdae500e9d75", - "value": 5451 - } - }, - "ba280184c6a94cf6917d5cf4fc98c979": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "bb6bd6b3c1c94adaaa15d20e52d23d51": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "be0b46b796284f29af9191d16e0b8ab1": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "c0d2b22b21994adabd26f9a8dc9bd520": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_a3a52b5b7884459eb970c2ba1de0a407", - "placeholder": "​", - "style": "IPY_MODEL_3a15e25731624ea6958865aff29703b7", - "value": "100%" - } - }, - "c1b9ef31a59545f58a4ac7ca769e4055": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_a14a12265c8f4ad29c60dfdda10e7b44", - "placeholder": "​", - "style": "IPY_MODEL_be0b46b796284f29af9191d16e0b8ab1", - "value": "100%" - } - }, - "c399bf63200142d7b9ddd7969508574f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c7eac96e6d634afaa814a34a38c0b753": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "c979e25b8b3743ca9ab88b6dbe255510": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "cb6446e5c31d4f85be145daa24a6b26e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "ccc8148178f14a68bcba78849e37dad2": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "cfabbd971cd9412a8b011becf9135668": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "d27fa07b87304bfd8cdc24da9054ca1d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "dab41f665c1849338e77f8fcfff67d97": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_c1b9ef31a59545f58a4ac7ca769e4055", - "IPY_MODEL_ecc4f48fd3a54989978d4c9ce4e39c06", - "IPY_MODEL_3413197178c84b89b756a749371b763d" - ], - "layout": "IPY_MODEL_bb6bd6b3c1c94adaaa15d20e52d23d51" - } - }, - "de65d146f7a2464e949987b99f5bcb5f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "dff651e77fa24936b044f188c8ea7111": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "e143b4c91a4b462a98f9cf0b4d536ba5": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_63b34ce229a944519cf0b433a45cb193", - "IPY_MODEL_83d175f7a8a54bd4a16ae1ecfec03d5e", - "IPY_MODEL_51a84c76b5aa4058bf8d98c210e7748e" - ], - "layout": "IPY_MODEL_4848fa9fdec942f2ac91b002b85fc91f" - } - }, - "e557b894f02342fa9066f71335d6af84": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_45792125da1f4a49958a9639ee5c96ec", - "placeholder": "​", - "style": "IPY_MODEL_b89239d06fcd4631b371d2c86561fc2e", - "value": "Dl Completed...: 100%" - } - }, - "ea303f1a33c4466ba93eb3a1648b3b0e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "ec2172b3f0d94a718c26fd9c981a5c59": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "ecc4f48fd3a54989978d4c9ce4e39c06": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "danger", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_2729090b33b8432780efd6c51cf332fd", - "max": 500, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_c979e25b8b3743ca9ab88b6dbe255510", - "value": 499 - } - }, - "ecf0bfe2a3b64842899f1747a9464b5a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "ed3c65029986424b9add6e898a640e7e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f0a95ebc94864a0990a3b39233df3852": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_a3213232a6434b1f9772db74c3d3bc98", - "IPY_MODEL_93402d7543d24b29a76d91917209fb70", - "IPY_MODEL_235991f11029451b9e1f3a1892ff7e51" - ], - "layout": "IPY_MODEL_984ce4ac28ca4b1ca215e83478c1f49f" - } - }, - "f656d7ed899542bc86ea455bb2b8354f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f7d9263cf0b2495f80928a31882ee717": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "fa14ffa6929b479ebe5c25ea95982098": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_2231443733bd4a6ca934ab104dc164bb", - "IPY_MODEL_02cde82b324649dcb9c4025155139388", - "IPY_MODEL_b75257f523674c6abfe06075b12a173f" - ], - "layout": "IPY_MODEL_2d506a9cd3344f6b9590be0bbc2dd966" - } - }, - "ff734b1180664decaf1e1b8bf872845a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Basic_Semantic_Search.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Basic_Summarization_Notebook.ipynb b/notebooks/guides/Basic_Summarization_Notebook.ipynb index 87d3b645..36980a3c 100644 --- a/notebooks/guides/Basic_Summarization_Notebook.ipynb +++ b/notebooks/guides/Basic_Summarization_Notebook.ipynb @@ -1,299 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "J8BjXeY2UcnN" - }, - "source": [ - "# Using Generation Models for Summarization\n", - "This notebook demonstrates a simple way of using Cohere's generation models to summarize text.\n", - "\"provided\n", - "\n", - "We will use a simple prompt that includes two examples and a task description:\n", - "\n", - "`\"\". In summary: \"\"`." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Basic_Summarization_Notebook.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "EqdDiovEBRLw", - "outputId": "b72dc9ea-d73f-4291-bc59-7d3b1d8490cd" - }, - "outputs": [], - "source": [ - "# Let's first install Cohere's python SDK\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\"" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "Hf-3hMa7BOkU" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import time\n", - "import pandas as pd\n", - "# Paste your API key here. Remember to not share it publicly \n", - "api_key = ''\n", - "co = cohere.Client(api_key)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bOzfT9gJFfDl" - }, - "source": [ - "\n", - "Our prompt is geared for paraphrasing to simplify an input sentence. It contains two examples. The sentence we want it to summarize is:\n", - "\n", - "**Killer whales have a diverse diet, although individual populations often specialize in particular types of prey.**" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "douHOfl0JJq-", - "outputId": "5df6eaba-3210-4e55-d6a9-7b40ae1d30b8" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"The killer whale or orca (Orcinus orca) is a toothed whale belonging to the oceanic dolphin family, of which it is the largest member\"\n", - "In summary: \"The killer whale or orca is the largest type of dolphin\"\n", - "---\n", - "\"It is recognizable by its black-and-white patterned body\" \n", - "In summary:\"Its body has a black and white pattern\"\n", - "---\n", - "\"Killer whales have a diverse diet, although individual populations often specialize in particular types of prey\" \n", - "In summary:\"\n" - ] - } - ], - "source": [ - "prompt = '''\"The killer whale or orca (Orcinus orca) is a toothed whale belonging to the oceanic dolphin family, of which it is the largest member\"\n", - "In summary: \"The killer whale or orca is the largest type of dolphin\"\n", - "---\n", - "\"It is recognizable by its black-and-white patterned body\" \n", - "In summary:\"Its body has a black and white pattern\"\n", - "---\n", - "\"Killer whales have a diverse diet, although individual populations often specialize in particular types of prey\" \n", - "In summary:\"'''\n", - "print(prompt)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "m3PO3HH6FqzQ" - }, - "source": [ - "We get several completions from the model via the API" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "id": "s6wsSRKaBcai" - }, - "outputs": [], - "source": [ - "n_generations = 5\n", - "\n", - "prediction = co.generate(\n", - " model='large',\n", - " prompt=prompt,\n", - " return_likelihoods = 'GENERATION',\n", - " stop_sequences=['\"'],\n", - " max_tokens=50,\n", - " temperature=0.7,\n", - " num_generations=n_generations,\n", - " k=0,\n", - " p=0.75)\n" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [], - "source": [ - "# Get list of generations\n", - "gens = []\n", - "likelihoods = []\n", - "for gen in prediction.generations:\n", - " gens.append(gen.text)\n", - " \n", - " sum_likelihood = 0\n", - " for t in gen.token_likelihoods:\n", - " sum_likelihood += t.likelihood\n", - " # Get sum of likelihoods\n", - " likelihoods.append(sum_likelihood)\n" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 274 - }, - "id": "2sLwp-3ABiVj", - "outputId": "ddda4d8b-9f95-454f-f812-8b5d940936d2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Candidate summaries for the sentence: \n", - "\"Killer whales have a diverse diet, although individual populations often specialize in particular types of prey.\"\n" - ] - }, - { - "data": { - "text/html": [ - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
generationlikelihood
0Killer whales have a diverse diet\"-3.208850
1Its diet is diverse\"-3.487236
2Their diet is diverse\"-3.761171
3Different populations have different diets\"-6.415764
4Their diet consists of a variety of marine life\"-11.764865
\n", - "
" - ], - "text/plain": [ - " generation likelihood\n", - "0 Killer whales have a diverse diet\" -3.208850\n", - "1 Its diet is diverse\" -3.487236\n", - "2 Their diet is diverse\" -3.761171\n", - "3 Different populations have different diets\" -6.415764\n", - "4 Their diet consists of a variety of marine life\" -11.764865" - ] - }, - "execution_count": 21, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "pd.options.display.max_colwidth = 200\n", - "# Create a dataframe for the generated sentences and their likelihood scores\n", - "df = pd.DataFrame({'generation':gens, 'likelihood': likelihoods})\n", - "# Drop duplicates\n", - "df = df.drop_duplicates(subset=['generation'])\n", - "# Sort by highest sum likelihood\n", - "df = df.sort_values('likelihood', ascending=False, ignore_index=True)\n", - "print('Candidate summaries for the sentence: \\n\"Killer whales have a diverse diet, although individual populations often specialize in particular types of prey.\"')\n", - "df" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "M3HM7go_tebY" - }, - "source": [ - "In a lot of cases, better generations can be reached by creating multiple generations then ranking and filtering them. In this case we're ranking the generations by their average likelihoods. \n", - "\n", - "## Hyperparameters\n", - "It's worth spending some time learning the various hyperparameters of the generation endpoint. For example, [temperature](https://docs.cohere.ai/temperature-wiki) tunes the degree of randomness in the generations. Other parameters include [top-k and top-p](https://docs.cohere.ai/token-picking) as well as `frequency_penalty` and `presence_penalty` which can reduce the amount of repetition in the output of the model. See the [API reference of the generate endpoint](https://docs.cohere.ai/generate-reference) for more details on all the parameters." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [], - "name": "Basic Summarization Notebook.ipynb", - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.7" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Brainstorming_Story_Ideas_with_Cohere_and_Stable_Diffusion.ipynb b/notebooks/guides/Brainstorming_Story_Ideas_with_Cohere_and_Stable_Diffusion.ipynb index 38506ee6..9765fff8 100644 --- a/notebooks/guides/Brainstorming_Story_Ideas_with_Cohere_and_Stable_Diffusion.ipynb +++ b/notebooks/guides/Brainstorming_Story_Ideas_with_Cohere_and_Stable_Diffusion.ipynb @@ -1,2424 +1,18 @@ { - "cells": [ - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "YNK61JcCt_jc" - }, - "source": [ - "# Brainstorming Story Ideas with Cohere and Stable Diffusion\n", - "\n", - "***Note: this notebook is at an experimental stage. Outputs may vary.***\n", - "\n", - "Read the accompanying [blog post here](https://txt.cohere.ai/generative-ai-part-5/).\n", - "\n", - "--------------------\n", - "\n", - "Describe your story in two sentences, then guide Cohere's language model as it turns it into a bigger story.\n", - "\n", - "Your story description, called the log line, will then be used to generate the story title, characters, plot, location descriptions, and scene dialog. This is done with a different prompt for each of these components.\n", - "\n", - "This notebook follows the method and prompts described in the paper [Co-Writing Screenplays and Theatre Scripts with Language Models: An Evaluation by Industry Professionals](https://www.deepmind.com/publications/co-writing-screenplays-and-theatre-scripts-with-language-models-an-evaluation-by-industry-professionals) by Piotr Mirowski, Kory Mathewson, Jaylen Pittman, Richard Evans.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "BmhfNHANRWmD" - }, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"\n", - "!pip install \"cohere<5\" stability-sdk > /dev/null" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "JUPDnAyKRbnK" - }, - "outputs": [], - "source": [ - "#@title Import Cohere, set up the text generation function\n", - "\n", - "import cohere\n", - "import time\n", - "import pandas as pd\n", - "import re\n", - "import io\n", - "import os\n", - "import warnings\n", - "from IPython.display import display\n", - "from PIL import Image\n", - "from stability_sdk import client\n", - "import stability_sdk.interfaces.gooseai.generation.generation_pb2 as generation\n", - "import getpass, os\n", - "\n", - "\n", - "# Paste your API key here. Remember to not share it publicly \n", - "# os.environ['CO_KEY'] = getpass.getpass('Enter your Cohere API Key')\n", - "co = cohere.Client('Enter your Cohere API Key')\n", - "\n", - "def generate(prompt, model=\"base\", num_generations=5, temperature=0.7, max_tokens=50, stop_sequences=['']):\n", - " prediction = co.generate(\n", - " model=model,\n", - " prompt=prompt,\n", - " return_likelihoods = 'GENERATION',\n", - " stop_sequences=stop_sequences,\n", - " max_tokens=max_tokens,\n", - " temperature=temperature,\n", - " num_generations=num_generations)\n", - " \n", - " # Get list of generations\n", - " gens = []\n", - " likelihoods = []\n", - " for gen in prediction.generations:\n", - " gens.append(gen.text)\n", - " \n", - " sum_likelihood = 0\n", - " for t in gen.token_likelihoods:\n", - " sum_likelihood += t.likelihood\n", - " # Get sum of likelihoods\n", - " likelihoods.append(sum_likelihood)\n", - "\n", - " pd.options.display.max_colwidth = 200\n", - " # Create a dataframe for the generated sentences and their likelihood scores\n", - " df = pd.DataFrame({'generation':gens, 'likelihood': likelihoods})\n", - " # Drop duplicates\n", - " df = df.drop_duplicates(subset=['generation'])\n", - " # Sort by highest sum likelihood\n", - " df = df.sort_values('likelihood', ascending=False, ignore_index=True)\n", - " \n", - " return df\n", - "\n", - "\n", - "# UNCOMMENT AND RUN THE FOLLOWING CODE BLOCK TO ENABLE TEXT-TO-IMAGE GENERATION VIA DREAMSTUDIO\n", - "\n", - "# # To get your API key, visit https://beta.dreamstudio.ai/membership\n", - "# os.environ['STABILITY_KEY'] = getpass.getpass('Enter your Dream Studio API Key')\n", - "# stability_api = client.StabilityInference(\n", - "# key=os.environ['STABILITY_KEY'], \n", - "# verbose=True,\n", - "# )\n", - "# def generate_image(image_prompt):\n", - "# # the object returned is a python generator\n", - "# answers = stability_api.generate(\n", - "# prompt=image_prompt\n", - "# )\n", - "# # iterating over the generator produces the api response\n", - "# for resp in answers:\n", - "# for artifact in resp.artifacts:\n", - "# if artifact.finish_reason == generation.FILTER:\n", - "# warnings.warn(\n", - "# \"Your request activated the API's safety filters and could not be processed.\"\n", - "# \"Please modify the prompt and try again.\")\n", - "# if artifact.type == generation.ARTIFACT_IMAGE:\n", - "# img = Image.open(io.BytesIO(artifact.binary))\n", - "# display(img)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IHm59c6ZdzFo" - }, - "source": [ - "## Story Summary\n", - "All we have to do is write a story summary. The model generates the rest.\n", - "\n", - "This brief description is called the log line." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "DpjZXk0cSPo2" - }, - "outputs": [], - "source": [ - "log_line = \"\"\"Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "F2LK6TYkSBUg", - "outputId": "1de61e7f-7081-4bea-8d36-e395e0249c85" - }, - "outputs": [ + "cells": [ { - "data": { - "text/html": [ - "\n", - "
\n", - "
\n", - "
\n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
generationlikelihood
0The Bitcoin Heist-6.221337
1The Cryptocurrency Heist.-8.922848
2A Web Of Lies-12.809743
3The Bigger Fool.-17.252610
4Data Miner Strikes Back.-19.474931
\n", - "
\n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
\n", - "
\n", - " " - ], - "text/plain": [ - " generation likelihood\n", - "0 The Bitcoin Heist -6.221337\n", - "1 The Cryptocurrency Heist. -8.922848\n", - "2 A Web Of Lies -12.809743\n", - "3 The Bigger Fool. -17.252610\n", - "4 Data Miner Strikes Back. -19.474931" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Brainstorming_Story_Ideas_with_Cohere_and_Stable_Diffusion.ipynb." ] - }, - "execution_count": 70, - "metadata": {}, - "output_type": "execute_result" } - ], - "source": [ - "#@title Generate Title Suggestions { display-mode: \"form\" }\n", - "\n", - "prompt_title_scifi = \"\"\"Examples of alternative, original and descriptive titles for known play and film scripts.\n", - "\n", - "Example 1. A science - fiction fantasy about a naive but ambitious farm boy from a backwater desert who\n", - "discovers powers he never knew he had when he teams up with a feisty princess, a mercenary space pilot\n", - "and an old wizard warrior to lead a ragtag rebellion against the sinister forces of the evil Galactic\n", - "Empire. Title: The Death Star's Menace \n", - "\n", - "Example 2. Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens. Title: The Day The\n", - "Earth Was Saved By Outer Space. \n", - "\n", - "Example 3. {LOG_LINE} Title:\"\"\"\n", - "\n", - "prompt = prompt_title_scifi.format(LOG_LINE=log_line)\n", - "\n", - "titles = generate(prompt, temperature=0.9, max_tokens=20)\n", - "titles['generation'] = titles['generation'].str.replace('','')\n", - "titles" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "CPsLF9iuTapa" - }, - "outputs": [], - "source": [ - "#@title Generate Characters and Description\n", - "\n", - "character_prompt_scifi = \"\"\"\n", - "Example 1. A science fiction fantasy about a naive but ambitious farm boy from a backwater desert who\n", - "discovers powers he never knew he had when he teams up with a feisty princess, a mercenary space pilot\n", - "and an old wizard warrior to lead a ragtag rebellion against the sinister forces of the evil Galactic\n", - "Empire.\n", - "Characters and descriptions:\n", - " Luke Skywalker Luke Skywalker is the hero. A naive farm boy, he will discover\n", - "special powers under the guidance of mentor Ben Kenobi. \n", - " Ben Kenobi Ben Kenobi is the mentor figure. A recluse Jedi warrior, he will\n", - "take Luke Skywalker as apprentice. \n", - " Darth Vader Darth Vader is the antagonist. As a commander of the evil Galactic\n", - "Empire, he controls space station The Death Star. \n", - " Princess Leia Princess Leia is a feisty and brave leader of the Rebellion. She\n", - "holds the plans of the Death Star. She will become Luke's friend. \n", - " Han Solo Han Solo is a brash mercenary space pilot of the Millenium Falcon and\n", - "a friend of Chebacca. He will take Luke on his spaceship. \n", - " Chewbacca Chewbacca is a furry and trustful monster. He is a friend of Han\n", - "Solo and a copilot on the Millemium Falcon. \n", - "\n", - "Example 2. {LOG_LINE}.\n", - "Characters and descriptions:\"\"\"\n", - "\n", - "prompt = character_prompt_scifi.format(LOG_LINE=log_line)\n", - "\n", - "titles = generate(prompt, num_generations=3, temperature=1, max_tokens=500)\n", - "\n", - "for idx, gen in enumerate(titles['generation'].values):\n", - " print(f\"============\")\n", - " print(f\"Generation {idx}\")\n", - " print(gen)\n", - "\n", - "# Which generation to pick?\n", - "gen_idx = 0\n", - "gen = titles['generation'].values[gen_idx]\n", - "\n", - "character_descriptions = re.findall('\\\\s(.*?)\\s', gen, re.DOTALL)\n", - "character_names = re.findall('\\\\s(.*?)\\s', gen, re.DOTALL)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-xDtejem3LJU" - }, - "source": [ - "## Visualize Characters with Stable Diffusion\n", - "\n", - "Now that we have character descriptions, we can generate possible images showing them. We can get some style descriptions from Lexica.art." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "DqbIrwvs0utb" - }, - "outputs": [], - "source": [ - "# UNCOMMENT AND RUN THE FOLLOWING CODE BLOCK TO ENABLE TEXT-TO-IMAGE GENERATION VIA DREAMSTUDIO\n", - "\n", - "\n", - "# # Get character style prompts from https://lexica.art/?q=cyberpunk\n", - "# character_style_1 = \"\"\"portrait futuristic cyberpunk, in heavy rainning \n", - "# futuristic tokyo rooftop cyberpunk night, ssci-fi, fantasy, intricate, very very \n", - "# beautiful, elegant, neon light, highly detailed, digital painting, artstation, \n", - "# concept art, soft light, hdri, smooth, sharp focus\"\"\"\n", - "\n", - "# character_style_2 = \"\"\"detailed portrait Neon Operator, cyberpunk \n", - "# futuristic neon, reflective puffy coat, decorated with traditional \n", - "# Japanese ornaments by Ismail inceoglu dragan bibin hans thoma greg rutkowski \n", - "# Alexandros Pyromallis Nekro Rene Maritte Illustrated, Perfect face, fine details,\n", - "# realistic shaded, fine-face, pretty face\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "x3wU5sgg18I1", - "outputId": "7f494c1c-ba27-45e4-c1ba-c564e6c5b246" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n", - "INFO:stability_sdk.client:Got keepalive e71266c1-80f7-4cdf-8c4c-72c2d1695e30 in 0.16s\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Max is a professional hacker, whose client was recently hacked.\n", - "He asks Howard to look into the matter. portrait futuristic cyberpunk, in heavy rainning \n", - "futuristic tokyo rooftop cyberpunk night, ssci-fi, fantasy, intricate, very very \n", - "beautiful, elegant, neon light, highly detailed, digital painting, artstation, \n", - "concept art, soft light, hdri, smooth, sharp focus\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Got keepalive e71266c1-80f7-4cdf-8c4c-72c2d1695e30 in 3.01s\n", - "INFO:stability_sdk.client:Got e71266c1-80f7-4cdf-8c4c-72c2d1695e30 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.23s\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Got keepalive 17900276-1e5c-4783-91a9-04ef411015fa in 1.00s\n", - "INFO:stability_sdk.client:Got keepalive 17900276-1e5c-4783-91a9-04ef411015fa in 3.14s\n", - "INFO:stability_sdk.client:Got 17900276-1e5c-4783-91a9-04ef411015fa with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.24s\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Got keepalive 21c32d95-29e6-4fba-af29-c80caa7d97b4 in 1.02s\n", - "INFO:stability_sdk.client:Got keepalive 21c32d95-29e6-4fba-af29-c80caa7d97b4 in 3.14s\n", - "INFO:stability_sdk.client:Got 21c32d95-29e6-4fba-af29-c80caa7d97b4 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.22s\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# UNCOMMENT AND RUN THE FOLLOWING CODE BLOCK TO ENABLE TEXT-TO-IMAGE GENERATION VIA DREAMSTUDIO\n", - "\n", - "# image_prompt_character_1 = f'{character_descriptions[1]} {character_style_1}'\n", - "# print(image_prompt_character_1)\n", - "\n", - "# # Generate images\n", - "# for i in range (3):\n", - "# print(generate_image(image_prompt_character_1))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 620 - }, - "id": "NMFToeWL2JqD", - "outputId": "2850179d-76a8-4984-dfc4-e896bb80175e" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n", - "INFO:stability_sdk.client:Got keepalive 16cdeb23-9e20-4638-858e-b0b716bbd554 in 2.65s\n", - "INFO:stability_sdk.client:Got keepalive 16cdeb23-9e20-4638-858e-b0b716bbd554 in 3.10s\n", - "INFO:stability_sdk.client:Got 16cdeb23-9e20-4638-858e-b0b716bbd554 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.21s\n" - ] - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAIAAAB7GkOtAAAElGVYSWZNTQAqAAAACAAGAQAABAAAAAEAAAIAAQEABAAAAAEAAAIAAQ4AAgAAAWoAAABWARAAAgAAABQAAAHAATEAAgAAAA0AAAHUkhMAAQAAArIAAAHiAAAAAE5pY2sgaXMgYSBDeWJlciBJbnRlbGxpZ2VuY2UgQWdlbnQgb2YgdGhlIEZlZHMuIGRldGFpbGVkIHBvcnRyYWl0IE5lb24gT3BlcmF0b3IsIGN5YmVycHVuayAKZnV0dXJpc3RpYyBuZW9uLCByZWZsZWN0aXZlIHB1ZmZ5IGNvYXQsIGRlY29yYXRlZCB3aXRoIHRyYWRpdGlvbmFsIApKYXBhbmVzZSBvcm5hbWVudHMgYnkgSXNtYWlsIGluY2VvZ2x1IGRyYWdhbiBiaWJpbiBoYW5zIHRob21hIGdyZWcgcnV0a293c2tpIApBbGV4YW5kcm9zIFB5cm9tYWxsaXMgTmVrcm8gUmVuZSBNYXJpdHRlIElsbHVzdHJhdGVkLCBQZXJmZWN0IGZhY2UsIGZpbmUgZGV0YWlscywKIHJlYWxpc3RpYyBzaGFkZWQsIGZpbmUtZmFjZSwgcHJldHR5IGZhY2UAc3RhYmlsaXR5X2RpZmZ1c2lvbgBzdGFiaWxpdHkuYWkAADNrRVM3dnBCNDplTzVOY3d7NWZlei9kZElmIUNsfWNueDR2aC1KVkd2ajpDJWl3JnhCaXdAPUVpQj1HY2VOJm9FdihiIzF2a0lOUnZsYzdWMHYyaFY4T2MxVDFxN00tMCQyYndPR09zeDVzMHc5MCQtbEgzaUg+MHB5bWduWmJsbDE1dSl9JDAkWn04M2lIJl0xcHRueUY6U1FEMCRaQlszaUg8UXZIcmhHPlF1JnY1en1waDAkOkt2M2lIJiQwVFk1d1BSOHRbMXEzSFowc3dddT8hU1M0NXF7TyUxcTNhajBzd0B2P2diT20wJC1hOTNpSCYkMFRZMnZUOnlEVDV5eVEvMCQ6SnozaUg8TWNqZj4hU25XKiswJCtDbTNpUXt3TkdyLyM1cDooPDFxM21LMFRZNXdORlc2KTAkOmUoM2lIJl00Z2lnRysoW0JSNUFrYkMxcTdpUjBzd111TmddI2c1d090TzAkWmtLM2lIPnJCcDVjWltSRW5OMCRaSWYzaUg8UWF7W3otXkdmOlU1eU4jKjNpSD42cnNlKnRMKH1BQDV1TTZ4MFV2JWgwJDo9azNpSDxFdkhyaEdReEgjYzV6RHtYM2lIPCV3Xk9SS0lWS2ovNXl9ciMwJC5RQTNpSCYkMFRZMnYhRzEjMDV1IyZQMCRadigzaUg8Z0VIbGg/XTNXVEUwJFp2KDNpSDwmOXNxKFdJIzxzKjV1VkRIMCRadigzaUg8TzVkZUhKVHs+WnY1RHZwLTUmUkVeQjgme1BBOnsraEFiV0VEeDxALXBCeXdTe3dOdyRad1tEZ2J4KHYoeEI4Pit6emVTJWN5P21WdkJ6PkBhekZzQWRBVyZwdEZjbEJsMXI0RExTKWZAdWFvcihDZzZkWl5pVD1TZDRmY3dkMDQhZkomNm1ueDfP38MAAQAASURBVHicTP3Zjm3rch6IfV9E/GPMOTNz7bW707IRKZFUU1ZbsgzBti6sgi9sv4+fwnd+h7otuCm4CjAEw7INSQUVSxJISiIpHp5un92sLjPnHOOPxhcxch3tg9MtLGTOOZr4I74u+PmnvytiQIFZmSKk6r75si4imhH73ApZlRQhJSNFRKkEY4aYVIFggQBYmVUUUbPwMJPpbiaFiEgUbSymJ9NlrEtlXW+byPrXfv9voExqERqpVVSq2hCypHxOoFQVJYRmJoFCAVTTAtx9MY2IiBhjRFVVifqbN7/47s3PEy7DEiJUowaWx031/reWz35TljtC/HYTUMnBwPyw4vH53X8SvBfZI0JAkISIGJCQ+uU3P086TWY4AVUFEOmiqiIyrMiIQIZSkFiWAaFnqllmgZVRIhynkVmVEKKyoFokgHSXKhVGJShVCA8z9X2ikmRVoaqq1NQzAC7rWlk2dNv2QpGMgqkK1OdEldoSWTZElVUgCaCKagowEwKQgKKIrKSwsihSAFVzenjst9vt6dkzVSwry90AEqZrcnE96fkVdURqYBGKDdWhmRlzxr6Lqi0LhHPfZ8U6TlW4+U01sX045f784XG5fPLqt36n1vX69Oy3pyon0/edGYhUeKYLVYDKEkFlegQpoBJAJiqEoGhllqnbkPv7UvUIgmrifc2VSNfpJvl+2+rTH/yD/80/HZ+d/v0f/lG8/xbbU+x+Wuz5m3fP33wnt13SRbQKWWWm4TurVOmAUBXITAqrSJEMVxFk9jWWxQoUURlGU59hqlQ5Hh6RSlCQ7givTC5LgghIVc1tf3wEU0V8n8hUpYh4ZpxO2+n+//h//j/9s3/5r/78Z1//lb/x+z/49NUf/V//b3/63/13D0RGFQKRqAIhogUJCIct60pdphOou7u7h0/uCb5581iBIu4eLjFn+b6s+vT03jeviu3p6bKebvuTmQIgQNHwUOXc3cxQhUoSBZQwPPpBtcV838lSHREJAAWQohrT+1kWUkW8koAIM0MKEIFIFSDMDFNBRmUmhMNEVYNmxmXd7PSDv/LX73/0+bvHD4wg4+n9G3++3X/y4IXT3en+1b0Om9s0E2GXOsbc3715k17LsjhAEWaNoaKy33ZU2KIVSRbB/bqtlxPF7l5dAIrRIxmhQjWtgip9OgFRyQSggajiadgf/4s//Pkf/9liKgAqRURVIlNMixzLqCpV80hELevydHsei9kwVLnnsCGK8PDpwyyyy6/4nFUlIlkFlojEDBFEpKihqjJFFMLKUlFRRhZVBawKAUoMEQYoCLIyC0SBFUlKJbNCSBHNqIKgSJAgCyRJoVahKwUpqOybSwKskuNxAKoyktRMCLSidJHyrEKhlnHKWeF+Pq+qFgGKUtUzhKSQIkL05zMlixHRZQ5BURljJLKqSHo6waqsquzXUYZAMkA1T9lgWF/ff/79h+/96Pk5gcrwmlEoSNrC3GZkiLIKIpqeYwwRyYiqLMllLDdHZSEgQ6k6tzmWBQApGRgnI9glSYSkRoZQVQ0ESJGqqgySYgsryisBsqimkf1lQVBEI7JfhCoclbdKVCoyskiSSkpkZEkmRSUiKArRCpBCFomxWFWFl5hkQZSmIyrTS0w8w2cOGSTF1BbZt0mwX+OMVJWqKoBUFJTiEM8aY0TpzYPn803WLDk9XCJtjyyBrUoOn5brAspyOlFkxvSMPaFD5ya6Ct9nvPexnDxLlnX3pJBmsQVFIjFsALOCBSElM0khEBkvxypBAiQlwqVAESojoUCBpBaqCIBQRKKSgaJYcS534/u/+6Ov37/73o+/+OOf/PlZXMB37z+cFlvXJbZdSFPdpi/LqEpVE6LSKwvaFxnhIf1+AX2s6jAUSCOLQp+xjCFaBYwxfJulXRAhqj6dIpC+O+q7q8rMKiopHhnVP1YMQtHlvGxQT73t2zjnjHBXEioqQFagAFBVqiBqc5acFp+Qy7JeLovL9bZV1Tdff2djWU4rEu55e75VxcP9+enxKVLODw/lfl7Pvu+jYs55Oi23641MVa2ijlEAChFFLRUlRRTpKaY5Eyk0oCCgmkYEIASFSu3iEP2qAgUhQo7OgyLCrvoJsGi2eFWWEiMyhUNp2/Q/+Ad/76fffSPuJnjzi1+gkORt22fkq08fbrddI053J59xvW7DzEQxJIpU7h40MVOfc2YuKuO03K5XLUbUWCQ9dVhlUZKEu+sYZpYFCKtETYGEjEivftikUMiZojAKCRSyqh/QjJeXm0Qis8gSCATIKi+aIJCJxRagYmZmjTHSEwALHnEUjCoWCEWWQFAQsqqUmv1GFEW1CpnIBJkAKKJUR4JipoKjiT/e7apSUSELoAgKmSUqx7MO6Sa9UJVQZQkzUygAAHSV6CY1CyJCILPMFCwVRUkljpOTmhGmFh4eGeEiqqbd5EIERZDFLDCzHE6yPwaAEhQrIiikalX2ByiWqJCkDiBiFiG2LFFSubz+8jdfff5jx8lOvD0/JlkosvZ9E5uV21jH4Ej3jBqmqgOsxXTGDFZUsQhAF6usjIwMcaVgrOqRlcisilBoEhFTFqPIvnsWdAwUzQaZGVnZLXlf+AqvrCDRcwwKQJlqeIAVFchCT0BVmWk2WCSoqqgai2UmwSqkR0aiyqjphQgdMk6DKr5HZZaUiHIkhSglMytypqaO5ZRRJUAmyeNxFYXSSjIzu8oJE4LMkrF+73uf/vbvfHBP1cVOtpgty0yXoYmiaiVtHT19rJcTM/brRsFJdHn37j/8s//XeAIhkSnD/HrNAlWFqapEVSGzFEYQ0n8ziqzsRy7Zz2QVigVAUF46VECApRKZGcf0pLpkpdqICFGpnBH7Vz/7xQ++vL+7nM7Kfbvldi3lNjdEDMDnLGDOqVIRUSrIEkplOAKgmnQVI5ARACpAUd/nOC3dT5SXu6sMJCgiIlWIiD5cM4slOpTocU1laigFklkigipSE4miTJWTKRQFoWYlqBlOoooipC2+eUnFTHhVYdDOD5fTq8uwU5WUqCgFte2bu5/P692r84d3Hwr48PwMcs/K2345rxz69PiBqCT36WLa3W5EFrKLuw0tZGaiugwWC5kJFEqqkNWHIzOTqCjXVADdLAkZcdygRKKABESAEhWB9I2uzK6jPbwK5XQan33x+X/6+utUfu+3vvzmlz8bqsOWisxwE9N1QLnvU0THeTmdlpixX9MzTXQMc3efk6wKT2WhChnpauIzEGnDKtIzBBhmQolAZggtM4CKCCiiMoMiIqrlPf8RJERASvdTYFQWi1WmJiqJAqCmc9+33W0MHaqiRJCMyEoAzMhutQESVQIWKiFCEBFZXaULqiqimUUys+9JmamaNnLQ9QGFghhYGQGhUEQkIiLSVChAdlfVXT1BAKgsKrvcl1RmkaAQVUIF+0AjuqhlqcpxnINCghD208w5IyKWIUSJqJnN3TPSzIRSRFUikahyF0rflm5+uz0QoDIzAwFVqUqCFMSelZkRppKU8KkQeAKqdiq9YLl8+OAi/PD0aHRTVjiRMaffrqvAlIDNqsrKCBIUAaByPLJCoTCRlB6HaoyhapEzI4Sii+VMEGYjRao/nuh6OiOhJoWY+6wqCgiR6uYxI3zY6KteVei5mCKq4U52G1gkWVAyAQJquu/7y0Cq2ROYoIIUkt2WwDNUC1LoY1KgoiB9TlESIGBDKnJZxpyuIomCCJVFdPlUimdAGFEVriWbzIcffvqj/8XffDPD1bIoQ8Y65rbLYtOdJnNPjm4A6apWmdfr5XJnnufP7td/+7nlG4AhkoIUqYQuWrNUjYya/emQSYpSpTIhWpUoCvHSezceWSwGC/1wgiKSlf1YIqEoUZnbHCKStRSf3797dRn+7oO4i6zu+fr163y+jmVwSdx2USpAJcExxGN2qyNktzhZEFRliSgyQBSqZ2MAQhXVquo3CFUkRQRZ1W+XSXihQKF7KJXCnlrcU1XJrCiSBYFYkZnpQRmLexbLy81WEWGkiIJlpkAmkcWsyuR6Od9/8sl2y7u7u+X+8uHd4yAyM93dUTFev371zTdvWCLF8+my79v0PJ3scnc/9+t1exKKCvvwoyKTVGTkdBclhXqMaFTVjOjRnN32U8BU63n6pe0UFVUUVBkRojxOBREQKCpFwGRlZkVxFArZL1GBih/9+Ac/e/f1mw/y/P5ZRKRQUB2jKF28bAyGUCU8KgvFy+XyuDwiS0VTkoSpFIuCnKkqFWWrTvesRN9TFgpRIUGl2Fi62UVVF7dsKEmYERUJMP3lz1BMqAgJIZMQkiIq2q+imc4bMlJXUzURRCAjCIJFICJ7JMqMyuq6X5mAsIEWVGVmwUASNqzrdVaSyCwlKJJFCqqKopUwVM/F3doLUKooJJjVmGrVcUkLDWE3H+ARUdnQVnp/oEJ1t9gnhkx3FfPcQWYmwKwEUonIKBRQEe6xZ1lVVpftGEGq6BjD3buf6e8iZtK/MSu7qQCEcjxJBTUFihQVZmVm2jJqoJyViKoQyDhvDrVTxlSlUSQKoIlZmSyD+ZQZKqKCaCQ34d54SZDITJBQFiqzxlgyI9JlCrOoMt1TFVWLrQV4ppra6UTq+f6hv+W2XftEJ1npJCpRBVPDC+qitCj3jJ7ohVKV/SCSFEFWJhCVPBC8o0VSVRRRBKtBII+5rMt6d7JFK9DTRh/Y6SEC1cWnDzUWtm0XU5BURURl9tcnWJlJVkJVK1NUmMJ1fPP+zf3z43dRy6ef1BiBUIvMkpEpBU0uJqbFUYGZeR4qZ30O5O6Oug5VI2d6VUESIAVoCIhGLQgwilURSq1CAkDf6AlU1oEEibJBGKCC4cxAkBKNEFFEIKBnqmp4nG1cv/nuz/+HP9wxf/Uf/+L7r14/v3t/uT9VBarSc1CoSmKmI0s4KlOgE1OoOGb86DJeWWr07DcfsIqZSkZlz+uq5jEH1MM1u5KCQtXRICqAQkWFBCrBkkwvOb5U92J5zDiY+2YL932rymWMiGzcD/3GCpsqyxBTUVOhxMwkPlyfx1hocl4uKthut327pcd6ury6fwD57a++XdYhhbltOXcVvbvc5e5AZngftySBym4mTDJ7nsVB0lWZaEIINjRwDGgAqoSSmU2hVTb/BRERZUazLQkQlRB4VGYtylIGMsJXO1UBhuvtQ123X/30Lz//4efbh1tuzqEpkEWE8rxfL0MYXJZl23efu+8w1fu7+wJYqSpFjQxQKcdz3hfazOa2daGubn7QZ0Aik4CKTJ9jDBLuIRSCkuy2lKUikpE9JqIatgRJla4hFZURaUMjk9JgvlaVexa61082GAOCFXHwf0efDVYd43hXbyGTJegmvI7OvXsMoKE0FDNASSVF1ZSKOuB2ss8wOcCco6ZUt+6ohvuOW3kMBfg4mBx/QErjP31ru1qBfdZ0u8vIzHQhKZjTMyMzCkVBITLdfXefWek++5vYECK76Kg1xZBqkn3DhFSpLvqmEIipiPj0yPRwTy+Uh+/hc4aozOkiVTVJNC0XPoE0U1T5nBFJEVNVkYyMCKBE2DROAyHS02hhXdbr9bl/haqqiQxLSgC2nNb1crl/ON3dTc8ZCdFGQYvsu5ARPeIe7bqSwqyU5jPR4yVFVXD0NUIpHK9bZKhqVTXv2jdKhGMx9wjEer9+/v3P7+4vp8tJFFFOxeVyXtfVFovMrBSlmKgqCTWlIDP70aysAqiSBxVQ/UZTEJE2DISdltPDhYvyZHq/1slwNqxaq/K0cLWJmqgysbtTLrppxnngfr18+el4uMxMr0hUSaUgWSVCI4E6MH0hJfEymMpxJdDlFcjK6icWKKLBoX45iqBJobo7ySoRIqt8LiLnsXz9F3/5qz/7S91ut7dvlHp9voWHEOEzUWqD2lOfTN9338Fm44438PjVBJWFbhjRGN9R46qZHYCQ7m3794dntypd6TI8ut+nR0AIlRKSlG6g0T1YVQZ1eGSp2DCWZEkak8jM3feM7FKSAACKrqd1OS0ZEJPT6aLDlvO6z1gvl/P57tXDa1V7fro+fXiMOe/v79Jd+6qj5rYBGMtgN2fLyIjMamAt67hHdRQjihBkVhaySW8cOMDxd1RFqaYqzfIdj1RVHrxAvqCgrUeAsNjDHaCInImKdN/9N37ry3/0j/7um6++/uarX5iKe3DVqNrdRbUqr8+35+s1Iqhii+4+tznHaqnY4dMnBNttg1BEvGEGVKHJc3RHlZHh2WWuzydVvbu7CKjUoYuI+vR9n02FqqqqeUZm9kne3GRVHsoS4OOgnxkgVGQ9Ld0FS1/DPnMaca1qaJENiQIAmhAFSkX6oMqIAqoqIooQVfafVzcE5RnFxskp4dHX/ijWrMgq4qAhM/uhq4/EL6QCxzEPCITQ43REv5psiQ4KPeCImPTp0kMgP3YERYGpirAPNbDMTET6nWmIp8F8sBUfXpXhs7oxr6oqM+uuvI6ifHQfOI6gEtDMSKnIdSwAw3POXaQQk8j0QBUqkA7PmDNnqsjxdTOm7xSIsl7O0iZFQUSPLelz+rqcMkvExlj2W7hnRFLH/f2nYz1H8PY8q8zsNMYKUfcCZGZkD2aCPDCnEAiTQO37JiVGE7KymjWqA82Qj6d/ZVUhozKaaEkCGZleFHizDQp3jxmRJZTm2At1Op3MBgSRue8zsqa7e/ZYWGCREFahMlHsh8kjh6lATKARGoFtExYJRWmVkj2vmdIEgjKDaq2L3l1WWNGAhVzkeb+W5BhWVB1LUaCkaBZFFoWxIMQQEYhozwcqMrIQVQmoGCkijV2y+nkVA6VB2CKiUCIJ5KFnUJDLsl4fN5Z4xFC96Lg9X0m5PNxFxj5dT2sgr/stKqWLCygqxVIxo/YL3L+6O6eMqCbQ1LJnN7ZqrRnsyiz3Mluq7ztxcAA9Qg/dI1LYuiNkmRqLAmECVRCYirJ7elvWi4BVtcUOxR4T4DCVonJAxMzGqhP7VvPm2/v3jxklZjaW6SU6rtfJoXevX10eHkjZ53x8/zSvN1ael3Felxb5CXhaVhZbvaaq/RBBqKb91SAiIkLNqkjv0tLzUF+EpkCF2mKN/s+MPivrpSyIiqqoiqBKKQpDlVfFAQ81xTiRZaQ/Pf/ZH/37/fn5pBq7C7WyEojIOWezUGJC5bIuZqMK+3SKnk6nDMwIkLYsECnhOC00U7OIeOFpKqtUrVAUUdUsgejmc5vxPPdnv93mTnLYMpYlKUk6ao99Oa0vLTDCs4EQFoWiokIltQoFLss61nGobI6LVRmJqGiWoqgiPYirWAUyojWKbHnJy4zb1bgrbbdAqnb8RcgLOKlRkKi+8FVVkfmfaQQhIjjm28a5GjmiSINFPHQ+6IYQ+VJ/KwotjCPDsz9Tf/PwUjVpjIg4pDUoAFkpwjl39xk+I+b0fd83D290S1XIinSysuVQehwk/euqjkEyK32fXZUzc9tuXcjW08j0mNvpPDJiWcysmZKgVB8g0mQIpIrepAKPd7OOIxxkY1mIiGGWEaf11OjtsiwRsbvbMsay3j08XC73c3o4RMZ6uoCYu+NAgLXpk0OACw4zoiWCaC3mGOPj/IQqkR519LjXlL61olaVqtJvRnj4nM1GjmGqAuJ2u02flbkMo3Lf99u2NUQ4FjveLJNC6TD0cELpC9KEW+NJBFE82Ch3JRWy2DDSTNfTUFUBVcRWWS9jOQ0aObjcndbTWlaBsGGnZe3m5ryuiKzKMWxdV4Foz6DZMAbZbRjQJUNFs5/3FjokCkxA5PiEcvybKqLdUNB6lmdy6AjPrFIy51zU9utei+lp9Yz7Vw8pCfK633iysayRiWNWw2Iq4JCRMVFVkSzWMZVnZTOiyoIUj+mDyCiiR0dRUaUIIKSYZoGiQDcYYmpZ2ZU9Ziw2hFoJUsMrKh21pxdLCFUsi6J4d3d327cP7x7RMghU19Ppu6g2gLAsyzLG6XS63F98xr7P23XzGcuyjnWtkuttX9bT5e5uWZeYM2IfUu/ffje3TViLKcGho7JalSdkVUYm6yDFtBUflU3DZx6wSVY2IlAvRHCjveTRLNZxZ9EASGscpFk3NVMjYToKVBsCDlWgWGChZ7L/8h/+/b/xd37/6fHRRCscKDWN8Ol+u20RPpZF1U7r6Xw+f/b5ZyZ2uz5v+7b7rmZzxjZ3EXWP6d5jPUUys4DwyKPsqk+PSCXcZ8M4qqIi67K+cKghlLEsraCpiIzkS4XsUkdh97jTZ1WKSGPalZnTlWIUVMWcPc1mhOlB4fYLmBGVGeFHeyzsQtpMc4uSj5ZIlCINqAlERVWNogUSYpSei5XCzFIdFVmAvuh/emhuQlsIIFuvIIrwrEYjDlgaICpetKA8SllB0RovkBCQ/WT00QoiM5u2RnpWgpZR27ZlBoTpkelVSZSqmi0e4TNWPYvYR8Vkf4bw0NYbyYs5obCuK4hgIKYMLEKGCxSZFS5IKKKCnF7TBAVEuip7CGBSVQgplhlVZduikBRVCo85V6uBhwIgCarYcjqtp7vMCqqotKzF931ut0WViaGCatFt3/8S7aGPkVOS3fdFeZezfkJAZARpu0+qRKYRQpkzSMzp/bGEAnb/VSlUVULGUBkaHpmlZgDGGFEpRoG5p4jMDFX1yGHWarxKSHMtYN8sEanI8EBmgHOGFMTMIyQSi4mMElICRlCt4FlQQriOURU5S1TO6zr9aiIyhpjOq4sZExRVsWZ/sskrMApZCZIKiuy+t/ZejF7emjpUsQUzoOcUjJbbvczRWRGQgkrAEaEFIs/351vWbQs1NclVzef28OrV9cN7qlDUKEDM8EGqQEHAioUMEStoIikKYU5vdYI0WpXUZtsWq0rP6jKBiixKSgFewazakyKCMrNt39WMi0Z4CpLoh4ekV0BYQlGIlJnebpsNo4nvswocQ2AU2W7PFA0/yCUnuI1xO9NWUvfb9ZPPPmv2tw0lc5+taD2fT0zfnz5EFuZ8frer6ljGdrudlmVZlkiPORmEiIo2giOQIhq2qgMobH29tOK7EYSMJEE5nAQtEyIF3QG1ZBIvjzoqMqiMbI2Jxku5bB2DkKbjm1+9+W/+H/+9W5xOl/39RmoJVVQo6xg13Ya9/fq7zz//fG43n76ez9O9zxUdA8DDJw9jjHUZ3735br/tnmGUfZ/dvLq7qnhGRqipkDNi2OhXA6CZzX0fy1CRyACqAiyICqr6IGAzk4JWhM/pYjrUvFJEx6oxXXVkRLWCIJoIVBRUTIQtFDa16TMrSY6xNA9WkXkQsMfZmZEUgimk13GRA37cB2uaQNq9xGO0aunVx9qR9RG+rJcbihfcXygq4uGtfjgq1AE34ujPUBSmR58iLzNjN9tBoY32ZiDCx5AIr8x9u237jYKCk30uRlZEuvvc9ts2N0/f516VWakmFDTOJK24ElE1ER1jVKZQInMsCnmRbVSNoaoUKkpaeHDAxVI94rSeGjxUyE38tpFERIcNFTYsM2xBlaqKKs10GcPGJ5+8Op8vc+77nOt6Ekp4bs/buozT6dQQHbKQqZCuzqpdkc1Ehi3rWFt0JKKt/CBA8EWD2UI0HvNlwUyFHGoCiT3cIyIIujtQHlEHL4TK6mpuZpkxxogoAjpETVVtrKuoqpmpHoR+T5mgilpbsDJAyGjbQe1zepUug0PFbKzDFtVF9byMu0XPiyxmq4kJWFEFqtgwG2YiC4NVkNP5NOeMDFAgkpkZRbPZQjVV0aFmEe1aoQ3NqmyvE5Xd1RBAtWOx4qj809uvBBOrLLJMyEhFqUp4ybLeff5ZTPoOQV2Wdc55Op+RsS5j3/aMHhFRiYiJAoMm1qIHUYvIZsYSaATDxBSq1KGm/a5RVLWvar/hXc6aoDMbAmVxDG32jyIFqRI0INbqZzbjDRA2JCKf3j3fnR5828bQysyqzNJhomIimWFm67L47k+Pj0hHpZmUO9Jvz8/7bZv7fjqfCuUehZBBWzRib4Gw+x4R23a73q5AxfQeY5oI/Ihii4j1PHoUFlFVVW0zj6n9GigjqhXGL5Lxli98hP0PJInCQmZFRCtLhZIZ6dEqmMgap3XP+N6PfrBeTjpk3zcV+r7H3Ndl7Le9TXk//MEPvvz+F7/9O799uVxM7eH+4nNen55JzLm//uyT7/3wy08+/eyv/62/fv/JXQFR0bQc2tfQVqRDQ1mi2gKW6Xs0e8dCQVSa6W2suGnVysqsue8EW8cR7ssyDji8kWSPdP8I7aLYNTbcw4NERvNE4eEAzExFVTXy0BD3r+63VFQOYVAeLWOTKAdsk4ctA5XW4EPTSySyHEmoVBzftlmnzGJVViq1n7zMjGq/XwKtOVQwM49h4CA2hR6uiiasC+Xu/TmzwiNEVZSZjEygTSFygDklVSVUNUEyE1XRh1llpbusoGnMVNFGyKuqwqNAqbnPubtHzHkLkbOc5WAGQWKohWeVEEYC6VIS9VGwK1040rM8hmkJ8/h2VZUqks3jZSChpoSEIzLHuqhZ4SBj1dRjns7rMsbT89Oy6Hy+6roMs23bK5PWihZGBJhARaQAe7iQESVHcXuR/rQ6giUH+d7nbQHisYNQVaVUldoo0sBAZBYE6eUeB55ywGfMTBXxCBZRrBcRza8PfUH44Tpr4ZJBm4xAPz6giCyn5cl0fThDlCYlpIasWkKAlhWVDF3UZPd9hukQtZEX2ydFQaaXTw9UeLJIsWAmletlv10XY5NjMJsRAh5lKFNKBFSOqqqazU6zFaWHDJFCLWZUoCLBxbQqxsKo+i/+4T/4pe5/8dOfgrmJfu/hLsLP4zy3521Oq1xPJ1RbeUrE0J1UD53t5Ol/SFAKJcfz0zJOfemuCkIoq5g4xKNjDHhIRsNcqsoCSwpwd1GVoVkIkidz35WjoGhtmlBNxROe+7YvQ/RyAZgZKiUh+5yJ2SMtqmxZ0+P6fL1/GAvl+c3bOaexqg1uGeGuwgi/Xq8LKBAbUgBct+sG5r5tejofRgQ2+RTH8MpfM2TH920IAdU44svzBhAqdlwwbX6vf9xR/kWkAVUmkwcNkPCkqFrtDimfPtaTin73/vHP/uwvver+9asPX789Xc5qom5S3J6vv/8Hf+13f+933r1555WP7x77hI7wakJYFpKX8/nbX323LMs3v3rzxfc+G+uY3+z3d3cRO6IqAmggRFqoWAJTjcPicLxHAolwBCKTq2REzLnqOTP6ttoqpjrGeGHLwRec/KWjY0YCrMgWCLSrrKoafmhYJTLMxgvWXWZ2qEB7WEf0/PTx+ewr3i/B0f00wlyoKgPqaDEPfAo8JFsQkRdL9zGaHfIJ9u3B3OayLDiqgjZPIC9ghapVxlFABJEZUWOMjNBlNEkmL6elKrunEaG7m5kQmUFRIKJtw+EARNVM3IvCKvQo1EpktlNGebttZjRVM8t2PKlBGZ4gdKgtS8zs87aPE0KOT9pnJaX6JYuj8UbzV3LwM5kFRUbYGF4xbGmiS0Tu71/RdNtcmj4tzG1DRXgMs2+//na1parCPcvNBBn/mYDqmJt77ALlkNnk0SceUFFbJIQfMbT+69PnoEVEeohpuUNkZoyTdSsDiRfOhshCEq3y6jNbtIFLftRgF4+ZIfLjzeqrJgRE0nOoepXPSZESlipEOMSRY1UoqdLniVJNbd625W4Vh9+mKcSgGFGZVSompnCPdAX783h6Fdfz2W836Nhjmg1bLfYNpKj2AMQ6jClFZqMmiRqAsgAb1t6FVFbSsPg+hXrb9+ft9vf/q3/yTezjD/+ny3+5vvvmu1/+yR9/cf+Kmdvtdr67zP1DsQVs0s2Q0KrC042SSKDjHA7MujKmu5lGFEU8phCERFSptHibVaJML1OpCFWZMyuiSDXsu49lNKNaVZD2OURmO/64rqZmlHZTQkR8x6vz+iyEkKXCIkqTSkP3MYOoHcmnx6dMvH4tQxUpYrr7NvcNamMxUexPEREB2NCMBHEocIpDFYJlrF4xfXZDf9T7loRmHPRjd3mNIb4of+o4FhDMlrj0k/tCSwrZntVEoRxAFauiVM0rUFFOKWbGaVkTtcdcL6fLq4vO51989YsTbZ97hGBAyERGxPPjU0TcP1wigkg1kahtn6Ssi83btlwu33z1zatP7z//4pPXrz95fvrg4eRhYp3urfA66CRRVQPKRGc1tn1McnKA793cNs79kZisykrkxMysZV2LiBaJjdGMJg7XfbTM6KMaVYRZ6R6qR5IFD5FOM73aV6/f0AKEjIiXqeUo95kpNMjLWQFkBkCTttIkIpJyMOx8OccPYf9xypEHGUCPENCGRAXrEKBGBo6zAwMjyiMdR5QDFYC0lKhJhaaFS0UPlyMhInOfY9hHUTDl4F2rCkgSkVMgxSoc+qei+AwCUSFEVZroejKRPqUTQKSf9EzaLZIAJVEp5URJA6ygwAYXwTQZpQa0iyPY+P4xSouq8DDJ9iNLknNOUsflvCwXHafDMDx0u/npJIvqso5b5Ny2V/f30/eoXRSczetKoSIqKvkyA/dFa7lO0bPtkRAtVlFEConm1TLJJSPUlo6YoZAdUCCawBgLlUAKJcoB+dj8N1NHUYEjD3WM0AiaavV0jhTRwjzUNVUFtOwSh9WREEJKTrbcrevllEoaopxWupqYVkB6xFEu96d1LE9PUwAWPX3zziBiRB+7zewmMxfaGOdbPJ1fXfj61Yfv3o8qKj02yPCMFsCIKKoiXQCyBNqAQWa74RFZiegZGJDwAKA6Uomxfgj52dcf3jz5b/29v/PbJj/5D3/09PS0cYop95oejNmo11AtZEEyg0Tki8ZUpDtdjyDQAl9oy55ZFZClWjXcPpy+eE3h1cscV8gKgfakKiZilklCsko5xiLt6EFpQTJzjGX36Z6nsd5u16enK4TlNffdFIS8UB9ZKW0JEh1z358/PJ6WocNa6JndhFU+PT1tt1tVJTGnN6JaR4+RoYgtVDuDK4ysytZ0JLJlufXx2QLavNK+zgiXA4g4VBuoo/E5RCwN+FaxGBmCbrQrKoaYRBUcNas4dGnutET2OT1rLOvpfM59wlSEtlpMNxv3d3fP75906KLDRH26T6/CDL9u12U8KHXu+2dfvv7222/f8v1f/OQnJnJ3voRnzLnaIiT0mGBejrTKSKBdVslDr1kHhJ4xIwpo/rMJ2z7yt+ndkmbVclqQh3GkXa3hwWowfAGQOVsNXNkIf/GlmB6iUEAgiWQe9gIAB0Xc8V7HTeiam61ZAJhVKgSkKi1bZnuockhFekGKh7hNeGBSbQtuCXa1yMK90WGpHoYqu7bLkYWRw5bdd1W8CPwRGaM1tlWR7u4UraLPWNaliz1xuN1ULSNZ3UJWFUQlEpGRmaLSJ4rvUzkogigR2/adKAhK4J7aqVUm+7YXaqYksiJtWbcMERW00w8C0hpa1FYjREyyLe/Olqhqm4EpqnNuxZcUMBRQ63q6u3+IRHhcLufbvqnpGMP3XbQyvRDUMsh5Wd69v4pq3yIByei71aaYOd1MmrpRamYcLLscOhyhpJSqIULIKAhl6KjwvkctDmvbltGSx5j4wr3346KFlMPzVt150AhpeqKq4jAsHuldTbi3RYsNx4kZVe18hnDPVOSyrnZRqQF4oaAQ1QqoSDFVhCbrZc1xqrkzFp87TBtHjPDo9+R2O6lB6BGvPv2sJL3ilqIqDw/nekZWKujbpsI4QgjbJqsQRJIFKTFKFDNqtbUy97xF+EjTsuenD+dPTni8/eLnX50+vXz5Wz/GevdHf/wnY10MfPvm7Wm1iKBpbdNUWayCmlXLowUdzpVVSmk5+eV8mbeJ8IhIQGEUiZdO2GyApCGQKAwdANp3IlqkFivDzazl45f7oevinstYC+XbfloXVjeEY/cswfnhrr57H+nijlKkdPPkc4pqhJuqqOxV58tZdHgWinPbEcnbtpwXUX14uIvIzLg9P/vcqwKiM8uUJlTaSSgqlVFV+77bUBtGwj0IedEIHkKUqgO1VxGvysqecTvJsRGPrBTKcXgDR1dLiOpBBzf4fvhLS6W5byYkPUrEhmXzcDGvz4+Iso4nUyNkmO237bSetuv18y8+i7mbcKvKzGGWHsuyRPjcNhUB6vxwL6Jz3trbZkOL0qVWVECmh4i4++FCB1BogkNFrs/X07Lebs+Pjx+m5+X+7nw+ZcQyxjha2qqtE3oYEe6xnkdbJZr8C3dTBXtaKpFWGMMjzASq8lExXCWdPMgXhPOADl6addTRsAsblcEB5uKFrWwiTiwSQu0juyXkLf85fg0ZmSSqEBkHLdOG3hKzse3bqgNEVb7YcuRF9NnnkbRt9kUR1wdnzHBVjrFkIrPU1Gzs193DbdgBOnUzzINylF8L/45vSVRlaR+KeZAeplIIn96eNhHCJNkJfO3mKd89TqctIiuEQUXtCcWcc1g5ctumWXbMobBK5JiCIN2AA1S1Gd467oi8PJzPd/dPT886zpRxve2qy3qx2/a8DKGqLnkey7btAL59991YLG6zk0DbR33E8lWiSrvpqPL0qlAZZPSrZaYtAmtlGZuPyZCcVESUiSCOvsFsNJOmqlUZGVpynNeCYIFZcGoW08w8E01JdDZX9VyfVUWRPAaAEiGqPUcFRQnKuNyv6+ls5yW6ypNsVZMCoBoLGMuSMyP9/HD/4d2t6Q1dVz2dIGK2zBkCAUqWxWeoWancAozSMe4++yKRcjKBX6+PS6WdRuzONscSJcmjD2XiIPBVlJwqEh6rrll6MnWfl3WpPXC2p/fffv3+K7w628NQq+vju1fnV2YqIk+3J1ORYYhkQUSieiwrIEFEptmICLPRTpFiqWkVJRvKlojwjGNMQc19LstSKLACCWAdy9WvWZ4ZBGzoXqlDNg+MwYW1np5vu9jYCAVSbQ4BM4gtMJV6UTN7+OQTPZ3jw/PLrAOCHoEsXYYty1hOcd18m2A1HOhzFjl9zm1GTKkyZWYRNRZz90WXYn3+5WcAt23LyqcPz0Bs26YqL1pwdGXPSLxQIN2ltmINOGSd1S/0gW/zEJlnH5AMj0I1rGdqnQwhKURVR34VKkNNs7D5hMiynrbbti7r7badxppMUUbMbbtV4bbdbFVRxl6+z2BkJkXAosi27SwMG0J5//aNmFlbN8K3rVTlxZxWnp6Mbb+dzndVWWiJwfHFC3U+nea+P777cLqcdKSQzx+e7z5d9n1zd1UFclmXw4FIGWOoyD6nqHp4d/H92rk7hSKMyDl3M2v0afpONPEOoDFq8sUn3O15fqT3jkP1xbFVh02gidjKQ/ZiKLRoes5osw9JFa3KppezFYrZtoBGXERFDz4AgnqxGgtfTh8cqFaVHse7ZMTLlMHDPABUQtVsGZXwGQ04kCDFVDr+og+xDkgghVVqyvg4tYAqmVXRSAXUrDWylRQ1agkxt5lQkRDC97kgVQd1AEAFkGDM2G3RI/WG7dxmNB6t2oK17oJbIAy+6NZIVY3IiBhjFVP3VMj1+rSur+4vF6Ae379dVoPotu+mejqt7lOtBaAJSFYdCvcsIA95r2r4jiQVKtpd1ZzTOglLu7KkqJ7PZ28BIglCTCJr2GgGKDxsaGWq6jG2qpRHX+2IPGA9awI+pQQCJjvxNTo7ruFIEjiM72I6FrvdZowRc/NKGUoTHRirQcjKZEe2UYZU8Uh94aDyfF7ytmXV+XTpGc89Tqf1us/cQlGZkVuVjSrOfXtYXnWZWc2Whzs8Pc7HDz1voqBCcFDKY2NJW20oRtMUgtLuR1KqMHML96FC0+v2fnD/5NNPfvL1rz788jt63J3PQFyWNSPOl7v9zaOJVrH5Y6qg3xEcc3Q/n22mroK7yzClRhUbIFIhaDqu202G2LDGRiic7kyOSgo7H8VEgL4jmZnj/rKuS+py/kQTtZidlnXuPtOBuQl+8Nu/+RfffP3+9jSen/P5xmWxU2APlqjKoT0X7tNVbVlXiF5x9W1G5mLmEVV5e3xuDBuV5cXODKBk1LbtNuzxw+OMuL+/LLaSfH58RBYJE+kRtqqy0lS7qVdpuLA6TBdAhDfW0ZDUMQQcF5DtBlVtMQoyYuZ+kABRyWztaKFMrUUpAOY+uYzr9TbhJlqZZmMsy7wFvFCckbXi63dv71V939PAqhc8IIFScqh6uNEiYvdY10XIZSwE/LZHBnpGABLVQs+KhKipRHjU7ByJ6/Pztm027Pn5+e7V/bKuorqua/enh6KP3PcpwwToCxSZHfOlPGjAzDCae6BqXdcXhq9n+hZpHozpAYQc4qI6xMcvtffApvBrgKgRhcN2ENGnrFRGobX+kcXpsY6lC3prjEiKAUf6R8cPUMUidrUB0lR7PqiqyMAh3dbGNvvJaFfaR31wg6Od2Uah0ETUwwl6zHY55iF163PpEJ10BCgOpwLRyVOQkmpHUBO5nYSlgrlvIlgWAcQBJSvytFz2VOWgLZLzo0+lm7IqDDFhZExTBtCMq9IQrhxHV6ViZg3+qvJIUBhKYwL75p+8fgBr23b3OdaVwPPjjhKA2z7FJIKVGDZYBWix/OVmV0GFlYGCqVZlh8WSBSZpLU9u1COrIAomRTKxu0cWKRU+zEhEhehaCVFLZHYuuRTJdV0r08ZCMbNR4RQtoBNCURBqlEMRRzRxEWU2RCSB6a6qu8Lu7x6fbvXqgSI6mqyCKBoZpknnwVUdgWdzbutpBRcstqaRjPST2KJyDWdlxo6a6xizfMFpNcbjO9JW4+3tY+Yet01KzFhRzOARlaeUEemqUiLJgkqRYiOJFETsppKOAYt5Q/g65P1XX7273daT/JUf//B8ff53X337+gc/CGT4riINc7IAQbuV2rTT/bWoooUSqrvvy+lMMfeEaovzAkeY1Yw5dDTQUVozJ2I5gqSkoJoeEAZylbHvIYum8dUXry+ffPq45zePN5rhtG4gL2KDC/zrp+3+yy9+8Ff/yvP7p2VZfvsP/upf/st/4Y9PixztGNHhgBgiGciEnddVsJ7Wed03nxWdUhAq2lprU+XoByxtWQQCyNPj7fl6vV03VZoqk4stVSmtZqmI8h6OCRbyaDxf/uuAeniUfhFWaZv/WejQEbwYiA7asSFoUEwmQtUmCqAWVQ0CR6lZAFW1LKupREQi9/CeVG1YZKxjff/u/XK5I6pTwU/rqVmuGRNe8Kz02349378qqbnvQvGYRlXTbYOyZXpa4HQ30SxWJhpNLa6nZXvePnn9aUW9fff2dLmo6XJaM1PNenB3d1apWRcNj9CDQGRliUi6V3SOmaR3NA4UajYyPfPQ17SsiERbYnB0030eFNmzfvPD9NblZ2aV2egmsnt6UYK0I2oZ6L67ADOLjGY5RNG8Vk+FLVU8dAWZItLHFFgvuNALi/xCVcRxHLVQsapeOAnTNh+IyJzTxCKtwXSyZkw1KzIwJXKxtSrNRsE7l6iyOqugNba9i6BQKuoehbIhrWSfWcUmT1l7map4nIbd9lDRhAFL53QOG7dnP5+kXhyL7i7CEmSG2shqH1LHEUhUAlJRIHwmLfd9Tt/uXt0nquDb/lxJbcOkV29+UWFmCaiUSNiwjByqVpIIHGRv54B2tjDd05RUKiVmjDFasdO5EY0wzDlVJSrGsInIynUYUVnB6P0DeQRHU6FyUBeZyuGVtD5ztSKFLRtAIoXtA+DH97PdER6YM+1sErycLqG63N+t6/I+46xVydbDZ1TJkawCcKyGNtkdmzRSWKa6bxPUFFJkf75elmXb58wy0+nT58zthoSphSeYEA+f9BrKzjJRIaEKlHAmqrPBqR2oUSLBUJEsGbrkdFFcn58lsJrF9A/ffD3NzudP//2//B/e/OQvxun0/PiUhbHY4+MTFLuHVB03qIJFzc4NLumwblEULpc7CtXEZ/tlW6VQ7nNdlqwSpYnZMPe52DhE1sLbvpsNBVOqJj1yOZ0m88PT8zg/3j18cjmdfvTJ6+uMSF9Pd0ly1KvXdzytefPn237/ycP85jsup8vr129/9kuGM8JERECBlKZIgV7BhNhQo0clI+CDwpSKZNWyDFSFF7VTgDT2oKBYp/MSEUiUV6tchRK+o6DHhJqZKSQ6FK7FbM3Ss+NIj9TiHtug7GCcqnZz9HyWR+PaPoMXdWkg/ZA0gqmF2meo2AwvVmTQTJpAdlciKnS0Louq8smnn3z99dfLMs6xRkZVjmUk9yElWSxEZOaMqPbcergsZEJVGrKjQlTbq29qYlI4sjPn7Dwxubu7K+B8f5fI8JB1BSpRShFBRx1Xb2JSfcHIOSPbCPeitzyk2KZ6ACWZqvyo0DlsZQf1+IJEdHtO1gs4gUNXgNLjFc7MNlJUgEUKzd07iKlxdZUBIHNHg1yHRquPkD4nmlvA9Gk2CC9WRPbNa91QValZy5zriKekqBwrEZrPLVZx95mVFaDKx9MBJLUig1SDof9/o16tD8nKKHY+Wm8z4BH/Uge/1FIV+JakVHUe0zSzqJnziti1WEKXxfcdWI2CShsDMhEiokAcfUgLbDMzkxSzQTATtKan2u1xpLaJMCI6w7a8pNSGQivDhSZqUe4eQ7QiTfVwO0sfEfVRSluVwv7OIB3k3AMon17QyjJDeAJZgIj5nBwjI0hdbGThZU5s6EY6SZvSIRbsrWTT57LYQWVDXr7M0dqyEVtAqYutMyYIT5c6WLp9i8UsY173bXv/JEI1oUqAPWnp6A+b6ATkKlLGGH1DOi9+Gcv5hPuHy9uOJB32vO1ZSdOAVxQi2+SLSmaQNfepWosIq7Y+bjApnayQMKJzrtrP0Ys8ROrIGG+2TBdbfNv2fTfhX/7Jn11k+fZnX72X9c3P//Kzy/L0/nG5POQsVUub6QJmZAokMwRC08rUF3ILAlUdY9k9Di1xa0YDvfIqM21ZqloNkaLNZMYWNWyBVLB9AupVIpqVJTDK7d3jz9//aYiun32BZbl8+jAyqkPCPeZ0T5zP9yWx3q3X3UNIO1pWQjxc23qJaN3YPueyLg7a3YLJ21PO3VfVoeL7Hp6m0lkLyI7p8ZyI/sGqy2L785Zsx7UeZociIQKkZAEUVoRQiywkxHq/RYO2lfUSBoyDovyoZMchWnoR2B8q0qjoZ7JLUe8VoDJyFhQsUcnKqCKRHut5EaXakhmrLrvovu2X0zkW+e7t9urh1dx2ghkzJjhWAU5jubtctm1bxvJ8vQ4dIDafkUmVkjZyFAW+b52r2hsKhBRaSDxv13VdHlRhRCEkAYipZ770wB16cUiGukpJITxaLUBURLi7KFXVxsiMrNKuOZWSiEMzSfAFSG9GBfXy8/GyJqPIJs4l+6DNzo/mEZ/S5Ew1HMk29SVIJIctmZnpvW6loqjSl72x+0Ple8D+R3vYwkLgYznOxnwPX1/VkX6TPQnK0FV1hDvP2vYIar34mgpoLJLda2fOqixIRR6m3/+cLgeyPDJJ2hgZuw7zSJhSkZUxt3UdkXPf3uV8Oi3L8+6qkjKqACJr2rKGz6EKtcp55L9kislLrGmPX1QRGt2drXrOMqqZeWDuHl7rslI49zk9oEVhu6Z12DC2muJwzfW5lh1wdEx1Bf5aCKzaj8Wx3MEz9tSziXIs43abkfmi3WVkrLKeThKRGTnMKDSzLnwR2WdAogRMZFEK6ekePkOPsJY6NMzZ4fU4Gn8hS1TArKgMO63LMpRqTJgmJhWyCsiZAYZIh52JmBYrvdQIwoZtUSRn5Xy65q1uu8tYkPX47h3HqTLD/XxvXvO2zSooGe4d0KcmAszrTVXTCsZ2zrTahKHIUqOYVnPRQEQohQEtQZRJ7pXtXjmpqeiIWRnPb97fq35493YsC4elT1FBFlWTqNLKYgqp1fW9l6AeIzSysO27iSo13FXUVONldV9kRqaypMTYNs523kuPMC02HEOHDY/wiEUEEXXbkXx6f50Vb5U436mJrLr8+IcrrWp++YPv//wv/vxeZN+dOjpIA+IQSklmekaQ7r4QGTUjCq5q63Kqqi0fPZIvwtTMOFxbWRVpQwu4XC7uvm377bqrUFVzukeqaWa+yMNa9NE6pIMgq+Kh6+8tVkeRP8JRpUNPD7TnGDEPgDuy074a3hCoCPPwR6sIE0wzmFWVDNu2DR0aRgBF4bKM07pcH58XW371q1+dTqf782sWP7z7YJTr7VoRqmOxF1JhpkJuz8/DLDxExlAL96bc6thXk5mppT5n6UtEV6WQ7pmSVRUe4bGsa6HGGMfEE9HcRma180eXkYdxBTzyXSRaW5mlY3TuWx72ugP0JztE72W7C3sNRv85MjuW/FgidPjB2Hq/iswxtDsDVYJH6jD6CayqqPDYe3unmrwkdyIQh2i3UWiCKSgOW9sFRkq2xP5FqvqfDQ2HYLbQ7yMgR1IgygSqtqa3tET6tF/GOEIDiAIzKCUmq8qo7OVpL26RY57okxBZiCyCNLHFxnKqGOmqNLUlK4AZ88PT258ZnofOYTTTfkZNVdWmexWzqiAiTc4d/m4ev6Of9Vat0T0yUkzdfe57xMycZhTNqElt4uVFwKTMCoJm0pu/VBVy1Pkjd63BuU5MIiI9wjOi3VhqplQb2qGh2817+nF3NENTpaqdUd4+nc6UBqBmfUgsYykUTQssQMzcU1Ty2O7JelkA2qqD6HVt2QwFREXUqArmjLjurqe1hLKMEngGCTGRcez9G2b6gndW1cw9EZFxu90w9Ivf/v6P//pvf+93frOEUAG5zet6N/TEzMyCmamJDtKohl5enVXL+USlqRmMNciBtuoAJzVhpUdvUksyilmloCQ0ynQcouTFwvfV9O0vv4LvGf7h+VlPl02Ei8lqbb6KtlUHlMM4tMBylWPHKk1ENaOaGx9jEROlDtqLhiQClSwZ3Q/SzPqhkFaxJdJzaXg4g+mGOi82TFhZc1/TT/50ies55+n5A776Jb/65fz6VxdVG3q5O2lW3OaMfbms275l7wxpxMpLbZQwEcUSUxEbY43KGUUbd69eLefVkTO9fWV99oNQsypRsfPd3Wdffvnw+tW6rpE5t73RnQO5KTnkMm1QqsPzk1mRXnh5RY/Mx04Q0s6NeKkVL9zXIQiSj6qhcEdWlQuKL96UQnaOVgdKxpzV2whAMytUpj89f0jGdr2qYDmPm19//qufB/xyf/rm62+GNG+fkWmt33LPfWpxQMfQNrUV0JEEkS5KiFI1kWIkJYEozMwULusKSKBUzY5NpZAusdLxNFZHWhiPXBYQ4Bjj16Wsg7LNeqNJz1ZH+GmbXll46Q6l26uuL00GsKE1PTbYtBhMDv1oIwGF7OCHypCm2Q8/HqqyRTjV5bWT93FknB2NPA6mVaqq0xbzZTcAST2yQkEe2U9NbXscvEKrDtpgGpnuIWIg3H2MtZ8hHpFF0oyumdax3UnIzv3/dTRHHp30YZUmGRk+k5Dz+TLG+bw+MMewE5PC1Lj95D/826dvf/pqlPhN4VY+UMwwobUMNqoKtlgjIhlZkaLasTwq5pHh/pKYeYR+mJn7HKqqkpEqciShkxHdXUlWbnPvU11UQLxsGjjMESxKB3+1zNXDd4+I9mQ0uHB3ufPpAG6354P0b7qFJHG9XpW6LMu+z6wKjzlnILe5e8bmu2e2Sy4jM0JFKrCMpaIoyCz2btsDT+yFdofd8BA4KpfL0ufSHrGDM3OLWSwBM52aOjSyOpGzqd/u7JYx9n2nalKS+Obb77766uvXP/jy4bNP1vN5E/7u3/mbH/Z932J7vgKwxRzpGYXy6eml1BZjtMxBgdNYlAzP8DIuL2syO5mLLBrVSpCplEWXiFlMEvt+A1AV8bzf3l9ZzOLuZcvY973FDh0g7OljnMzWIYMiE+kARHQsoAAcyyIiYyy9022MkVWmYxmr6hhjYadJs1F5qfZiV3T2c5EOlKrYSFShaBKZOnQ9ncAagHhw33h7HnMf2ybX51VtzjlMT+dBlK0mi8liiRpjHBGQpPtEkUoPzwpIxxobCVvsfHe5e/hkXC48DUdGldmgioihtxVO3/e5bdv9w8N6OY911XUNFAQzI9uV2VHBQO8yOxIBcBgGC2hb08EJoz0/Wb1xtgsL8SJZeUGEXoQxjVhnRKRn5+JEdDLOnC7CCK/IYQZCTYfq04fn2Oaru/v7uzMiH998kNIffu8Hn9w9/Kf/8GeXdf3w7n1l6OhsVi5j7Qjbyprbjqw5px+b0o52yFTDvaUxQs08duOsy2ifY9ZhNmzurEdAd29DdSsaKw+iYvqMipfuT8NjvoBLHTXR7uJunvDSP/G4tOQBtBxAZ70YSA/x+7EorFrw8zFoSc06Satz7I0imZHRVE2pyaFtQ0YUBFFHAEMxjyPnCIZsND761CocH8Uz2klx8P+dkJ6hNuqAjLr2kcyhJAc51NaYU6hVzeX0xFiCNoPgY0vSuvQ+Ods00demv3/H5kWG76HGu7uHba/InVzKw7fdTkMr70b+xZ/86w/ffXv/yfdPdhrqQ5MVLC/GMFYHEB7uBxxR7VVVtGG89i+XrDSzylSVx6dHWZfFlorK8LEsVeXRAx3MmO6qYhSXUBV3Rngv8KwKCgVS2edzj1gZESQzcl2GT2cjVZWFWJYx021ZafpyYdPGkpFFzJgET6dTe69e0syZWaYjsiJ67HnxT3RwQjGLGZB25IdXJZPhSXSKoaZ3TUXMhA29rLVy+f5r+80fpOpyXhoCNpWsAiQqy4lCRzJHxbbjfL7bC1n73BNeH56ub7559+F5uut/8U//yd/9X/6DK/jT/+nf3tmybzePFBuV3izQoW+DFOdt2xZZK70oTAyOYQoPSp9cR0VSs7pNqlAlI72CXpqSlTQEuJxP/rxfzmO/boHQKoOpDp8uahgpNobRI7aa7rdVF7Wzt0irMc9MyszQAEi5NllasUCkA0SVbdFIlFf6fpWDUT+e3bEse6WTYkRP6wmoiKiXi8rc94MszZSKkfL09oPvm++xPd322/XuZOdlXc93oNqw6aHS8jwDK0ETU9WxrB7hsampQCN9B0kudxeI3OoDZtzmvpht24SUFMc6Tuv5/fv327bf313GkPdv35cgUJ1H21p4gaLThZvHzDS1iHb8fmxv7UAEkNbwfQM6TaSgjvigxorwa4OtFlVG5i60YkWf8MRpGYNtzkBGUMQjFboup+fHD//ff/bPVXU5rQrerjeaZHjss6JevX69b/vzvi8XJzmnV+GIyTQR1ZGlqjGmCkkpVkYeZ5LIbduqsKiFu4519ynCYylsltoAOKefz2dt2qdyj71PumIMW9UMhUT6ETsKjqFiHrP920PtgDoOR+fh7egztSX/B6TWwURAc6KeXnVEfTZu3+4HVuvspTd5E7BmaPNFxKmqWSkkTXr7TL7gyyKSHtrW4BaP6wtL/DKiQK1jMZrHB1v33esyGFUdUiGiEZWI6TF9tn9kWZf99kGgPl05qnIsS3ixegcAVXXGjExWmQ5AVKSKJtorwo/2QaTCxzJ8ziouY3XnjFDhcvfqut0ESfqd4t0v/vz9t18VbV1Ol9MQesVN6cvJAJhazE3IyrAhCanD8YzO2C4iK/r4ixZcVs7dl3UtSITTpAFNVcVxnmsiI/cozabKSWaQx0b7bgcyIj0iItw7QTEiDrH5QcmgXpbJVRQUQp27q1pTQ+HR+uv+IarjIysDWE9MLAVdxZCoxO5TxFQF5QKhWUTHwbL55DlntQxLQKIWCXCSly8/fXp1v3z6ak88rCvJiH44k0LaR0Qr6whJ7oXpbacCx7Lcn7aUhx9+4e/il++vf/Tnv/hH/7v/9c//9I/n48wS95q3TYcNkXU9kdi32dTrejmj1WOUkvLMEJXzuN2uSupQlpYozcrUpYn1UgG2o2P1qKdE2umzv/ZbYbJ/++7+4dX87g1LirBhz9tWFKhApRgETuuafnjzTYTUmCHWuCtNNb2inICNUXVI4A0LetEValnXqpweS69DAoByjxSLSiSWdaBqZkaAleN0Sp+32wcBM4JVEgERzNv29ChDVJcAbVkfr7ctM6sXUxdK1ORQMYhmZOTBv9kwobbuo4jUtHUMIUwe37xVQYqoaiEjwr1u281MH5+ftuvt889fj3X12LMXxKtWRsQRKaWqzbgIpbd06kviaWZ1RaqXfx2tXlUjnOyojKaR60WEUFCxzKp0ExVIIpr3EulhCqdluawnjxhjlAdYiDChX3eobTOPVNs9EWkwW8W3rQp3r+5bJhGzTMdYdK/yTEOZaFWZWLiPZfTyMhV90d4fAUcmioD1RvSIZTGKTd8LUMgyVn3p4dNDWk7iUZYHK/4i9iugskqyxa880qyORbwi3dQcWpkekw7BbAFEeyrJZlAODjW7a83oxGNKV/UDmBFR4zFMHKDHnAFUZRms2WpTm763IgVSyTh2XUpVdgxQqeqhBwV6DGlMw9SEEul9K1HIwlDNY9da2kJyr7o2GeBzN5PCUoWM0qyo8HJQlBqVAMxGVR6CJxTI40w7tAOZnlUwVVhRWcDzk283RKVdlrv7h32b4YXwi6nXcwbC3737sGdG07MPv/kjU15vc6i01rSQnQjEQ2wrldUBYR3tOz1MrDzW05JVkDz2mR229zg4tazenXQY83CQNi2xaI6pJ7vdZ3kSDcIiPVTVp9tY9tu21VzWtdkOAMtYZ3jv3vHw9XRSG3ObKMSMni5Xu4RHtdyt0udUG0K57ttI7RkRhM8aY2zblmRWuce6rmPY7XqtTqsYAtEZqctC1eWzhzxf+Mknvpy4rhG1vGBoVEYkDABRpcouPiJ038MJyvQojy+/+Px3/9bv/OwnP73/4Z18/7OffvWrh7txe5oGmeW2nu7u7/bHK6pmTKgEUIzd/eF0amf2ts8c8uRhJ8ssnJYlkCVYVjldchj3StTUTEYWE6K2+Mwyk/tPfvsf/eP5yetr5rs//pPf+r3f+vn/+Ie3b79dh6YERSgcJ6iAweu7ORZDzAa0VdbwPC13Jbht14pQoqKWsaLgM9d1GQtzYx8+CoyhvjnA9XS+bdtiFNI9xt0ylnNm2dC7u/OHd++ZsYjE3J0QUxsD7oSkuwhQwfS3334lP/r+wvVkd/M2P7y7ee9cYqR7RapKy0hu+75kNjQ/feoYWTljAlzWNQqVpcs6zC7F+XTdtl2F4VnAWOz56UlVTssKlJmyQoBso3jE8fUqTUbVCyD9YhptqB1KEtKkFAV6CGP4IlL86BnAR6EgodRGD1sJJmJESbVmpTvhg1x98VRWpic03HMPMbZzpSFoFcWxM8iiAiYQRMW+TQCecx0jrzVU3f04pNUi6lgK1VxmgiaVIdBExxenmkZkx8KiQxazwOrz08aog6J1FMys0xZQ1esX2f4S1AwfZiq2+3ZoA176Qkonxxwe2IO/QJDH1GVQonNckugDo15kO9EMmal5Ol8ki1ZZbDE1pYMGbdjctspqjWodm4y0TVyt9MkD+Dngqzpc3fw4uBHoG9Lq03o54lTEp49liYiOq6tyNUTu28RYz+4zI8SEnYTMHhAlCz5nPxyqRsDdeyzK6OAlHJlTHc+S4RG+zYrcbrdlMXDsW5zOg1WqMMGcczWtLCi2q59OKgKfEMLDlTTTyJmJyDCxwbHPWZnaeGWW6PGNTdUjsqRtFpf7u6iX4E60VIwvPucO2YccGcIQysy9Nx/NmPu+Z0TFseh47nsH/rlHUx3LulaVkmMMCqeH98KgJAqmA6BPH2PZ5957MTKCBfcYy5juPYsYrRcF0LSqejVd1jHzmeht+hijuSmqUkWXRYDdY3m4rHeXa5be3T9B1i8/XT97fXr1KkpIVWt5K3pbcr/hInSfPJaFjdu2j2UIlv0Wj49v3377zTffPP7s7Zv/7T/9x+NuvP2jP//h7//Yn3edeHx+vm5+9/lDRky9uk/k86tXr5bn5frhw/myPM65rcv4/FM9n5dXFylub9/evvr6LIBp9kIMU1Nh+O39ex4pymWmGzL3m14ubxwPP/zyb//gs/juu+8er+t63jKHyO4pCTON3dPjdFoxY1kWjyzRyhatxbHyhVRoWiSiqsZpEaXH8baQFBqyh76cc19OI/cows5rQBL6+vufff9H3/v3/+ZPXn/22eObd+W72bLdZgNzIhLuphK7wwohf/Ef/+PDZ1/mws+++Pyb//SzCH75vS++enyCWWYtpu4TpN+uy7qSxarr9dlOw6ePZdBEqnfASUVeb7fL6WTLKqmht+3dO5LrMrbb7Xw+n0+n27YJ8e7N2+fnZ2QekeF1pE42lgCqmv5a6/MRNikQjPAD2GlFAaCq1QLFX9ua0LB2P/k84vKU/YOKIvyYa9bRBr7PRGXkeV1vHhmJYhVsDBGqarFmThDWK/aEZuv9/V3ELCAil8W221ZRapKVw8Z2vZpoC6m6pBySv6aeRFFYbG3djk+nMiMbIWg0xUTihSMECNNf6z8TRzpXh+mTheYVooCo4BHs1g1hCzih0q7DrEI2fyAtszx8xce5Ix/1oHw5J3FY9rKGWRUhEpF2eBHk8Pu4d7QZ2zIw3eXjblwkqgOOiV5W0DtGsiCHErSO0wkktZM8kX2DW0/SVgYVDYk5d4De8HCOZVmR/vj44dXdAyjbnGoHxX+b2zpWM8NLDlBkTPesY3NvsdznIdXpqSiyIjMciErfvE7nE8kIFtSGCeBRKgKtQp7PSyFV6N7uKi1mRB3TmBxLCkU0KnSoiFSxKuecKnY0+llrB1ATAjliHKOazCGU+LhQt6n8GMPCO8MSqMzwfZuiVDk2v2dmCSsoJsu63m63ZSyF9Ez3sMVEtDPpUfl8fV7Gil4SxIrIqBJwOa0tJfNIE4sKEWE21l/ecGCxyN5JkaiFYraoCCjX262qoopm47TUHrWIm0rJFlWv7nB/DhtYhqydOlc0Uls/HEdwCI59k1kC4LQOGbJlPF6vb3+1/8W/+/PLcpaHy5uv3p1O+s//23/+ydkex9kv9upv/MFtn0/f3RQ8f3b57PX9q/R//8/+P2vN0/mSiHk6XX7rr/7P//f/h9vpZOfTfH689/3//V//1/7VV0Svl6Sycr9hzju1BTX9hjnFt3XIFb4ghiSuT29/+ct3P/3V3Q9+47zg8e2379+/u9y9nk/PGbuJhU4p4SBUdrguVjNL05TWS5WEyBpj7HO2JJdAs/GRIdBhIyr3iqhS0050CSZUaEvQyuy3/+bv/eyXv1JVPD35tiESi51sud2e3J0JUHQZlW5VX//sL79n/+Stb8/7c1asq6ICh0leRQ0ZVTBjZkqUJBSU4no6bfuuQzMztqmiYno+n5F5vpyu8QFp427N6fsMUb3t+3W7nZbldrsdMvZWYXRBOCxILGTSCZOmmHA82QdXeViLSsh8ET404dsuIrYOteqjtKHaftmaerWqMmGi0I6WMpAR6RnhmZ5jMXSnX0VwjOFHnjN7//xYFlOlYdhp6MiYc9tVDMCynNxbAsmo8irtfW04Qk+HWTOs3d0DMBXf28rUq6LReQ2N2+RLok4zbC2B7O/YZVkoR04emS8RBjgcAh9r6UdPROvnq5GxrCRlqB5QzEGe4ACFPq7M7Kp4lBiQzGyCt0AxHVrpTSkorXrFHw+gqbKgUoWIaG0WO9G1kyDJA6TrG0lp4RQPl1ovj876mPBXRPuzj1wgJpkMaK/yg6ffPXzy/LxdLnen89h9tpjp89efb9t12/Zeg1NZfZfqRQbbMdx9GlWHKKgaSo0Rt/W0+Ey8GMsBnRNjseVyt99uZpY+acP3jYbtepv7DmCfUxWQyqpBHsL8DsirACrgAq1AIY88orbnFDxblKmoRsxbzCuVkUe3c9jr2pmtIun9T3cZvf274GnDMuNFtcve4FiZPUYgS0Wm47ZvYxkVqSetLDV5fr71iStmOkbbvH3OGqMt+9ft1k+k+wxPW1aq7sVxXt/f3om2oI+twooqO52iIKe1sIeKqEXUWNYn5d3DvT1caoyxrkevy1ST7IAmwjOalQLK9xILBQhWxMPD5TTOP9l8f//mZA//6r/5fybC9j3u7uR7X/zob/21x533l8sSeXt/vW7zJ1/96hN/s8d+UYojBWn26jd+E59/+ZNvvsXudxWkPGdCZFmX8yef3sKv+W5mrJdTz3AcC4hCwgSn9e7Lz32cvv3mzdtfvrm/e/Xzd29/6w/+4LPH98/ffvv4868ripN5vVXWvs/1fL6J5v2rfLjH8w1zf4pATCA4hlz3tZQqXXMjMSNoNivNROhZoHJQa49KqFignreiyhc//MH66uGrd8/nL748DVxe3/3Zv/p3l9M60wNY704frs/2Iv+gChN/+kf/7h+ell++f/r0h58/ffPN9vy4+o7TwunDJMIzkhQxiSh0DnBkRO3bJiILDNZRUQMFHWPetm3uENliipqJXveny+n0/PS4LmPuMyJe1DyksjIJeYGYkUVm+e5KyaqM6uzoyBhq3v11xBiLiBSOXGWyI6lTRdCYK15cBId7VNo9JirdYEWDsJJKia5ZKDHJLHc/n0yADixTQikgxIZHZISdTyQy43Z7rox1LAWEB48AzlBqRcNW2RNtb/ptR1H31XnIJktM5vRlLFU0s5J6CWkj69j6m5kV2Us9pbMAupNt71VDQEChL9dR3wu955GoonQWw4GmE2w7WHuuO0/p2FbSmW3ZbmHhscC8I7OqN4upaRVU1Xx3yrFWt3AsaVOxVrAKAyWo1iTKYfWt4pAKr/4lAEXCacP6nGy3Ag+4StgfDOjShs72zCzUMKtwo9i6mNp2RUWeT/coLudl1Lpvt6p6en4ci63r4n5kiLfONCvCXWS0OqLTbQ5KuvkBCqFVUkz3STIyZqSYdbd2Op9BUhdqzYioOcaY7qq9aaQo8JmVGhmqChJSRlts0FFtkWuhpDS6GiCU4tPHah7VivqIOJbetCYHL2Ch97mdGb3EMU0FoLurMVliarB936dPymgqX1SKWNZln651iHczU9RENJEZReHc5nI6redz7ykUSlJmBAulpEihBNLK5CgKZDnZ7/y13/nX//IPIZoZnlUxG8JwFEyCslfpsuxVolomtQ65Py+vLnZeZVghRLAsRimWqGn/6u6DSKqp6Xh+etKoCP32uw+/+fp1Mf16nXG7X1b3/byMx+v10x/+8Mvf+703P3n//t3NLubI5dPP72Rdv5sPD3fyzfNQPN2uEf4851P65YvXb9+8/fzh7t2vfoHTCff3u45vEbysaZ/F2/f75c657I+PAHTRuF2xCtbzn757HyfsXG7yYOP8B/+rv/XJ56f/3//lv//d3/jBOfH2629H1VhM3ISay2l873s/+kf/2H7ww10tnn3/xXfvf/Hzub2tr38RX3+dsYsURSK8gD2CxdO6FmKf14KkmICqWJZlVlJsLGfev77//o/vP/9sZ8jl/Mn3Xr1S+Y//4x+fLnc199vzh3VImIwUgnMWIofY01e/FLin7wI5r+vtXpf3UMaWyFJwDAuPjJozNI6Mt6FWhX3blDS1akoTuO03NQuvkLr73v3v/95f+Y//+t/4tl+vz6f19Pjhw+m0LsuyPV/Bsu5KRdvE2DwkDp/q0eYX288lqIrsLRKWhYPuIlXkkJm03ygORBvAIeTiYZP4SLoWWSwcGTaMStWl0m2Mue0ATFvUHzknMqpXWrMArOuiZiKlYu4+57bYsqjt7pUQFhNDrDtLs4GXLU8vi7CkspAFNYJi0o2aqIlKZALIXlwmMsyGyNMhcmVB+jqAjMqqVFRH6B+EeFvv29j14unBMULh5XLpIZTv5GfiBWb+uMqv5GCV8Ws1ZqGyklnRdGr38aiCARURpkpREEgW0mxMn622rChT8cyYc1kWCquqgQx2qFP+egEQKd6ZwyRaOCja23H4kkLPIgWZQZCp+9WHrL5Vis8dRqVyWUZGRXlk3G7XV/cPTx+e7i73QHYS9Rjj6fma0Ts086DFW3jgUYB79EcwO53Pdb3ebnMnYWOMtSLQC9BtjMxSrchJaoR3D9trHjJdeIQAZ6CF81UlCyPa5sYM7+M0M2PO+1cPt7kfORkiEiVCM43wFxHswRnE7M0hSM/ttstBCNVxp1VV9bQOsrVlx6mfGZ5hqtu2q0rrvNEjlsh+25dlrKf17bv3orYsi41RRGTRDsrBRI+Xj3XotEwGkWrP1+03f/QDsbWEVCvPhrf7NYxbmZqaiZqOsc3QoXPW+ukre3VJlUCaCqo9+dp75Ftm2mOiilLoe07f19O6z5xZl4f7p8errYus69NffovxgeT5/mF7fvfNn/2n049/49Xn37t8//TtL7757Hc+RyX89u2fvInb7eG8+KwYr3B35zrefXj/y/ePt+vzV3/yBtszvvwhP//+5dPPeX8O1Hk53TxeXe7nm+9qetJe3Z/ht8z09fxWbVntwzbr8y++u97w4fYh9t/9u3/78btv6uEer+7n2/dcZBWrPaZa3r3SH/3glyHPWyyvP72sDz/8q3/V7uyS20/+2//79u/+zSqxbbsty9znyU4R7jkJKg1ERUBr6Gnf5ri7XHc/390tn3751bdPcX71N//6b354++79N896ESTmHnPf1tPqT9dxWvx5RmYpTUyqsN/e/PLn6939+8f3oTJOr5zfnB8eZEZdN7FRmUlkpJru07dtLudzZFVhXdfpMbOWZdnCCbHT6fm2v/7eZ5fz/W/8+Ed3in/x3bd12y6ndb/ezqdTZU2ftmhlSgJsuvNw/qK3TQARvaWVipZUSrMXB590pEJmHetiGnz2Ayqv7JPjRfJbUaXCXu3U5jMxiRaAEB6hnayusvtk552JDJM4tKU0Ex1GYW+hzsqs8DkJrqe1Nd7LsJ6zu9NvvKGFSb67LbYui8pxOEVFMVGVxW3uy7JUQkV7jTAJJQVUyO12PSTsWSWd4tZ9MOrlfzQnIUf4Sh0NdOModdQ1vNCswMv+kPYTN+r9EsFXVcXeL9ZDQPeZlBZkAklGeGaomvUiAukEiUi1A6yPKEAAaWxLdSjCFuvxpJD79JePIyAyy8Ra5EfIi7INbJ/3sdXEElWAl7d+qxJR9GngiWqn09knYl7n/riMRWDhzsLf/vt/80//5M8++fT1z3/6qy8+/0KGpFdVjuUcWaoiapVVQLTQQKwKZp1Gk2J2uT+NdcrTU0RGlE+HqNi5AjEFzPUkvieXEzCu18eqdpxhWYd7qEgni1V1hJ54xljHfJrC/kU8lnNVeSaKIjojaHrI2iJxkLrFggklK8IXlfC9Iue2WUtCX4ZeqkRhNYs2lJ2WvFVWiik9q4rKYiWrZNgiPtsIozHjmteuvHoysOfPZGnNoGkhj8WGlR2hFcI0mYI6r7//9/5nP/3pL1JknxMR43ReuDw/33gIOmSsg8/XdR2euaz2xNDLaX2442mRoZEuUt2ntPVksTWzqqK1HUiIYEZmYffkssg6tGjnZUtg0cvr1ci83RaJ/S//4pt/+4X9LTn98McPn5xqv52q1pHX83K9f8Dy+v/P1J/G6pad+X3YM6219n7f9wx3rLo1kkWymnM32epZLbUst2LJVlqAYX+QLcEOIiCA9cFBEAQIgiAOENgI8ikCgggJ4kiBbEVpyS1L6nYPalLtbordLM6sIlkDyWJNt+rWHc7wvnvvtZ4hH9Y+1SJAsKp4695zzz1nrWc9////999fXhg43LhxwfHdV18GzJQLjifDzdvbj20uH174MCpBu5hw3MgwzkKVcqjjMD5izKDtUE0yDnRxmCFv4HYZ0jCDX1yeneRdLYapDs99dL53N9qyf3i+3RQyWFzVY3vjWFOpM9RH0wWE3T8k8XR8rUlK1VMaSRKhTdN5T71GWK11zKXbYdqiwXxobbh28tHP/ISXU9wdv/POg8P5oaTU9ss3v/atHDRIdq2H83Odl0zMhbUu1olDZgD00h//yU/8pb/yaH++uX69+R6HjOQejQkQP2ALdpYOc6KqiomX5pSSmuecFEDKUOe6LM0ZP/pTnyYnPNQv/vbvSFf9HNxdTUWYuINmwK6il8CIiGsOkVabL67MGeigaIhAokxrn5GpCbM2Be7evRVo3BfpV9bzHjYCBApEN00kgShE0SG1EAhoFlIyLFOSAnAAgC6U9m7FlFLKSXLq1s25zrXNqW9BEcs4mLuqq7eShgBUbSyiatxb7QNZ2JoJcc4ZEJjFrFJfcDkgQEqZEFpbdrsjI4pWhSgQ0QPAzx+edaN2rP8BXqUARqY16tSRUhG9LYCuYl99yd7l375ZWQM7/8ZtcJXB7Tt/B8QgvDL+X0kCeFW53KP9DrhmUEDcDbEXOoObryZHJMQ1KdALsPoFBFc8v16n4NEbk1fHP8LKjIzVvYtXFwEArtr3mvrjnkgOkbRUmmYbN7sgSUOZ58vEMNf59PiEFR88vGDi248//olPfOreh+9/8+vfypG68l9r22xzHy4Au0PaLbwDzQIjwlmyB6oq57INQeZ5nt3AA9xAUmqteUBTr80CQURUA4kl5dZqFzfMHBCEMiKZmkMgCkYHSMBqbyAMDUkS1sXzlmSIHuLAvp+DoGAkNw11o+46mOtS3V2IoPsokDiJA1IiIg5iQlKLXIambq2arrZOpC7+hGm/d/t/KcxFsoEhExFCB870FjYEQQLA5hoBAiRJqmlgMjfKxRV3p7fl/bPAFA6ShyAikqqXpWQgDCY1Y2GHEBEHB2bZDlQGGXLKombUGZdEyAjhAMBMEH0qpEBobkwIzIDuoNrs8nxSs5zoaLdtbTHTqC0BQm3TW69//Oc+d3a4lGVPS9skeu/tt6ZA2J7Yje3p0Ue4DF5KG8azaikVlrJE2Ka8Pxsdn/QFNdzYAkkDbM1g3IE5kChRBZOTXW0BmSASpASTzUyU+ej4scPlwbbhdY7rcP2Jx7O2Bz9+29Sms0s4OT5f5v3Ds+0zT7hZfuz08OAShuMCtrtzx492dK7aXLWhx2YY5jpPc0uSNmXQ6tT75yQDcxAaYB6Gs6V+9uMfng764O0HenZ45Zvf8Hv3dtvjVufD5aW3NkiJ1jotBUPdXNsylPHVP/7Kz/6l/+kbgcPx0XSusd3QdhcPz9qy2FL7FMlZmiq4dpeNNkWmwKAkc6s559ZaAJjrX/73/t2l1esnp1/94pemi3NQBfflMBEhIg/DgIzLtECQ1dYrlzoyjPtmphdBdbWQydzNgzp5Eta5ynt/Ue+hQ+wvgN44QZ00A+sA3JXQ7nhh5pWJsPZ0g7A4EgtP09ya7qdDrGYiAoQOU0LC3oVQMC26qCoTEXJQSMqMXMMNvLe89VoaMzf3nFjVMIADWDjnnHLqH2f/gMI8lRzuAEhIm93AiQ/zxERaGxES8nYznp+fSZIITCn51Ung4YwCXRzmrhL2Yf/qtFxNlhFr+rV/PugDFhD8KQGiwzP6IU3eWRNX1SxrqAupR33VnURyzpwkAgRQsK/sVzcbYM8EhHs4ARJfXdCIq6UvwsJ6WFnVuq07PlhtAHBH98V66ANSePT8mnljwA6PdnfCBAC55P3+cndy6/LQNsMRltliKYXvPby88+Sd2/n0waPDz//yLxXJ7773HhOVcah1AYvd8dbUzQxQoSMSGMMBgS1AzcGAEwYQYE6cKfuivjvaiaRpP6u3PEjf6ZQyTtMBwJn0cFi0IWGYhyowp3BlujI7ALmpJEmcBCUQmlXpsDciMzvMhzKMjARuFHhFeiJiVmsQTshd/V0Wbaoe0VorqWhzB0IB5DSkEoDaWjimklttaitTYa51kBEJPSxx4ugbKkAiq9ZnrnmZUylSEokEIjA5hFtwkkVNSAi5WfN+U14BjVHS7afvhOQ0bijJMtWy2aCImmmEWABSEpac6uU05uTe/VJQjnY8lP5876ltImYh9zBzQuttKr5qWJQkkdDFxTKMY9Nw1HHcLXsdmnvzJDLboqHTXDebXB+89/5LL8bp9f3+UN96735/Cz/+9OYjz1+7dk3Bl7bs59oIcZeaAQwbZqzsAM5DBif3ADdmsTREOPqMhI4MEQQRQLTJ7go0AAScCBC46VlzyMc0bLwZnta6GVrT7e2PSIuxLZPZ2cGh2eGth6pKQay6O9kNast8Pi/nhO5RwZCIwxQjcqKUyJvlzN2ssIBP0z7L8XKwi8t5d+vmj3745nCcbp7svvLlfw0X5znJcrnniMQRzuzsGHM9MCIlDlVgYQN4964/erDdDrPacHKtHSa++eT52w+2Jet+PwjXpRGASJq01aog0jmMtdacylByW2oZi3p77qPPQNPTYXt5//7r332Rm7bWEnjVVkrZHB2dnJwy4+EwtbpM+727VjUmopyttaouTISJCHoSyIAslFhIuC0VwjNndyVidU8pma0Qgg8kTO84aF55dH20tVCExILeF+0BduVDl5S8k07dkZGZrWIgoUjb75G4uw+qKRLlUhCIJTFjm3VeDlKKOxB2thkklnmuw1C0NUTKORHTOG6GIS/T4h6AzixJcq0agJxSU3346NHjt25Zs82w2R8uN7ttqOnc5v10dv8h9wq0AG0qKUEQs6grB/cOSELGq1o0/+DwhzXh1bdGsHLVkInMVq4KAXZwKq6bfljRQAGIdBXv7Z1JK6W1lEHDCLlpMyShtTumK7zYG8D7h2vhzKymPUvS19/dntVVkYCgldndbftOSMhIzm52xWFc65AArx4TvQBAEjhiBKNfXDy4Vh8nzgawPTqZ9peHqW0315YJwsLO7F/+5r86Pj1+dPYobzZVg/NgUwMkEgFiRAqkgA5KFQBCB5bO02FkCoClNgASEbNQrY7BIvNUJWEAXh4WxMQUu6Pjze4BhYqU1iZmVFX3wEAPI0KAWGUP4i63CksXACAAgsBBmHsBs3WIhtCyTEkkM83zJJKYUVssdelN8EMuhASJ1d0BiYhTQmQg6pQkRAaElDMLd+sdMYW5uaPHmhXpTrEANQNGD7fo5ghOWda6Hg8RAncAZO7jmVLiw+GQU2lmjz/zbOT83nvvMSAyLXMbtty8UUrVNdyWecEySE5mtizzsBt4M6SjLZdCSYDQI0QoAHvmsKd3mnaYqzMh82piyENyCK/uc7u2Pbm2PTrbV9LIOVVjGra83dVtxnH7/r17/vAh7I7h2gmPmxu3bz/c15nhPQNHpnRkHMwYgJRyM09jYrJMWKsCZiGMthCLRgIAYHAyxLRaLYAcGAKho9eJAQ0S0YY8krcGqYEcXS4VUoFYZBCJI0TLBgxgVclcGNzqdHn/9Mbx8XPPPHzt2enBI54uYa5EWKcWnJyw1kAUBsq9t4cBhsJl3BwdnT86o+0mKD319K0Xfuf3zt59cxOh2nJK2iYSdwjXSsgMDOtWzxGAwsD8a1/4wif+o//g9bNHwJ6u7X7il/7sn7z2Y10elZwP034Yiqku2vK4y8KU06E2AhtKqYuWkki4ryd+9hd/xioxwD/5jX9qF+dgjQG692zcDMdHR0JcWxXisjsaSpmmg15cBISZEmJKGdDMrH82+1lhq10GRBIgAAIn7iv0vtpwNXcXXjNLFsHh4GsRVN9hMnGA25/WajoRXRkQsbWqqjkPYYbgQgQQxDSMg7lbOKWoc5PM0RvKglrt+W4BIpZ1YW1N3VdqOhLmnDebDQYERmvN3buuUUo5uzhHQkAUETO/df36OJbL/aH3U4U5eIzjcP/dd5d5zrGStHJKcYV2COj8Mrjy0a8oe2KKPuYDrNQT6o6hfoCvpd7WTYfcVy1wpQSst0a4IZKI9MW0mokIIWURD0siflW2KOueDiDMkVb5GKIvGNebiJlDLcLNtJMsIGBZFiIWYYhVg4VYK0zw6urqbta1G+8DZQPRkZDYVQObMCzLfHb/ret3PhwBeTxyL8s87ZemBsOQ0cmN3nrr4fGNE2Alc4BIm8KMWhsgWvSUBRFCGPQQSEB3vgSGBAIKR8fDMxBSBzJQTiLojsjigEToxCjirVJiWLhfmmv+28E9cpLaWkdRuRsgiIiFaTNiYqBOPXMzJkEM8xaeMMCaEqIgIcA0TeFu6hjRzcVq/duMSXIquTXLgwDSB4KwCJoZC+kstTZmImB3Q4aAUHMHB8a+YYPeg8ZYNkPz/g0JEM4sjKxhDk4AaIHkuvh2N4bBtcduXLtxzbR5XaAuoA4Za5uaugxpOa+9oGc+34c7VNmUobfRlpMdJuGUIjwlFgaIwPAeF7XeS0wcRiJlmqeSilkMKR8u67bkp5976uK7b16+/0DGARMsWehoU5FhGLZPXj++88QFZBsGyCOKBMKBM0AmpmCUlAhZAFLCQGyBwshFWl1K4jyGQUKEnHYAVGGIcGEldgq05sLogBVIzaMZA2jzIAgyrQrAUDLMUwTAyRbcgLN6KAGEQoIEZFS90aEpbbae6O7F4TifPvYr/84O9P6rrzx66SUEbY/OwAI226Nnnji5cfPNH7yp9++BCOQED84q0GPXjx/cfzRcOyJv73z77uGHb4wVNkOeWu31pIe5dt9OF1zDnAGDJUJb822Wl//kS5/5D/79mExGTpvMN2+WGzflbO/LJHkEYgcbNht3w9okQyIM92iec4oIIjk77FMav/fdH59eO/3WH31Zz/Y4a8dqGUIuw+npjSSZANtcRZKQOAdz3m6PVFV1cdPaWuZuE6c+ovfoo/WYCWP310f0eEofS4P5in2GSN06vWrFV96gPuP2xD+jmWOQkABzAE3LwsQB4WHzVHmUaZm3ZVCL1kxS4sQesdklNe1hFEAKcjVrSy3jKjmkJEjIxIGQUt5stn213UyFuLaVdODqKC2XXPJYW63NHp6dHW3HVHNdmojsttsICAtmfPml7yeiUDMNEol1OgfqmdXV2h9h9sEg3qnJfXbutPg+3vuKYFn3KmtdB1yZrq/qtlaGBACvPfDoEEgYhEFIQtbc1BFJmIhQEAIJOjVw9fYSrlbXNZLQs3w9qSGwqpSRUuo2UwfqSrOImHu/hbqMcSV7YLh3Kk4XOZlYVUWg1klQidLZxbtH124JH09NEYfd8UlrLQyqEwmD4DDi+w8vhnFrZqqNkHh1PX3QQL2WEAWEuwVcAeOCvRsIAMmp0wmZEiBAdzg6gGROXGtrF5Oqa9VNr4DECAdrjtynWuivquaWEq+VvKqA3d2Bak6EqS8ruzF0TTv25Esz7c5VRQ/0YCYAbC2QiJjTWCKQUHrmrL+WPKC3kHsERpRh6CXyVl1EED16/TFePRUIkWmpUZuJGhKaOTGbuxB2HXtZZmEiIkqEFiJpP+3HlNkhC6MruV21KNi0P+yOtqaaOSWSfdVNGWMJJYud5NMdDjmEQMAxEnKrikRBoaqImFIC8FZ1s9mCamHRqmko7bJtaSiW/P3LV7/ynTrV4ca1w8FpO+RrRzyMm5vXa5L7wDBucBwXjZRTACyA6WgkZkxEIuCEAIYK/es5ERIdn26jNSZC7oZmULWjVEQoJzadizAAmMeaJicaUgI1b7aoNvC26LK4R8T2pE3Vwz0Qx623Biui2VvTfLoLtTALb4axXBzuBUguVY52P/X5/PgTOF9ODx6cv3PvyU998pM/+enHn3r8/P39a6++crrb7DC++kdfvvejH9+93M8XZ/YGQ2vL/XsngFjSdHmZC8/TPhBB0lTrhnKbGwolKVe9MmjgDA7t7K3vvnjt2Y88tHMDu/Xks9c+/vzhwb3MNh2Wqi2nHOGhNu8vnSRSDvPmLcKGNLp7knRyenRx9nA6P/vx9185ht586W5BRXIpnDICg/mQBkKqU+3x/u1uq6ruZZ72vjbVMCGBrMFUWFeU3JYFiRL356VY3x0F8uoN7JnZ3kTrV6Psn66/+1nScQQB/SudPFCEwyNJWrxS6rhmGTYbZrJwTuIRJAIQWQbJHIDC1GNacnKyv7zcbLYpJdWWcg7zcTN2bz6Ee3MiatrcIWcKQIcw96ZWdY8E8zKllFX9/oOH2+1m3s/paFOnOuT86OHD9955N/UUFDgz11r75oBZ3AKg2wttVb8Jr54B/fgEWKtyAnobTFBEAMHagYR9/xOro2i12vXle8ckr2kykYSISGy91ISQCE3NWaR7rdyjG1Z61kvDYgVEE5MEAGLHReDah9DxDO5mqxF1fU5AMJP1xnAicLCwAO90uFhP5B6DdsfIJQWACC/L4Z03XnnszsdArptDcwoYSESdTAFIGEE2x5iZAnq5CXTWNK4yUXcbsLCbdVQs0hVRxAMAzL1fCmYGFgQYZokJwVARTQERHRHzMG7yMAAqYQQqegsAtVZYIqBZA0JJmYjNbEX6dEM9gCB5s9QfCtAjsG6qyAyIyzIDBAFHmAgjslkQs5TkSJRyGDggcxJORNJqY2EiRgwPyymlwhbYal3prUwGAebM4qbUhX8HRjazaTrsjo+FSd2Y2LS3iZJwIgZTy1wMfLHq4Q/v3b9x9nCZp/feeQcs3DyLBOCQZSz5AiCxMGBoQIKUUiSaC+frx7HNUUhJh1KYyRsaMgCzcKKweR6GtBkHXbQwUUozIDY+yttY2ld//4/vv/Y6HBSGk1o29MRGtmPsRsrjlJJKppIoJw2UAlSYmICAkFikC4kpEiLIuHUA4oQJpnlx5DxKylLVACCNKUFgs1A10DRgYm6t5kE2khzMzDI7tQiBLQikPoZSAExL9Ta2yeamClGNl8ndnEa2ZI0sgKmELwQBeC3HZM54EFnC4sZTBRBuzuNT8yHHt15/Z0oJMJ741PNjikH9r3/ub736/R+/8+bdi8ODN7/9/Xrv3WKiyxLaVCsKl6NxqY2IMic7LMQdA9hj9toRy1abSPra7/7WX/lf/O2Hix7Mp7x57ud/4Ut//MVrmVnzJg2HiwtiFEl1Udq6EFESYJ6taWtmDmgY+vCdu2//4PXsYK2ShpoC4jjstrvjfiZzUM6l1SYpsch8UWs1FhLJvt8zp04rXWf4FRBNEKiqPYlr4QTMa78QR29zhTAMZg4EDCJfc0996CcgC4gAYWEWt9Y98h1gg1eWyTKmRw8XaRwgVc0JHH2723oEJ25Nw31taoMgBIcgpOOjE3MjRo7O5uywZHDV7umY5yUXCYimAYDmPl/Om3Fr6rVpa2272yTm6XCoSy2lLHPLOW+G4Y++8AUMYGRrlZgiQjh5GBOBgwgRkbZuKvnAOtMV3P526jAMCoC17nrFDXSBlmhlSVxV7cKq4AIgIa+uHUBi4pz6jO+rOtBJvQgQ8qeEOey9j90OZN30t74s3Lsnipha86vpdkVOQycYXxUO9GW0uVFvx4HVTURrT976b/dfF4lMlckFcZnO7t97I49td/wEc2kWTOIYiMkDcmfYVmcR6HpibxRmtubRAUEIYIiQWCCLRPchEXZvrzY1CxHuEGkwj7BozbyFWspwWC6Ew2ms03JymkTKdDgXliAOsNzp6qsmD31P19d0akoiIhIKCNSWSoi1NkkizBG9ZdSnw9ytkVfNAU6MJJSHwpJbRFPflLG5t6aHaRZhQHSHVBK4I2pP2bPIdDgAAAOqOQmxY1fjibjWBquZjrT5fFjKJltTSiIkZk4ICBzmANy/qzEiiTy89+A7X/tqGTfL/hCt5RAOV4VE0paGgW6mTYVIaw1C2GxrLreefRwGoUGAAjqQCTksgoIJQW27GYhQWwMjgcRUiIqEfO0PX3j75ddgb+C7zfVjlwzjyOOgiJqS5A2kgizGoGAslHOaW0sigMGJh81gHkKEBqWkSS3CJQFRyJgRQgDQNFEABFQjwuyeGFEIKWyaNwwC4LUhBBOymav32TIQDALC3KNsSgDGMczqc21BYQr1YIdJp0XNCSg4oafULveQEnMhxOVgEEgDt2DZ7GJbZ9CHDx9e/vguWH3uQ0/fvnW6r5cP3nhTjje3P/Xcxx/7mU9++pO//Xf+7g4Hm/Vyrulkd3m2z6dHR89dv5yn5b1zeLS/cevG/fvnabel+TIMDss+lZRzRoTLb3/j/ve/NzzzxAHa3bN7j906/ZX/5G/+yX/zj7A+stY7OtFdRXj/6AHP8+76CYCgY2saRJzEW9s/PIe5+tw6iKQnmXPKV41yrt674Bw8ylAEep0RtHmZ5kNAJBJwMDCMQAckYg5mhvCeo2QENSXmlXUFveCTAMkAMAJ9jfv2bG94mIcjRDgTIyBL6guibqu/vNxLYjBIKQWgFIHIw3aj4cBEwtG0eyIBsONkTN3XMhklZGsaEQQoIk3DmnpEEl6mpTN6Tb1PxhZRSkmWwt26KZYYA+fDshm3ichai6qSyr/+wz96/+57Y8pamwivBkoLEu52oI5h7qHR6KUIER+c/QDRG4P60a6mOWXvBywAOiCtVKIVsxrRHVZA1MPJEZHWuDuuKAoE+lN1fS1pFXfo9XQI/ZLg/nPBuka/8r4Qd/R/N2OtXTkRZsbMzKJqQY4B4BgITALrb49XEpxDJ6GycK/2ZUwQYQakHh4iOB3e3x/22i7zeJrSaLAhFOIEDlYJHCMwIPXQGiJaAAMzUwAFVASEQJbcH5CtGiKjUDitqAYiQFbtj1J2pM3JRggYJHTJnAkXqxrmtVKd1FqAeACqa5ECAd6HbjMkyimHWXMruTiu+WbTBeCKnJF6oDvavAD0vK4IAYlcSfPInBzYLTSChaoZABElothstofDIUkC654KkpxaW0i4jKVO2t83GGSgbgbEqo2k+3GBEEpKbZmMkYUI0ZqxJECsy1xKwbXPFb25myXAw3v39/gg5poRCZwC0KwnihFAVQk5J64BDoqF42Rbbl2PMUMhMEAkDxdihN4UhLXWcchqRpxIMbkcjbuXXnzrOy+8pI/OgY7GG0fbo2vNInKOwsHCjpgpl22wOCCgByoxovDJrqSU3VUI3YyCSEQSNw9MlIQhlADQrDDmiBTIHuAxz04AXFub6/lcOZOI5MxTs5SYSVwoSi7j0M21XRZsHqotwDn3VzuWQcLVZgeUONoExeFQL/d1qU0TbG/fbLO2pTIR7sibamuO5oSYElBJd3aHZUKr3/7eG/Dia7dPbjx1+8ZnPv7Re+++e/fe+Y5F6+FwOZEbbIf56MZn/9qvfOQX/swi/PD+g4ff+/HZ11+c797Pz/nBzvHd80HbZhgASb2SUuLyld/8p//2f/6/qaGXRi3L6ac/f3jsj4aD4bRPqq6GBFprTnKYDnIhm5MjMASkwzxtcLj33jtxWIq5azMCd5eSj7fHm92uD5XMpK4lp9mn3qBFwkG+P1xaq0xsrghgrtLXBgxdAnRzSpzHwap1zhWSECIzT9OBMiMSri3BKEkgggOIwJqFBaIhBRhQuAD21EBtLQKQ4GizcbfWamuKkFC4WkNBSamUoS9PTPuEChaGSLUpZ25LHXLS1gSotaXHl9pSiRABA6i1hgDDOGhTM+vLqLbM0dvWzPOY29K0tsQ8btJ0sT85Op4v99/66tfuvXW3YIlmhD11283o4h5NzcACPFRzKXgV/XUIuCrvSyl1l2drrfMW3DsVyZml17E4XvFTe7zMnZMEgHlvfGdE6k3snVqGxIhsoe4ukvqJJMzioebKMjCS+fp469adXgiMSL42za8KBOMKpVutPgidBZJScrf+bAkI7k911V5N4O6rIxXczZEceoFUU2ZGrmge3vaXy+X+HepFMblEsKREmJhTGKacWZK5MyckcgPOOQJjxU/0uiVGZjNAZgBCFE69gQCMJNZGb0TGw2V31CatyzDksQynm9vzhdjhEiBttkfLcu4AzElNTWuSxCSA2EsX1ByZENGaAgQjOoS1pq2HtaHDIBHArPvWnEsBJDPPQxk2GweMCHMfc6pNCZklt6bTPBEvzHSYZsnCgOO4MTc3IEGWxJIIQ6vayiDijhsyjXVuCHANDJwP07DbmHkghioJR+cjurpHM6+1pZ6KBqzzIu79E6tdtXACob7mC4RgaqqRBDd0+vwzdHoauRAnFgpwrTqUPEhprWno8faI3RiSzXBje+2d1+7+zpf/cNkH0Gb32LNNSSlfSPEMeTME49wsbYps0qSeCqIpJZDNRrK4BRPoUpPgmHMoZUlqCqhkrZhuHAeiXeJ6WC7PHzx4++7F/ffn88tlnmCx7hMkFEcBVQCAgfuTBYghEQBAKbI9KpvCQzm9du3k5vXj06OyKzFrYABTCAAgFo4M1cLA8453221rfnG+r05pUyphmKYiDqKeMXyaZkAAJifmknQ+pMcLLof3zy/e+86733zlpY985KmPfvjZ6Y37u9NNu7yYa/OUHPPpk0+dqf/wjfvvvPneL//U529UeYtf/TO/+ufSDl/6V7/5o9/9wriYcAhJIiLV+f133vrm109+8nMP4jDJ8YXmP/NX/9oLf///leohTL3ZcDws0LQZWiwPz3yu29NrVETSuNuN9x88hHByAwBgdKCcy3i0o5y8emuVaej76YBwB1fbjZvL+bItbV72DFRyaXURYQhkJGDQZnGVUYmIIABCRnEAc09cxs22qqq2IRe4GozNrJ8wKAQd5IIOGMzMxK1DcggAIQhSSsu8DGVYDud9FVyGobPiGZEBAqDOte8828TYkzHK0dQBfaoK6xf2NFUkyOO4zE3VOICJ6n4m6nFKkkRjKcthEhIQ8dnYYFNGcJ8f7nWpL3zzj88evj9d7LGBIACgazSzvkHBACbqlErJifDfMPwDBET/1PUJ0nsvyIqQQyLsLbqrchBrgcjVuggR0NwjQkSYpZeVuln3hfYcWWdrXC2dEACk745KGsKpF7YgUod29R1SR3kwM0C02nruY23hglVxZUoRfbvSb3EEDAwUZiIC6eYX6C8aRxcuAR2aakgEFGGKSN6MmSEaBCIwALtjBE6HXq3J7giAV5XFCRHNIolEj3wTRmCS3KHQHQ6BuKbNAwiRkNkBIpBYgjClTJgDCmLCupndEi0C7trUPaoFkBomJgbklInZtCGFNhMRXDA81K0jZ90Ckcw1pbSfpyQShmbK0nlSgbgKIZhSMDsxIrWlIrMFEIvBytcbO8DHYhiGlNK035tWxK7ZE5firS3zBMThFj29h33VtsrOSTjMiWips+9jd3Jt0coi1iCAJq1sUG3hUooM3lqbKxInRArSRXtABJCIiCURCQsboDK0lPLjp8uN48eefwZOjihvwIiEMaiUlIuQEyiXxFwBFY+2R/vafvdf/utHb7yftje3p9eAJAqHRzfDsHAwR0AZCFAcsOxcJLIxMaqUxZwBhbkMwhwaTdg4NJse5WG7Scv7Fw9+/Po7b7558fYbl3ffI5tZfRDOGlBbKhsgRHcA9u6sJTIAScwizuyMQYIk6u+YoAu8o/WuJCdS5N1jN48fv3P9iTs3bt8Zd9sQVkYjIyLG8EWHFJubm8AURA8enbdKS8PaLLGY+3B84h5NNVpVUx6EgIOdgWW7rZcPX/nRG2/9+O3lxz/Wwz6ROygFwOWjo1bfee2NO0/dfPrGT/zwq9+KH7853tj98NFdn8sv/4d/40Y5/eo/+vUxIEuYNtFWLuLr/8Nv/4VnPnZ8kpc2n+Nm96EPP//v/urL/+0/PDnKPNVlWogSC2JoJvKlPnrv/d3ta7IZLu+fLWeHkUQXjQhzSCVvj46Y2dWWZc6SW61MpKaB0HShXA6XF48uzuqyDLmoVnBPLK7q0YgTBjNjx4qkIaece7Kpmzg3eTdNB2QGs5SzSDLVfv5xSmamuqIOvA9rHOqr/x0JsbPyiTBRXSrJLqeUMg3j8OhwYMnjMN59691vv/A1a1WYAcLUSQQTLVMVkW7CIV9LZbtxuWN/A6A1dYiUE8IK8zU1ToyARICIraqp5ZLUjBAvzy/cKgUV5pHyYod+4ncsKAT1NG3TxiIiKSBIRNX6YcvCANxH5+4+crcV9oDU2zR5LeAKW+n63dOUENGiW0uBhZkYEIils5yRUdWjV3n3ailcURwQIE2108c6kEhYAIC5g/r6geXQvarQ/zZ6RBs6i+PqYdDfAWbGLBEriQ3WODG6eWeoBkSEUyIzDA8EIoxA1/Cr/VVlogAM6zg26DBO9wAkIXGPFbS8Fky4K/RHljsSkVYMCOi80tUm23nXrD3HgNxdZYFYkQKTeSbORDkQoy03rt1KeQMsgFgSYjRre/NFhHpNK0QQMUsQcy99NHOtSkyu5uaGViR1g34XPzq7OwAXi3FIuRQIMgdhLGXsNp4g6j1rCKGmSFSGMu1nIkYidwvAlLJqZaEyjMy8LLMDdOoqCSGENV/T9x5CbL2jw+D80dn25Eg4NVdzL3nb6sSSwsOimTa36DA9luQKgXiYpt2Na61aW9owDHlMl/NyEKxHg98+vfb5j+ON65ZLolSkRHOLVrZZp5aQhyFDjYLl8mL68gvffvsH75Ry/fSpTxzmgHE0oNla2RYSDKBA6DWTkhgoc0IQFYGjUQDwbPFCWMilLQU8e4wOu4Gmdx8+fOet7//g9ct336rv3IXD5WC+EypLE9BQEwbVGAFwvgAWsyBOXLJjmAenhEYO6EhGBMTBUiRbuFNsU9JpCeIQWV79wd1Xf/BuyZZHOT69+fQzTzz37K1nHgMRIxhT8tAA9VALunm6WUBrxenSlkmB86W5V0sD8rbYQrrUpba83eYBbTnISNDm+XJvu+P8oZ+Q9+8d7t3LFO1i/s1/8A93H3r2I/Wz5w/3P/jW9x/bnXz65z71VqG52Q9/8L6KAGniIWq1aBhNLxTuv//H/+LXf/Fv/CfvqtZdXAJ99Jd/9dHr77z3e7955+To0Xv3CcWgP/ARAtCjTnPMy+XFxY6zqwICCUHJQJI3A6F0mEyP9i7LQoHEuD06CmuH6bAsCxM0reEWYIkSs3SflAcAYcpZhiEQLEKS2NJYxCCqWgAiYcq5B/LUlAGJOMAAEYjWhCtGt8cYBPanPvWdNpLgYZkps4JD+HYcel2HCCUSND9//4HNc8453HrQtvOCkcDNCLFbMDu+btVZEXuihYV1DwC4ln5apCQB4GE9O8bEPrNbtFqZCQNFuKRUbQZ3iFhayyl1M3e3QzJxX5p0Ms8ViX9NSgVAx46u2bj1pO2Ceh+t+4C4RucAw3CteCQiYl4jWWG1VeruWO0V60BrYDStTZLRq1t7w/0KnAAhdl+LHuDqbdKfD33bE+HM7Gb/JqWot/kgkod2Eyswrh0IK6zi6tcE7Ap+hAcDOARQGDpQU0eHAGgeLNRUQU1YmJgAicHCgQzcAgwRDRo5EZKiA/LK2EZGAGsdV0xNW5dNiBiAKVySmGn/KvEIYgFYwCJjshbAhJAYj5ZK7pEzAaVeXJUolmVKUTpiqbevEREosnQWA67uJEZXlZzDHRBNnViu4KSSkhAn4gQBDhBEvVuZgbpha/XiMpt7awqA1RcAGMcNEWmrbowQnDMJT8ui5p1221tfsmRtRhzuphpA4OaErFXrYZYtL61KTvM8pSStzZISBQWuCWUiCfDeR9Hzw1Y9pXS+P4dh65sEN4/kiZubTz538snnWtpsj44BGJGUvAwbIkcWBsjAROP3v/OjV1/4Hm5uHB1/FDhH2Qa0vXsey248MlfwEBYkAAIZWRLncVja4k4iDCjuvimQvJ0yHIXLYb589903vvPS2Vs/unzrzWRLWvQEIQG06TJUmcBcmQXMzVRYnMDVImIcN4nL4ewhEhGEAUlJuZQgXjTUQMaBpRDibG1vnjab5j4cbQf1Yh6HPW+ndn7/4Y9fefAnyLvdtaeeuv3cT9z+0DNH108N86RRo+VCRyUtAo1pGqRBbGW4PCyhsJ/2hKnk4xlbNa+sPGbPInjMo1G+dfrcJ+29d5Yfvjq989ZYLgeL5bUffed7r+tssNm8O1Z+5a3bP/2pGzfzycX+xW+/KJRRa8oIwAQgrZ60/dk3vvzj5z76xC/8wj21SeQdh3/rb/1nfzwOP/z1/+/Nk5N6uNRFExdmqotSRkEoOefdBtSc2TACgpKUXEwjoolQXz9b8+129+67d0+unVxcnh/2l/vLfRJmxDBjEQNdw6GAFm7QmDOXnEtprn25QUy55P00E2MEAlCECnOrLaWMEZ0ZB2t4NYiJWSCsWQVJfZaz0OgeQydOGRmHTdkfHm03m7PzS3AjpJJTtIaqmRibEkCAM7K6IwQzGwABWACvEMa4wjIj9PNMQ5jVjQF7NAqsSZam/fMRoGpKgZAIIjQsgNAUiTkA1SPnQhi6InYigADC3AL69zuR0LpFDzD3iN6Zt15FvTaxF+fYStonoPUmIOLwMLAujzNSj6bGFYc1sAd+1saZK6YQracvI2HHqK0RvOjL6JU6BH2nEIRE3NNevbhzLXX7gPKDTKpKV8C6td8h0COYewLJI6BvwXqlmq23bn8VkXogEhN1dIyt3HuGDgskUm0I0VH6hMjAEa7WmCQJpZxUlVEiwqzR2kPsbi5CCGDhtTVmdscgd3QHh2ADDY3ELEwiHq7muEyCiCISKLXOAAoG0BuuE6sbBa1e0rgqxewbrzVrzd5dqG6mwNIJ4yApa0AqmSUhUVP33hWsq9cterVehzq4WxitEEdCBGQwMzUjolSKal2qSuJcBlXtYo4bIDghCveCTDO3XhnhANsy1GmZicuQEAiArCkCTodZhFdMG0uvr+LEyJiR5/1MnBuaHG2WIR1y3n7sQ8NPfBhuXdeyY95G4LgZ5mlijrEMsMQYhY3efO3ut77yMtC4ufVh5E2EtKBa67Dblozq3rQhIyByESIMtM0umxpbPUrEKXltXJdrhFtC0cPd777y8re/efGj1+HRo2I2mN5BgHogVQ5LiXlZkEKnlhOZHrxpEhaWtqirgbvqQYm8I8wxELEeQJN4ILCIlCKbFAOmTNbMAZsX4rjUJBwIVRtOiziQB1WOeTp/cP/9773yjZzzye0Pf/onH3/6ydvP3D6fFjQaGU2iFjxY7F1xjMTl5rXy8MEyH5BTadVcJJwIggIcFThdWgxPPvWhDz1u9947e+nV/es/HpLzrAy231+0s0cPXk0nJ+N7D9+ff/jqw5dfO8o5GaaM+9lMig0bd9/sxpf/1e8fPf04P/n01IaLEd5F+JW/+Z95w9d/4/93+/r183fvLVqJKTIh08NHj4ahlFJIGOGqXhuJc160ocNIJRCaqpmr2tHJEQHUuhymfcoyLYfMwleUsEBw7D0wEExB6AhtJeYAEFloVUXigOgdaq4BiCS9NxvNkJnVLLp+iy4oXSYMghbOhL1tGkWcE1DCnCRnRF6qqdnx8U7dlnmmjiJF8KYsCEBWNTEhorYaEMCYWIjQ1cKd1xOWzD0xe7irlSTgoaosAoHeDKLTjhEATI2YSCiXYZmXkjN4pMwzYXgws68NaB4BDr1OeE1vESIywRVH4INU1xqeIoxYJdmVwbw6clbuQ38KAPZkAa0/Zs0DI/QeHVohbACEQO6GCN6p4IiGLhAd1ANXOGfENVHVPVrgq9F/1SK695GAPPxPOUI9qYGATBDYe5EA17VS3yMFdAxOf8QZQ++bdkALQGIOdzMXkX4vEHSapKkBIYKH9CvO+zsCCucuSIERerdFY2+B6NZVIKYQ++B2WVNtHuEiQtG5ecSBEWGtETFSItyyjIv1KbyEu3CmYWNL7VxCt0jEroGEvQtsFXu6WbaHMaIzIwCQy6ZEQCql90C6A0RQ6iEwJGFTa9o2m+LVHYIQkIkcArzf6+COQu4WHoTSM70ekEvWpikNphUwesrSUbEzkegqlmGBSBjBgHWaBsK8GS+nPTO32nIuWURbU1c1lZxzSn0T1TSMgAZZJKYi9vg1vXl98/nP5Ccfi3xEeSd5HMbC3k7GMUPIhR3n3dmDsy/85pfqIttrjwdv0nACnC4v9nmbT05K00ZAmdjCKMlwNLhblkTiWQiSZLakum2WzHCqj374yg+/9Y2zH71Mlxej2WOBUGe2Fq0RAYN5VSRYZs3Moaq16uK5ZAvz2rQRuEsACYRqx9kSsbm7OydxbWYeSO4IrSzODTBIyrhhLyBpqqaEyBQOsSQp2QKsVSQSDeJ9zqk9fPD6vTdeL9l3m8d/4hOPPf3UrcduHx0PTpIJBWGTsWozjRsnxY55WXR/iPODByYDN7WyGTTp4XKpOVcq480P8c/eGp77yPTW2/D2e+P5/Q1NenGhP/j6y69+h0VG9KNE4M0QWrNlc7QcnTzz2c+/9e5b9Y3XSMq3v/DFO7/wZ3fPP9+kvD09VDz9i3/7P/+TZ5/4zt/5O6dPPm73H077w7jdzWrjsJGcewGfMBsGZuaUHTCX1KYajJSYupGBHIjOD5eHeQoIB8h5EMRorfPfmlnKslgjojIMgQTChkFJTF21SUokBN6qahK5kuVQzTMzEqKEg2NvULbuGSViRBD3IAagjhynLuBPrQXSNDcZ8n4/D7vtfl6Ojng82rXWqNcgQ6+KcqK1LKTLooxstgoL1Nv3zBkxUV9yeKcr9qAZ9YRaADoxYyCGuwgBIRObGXHf2fRjE5BwaUuPZiJSX/My88qrI4a+kQ+8KgdBgrVK4YNgQN/90AeQh47ljBW8gIRJUv8LJ4Arn2hnxsFanExXHKHo9ktk7kxqCBRiAEBtllLq5Ll+1eAHnLn10Axi6TAgRCQmU79aTMWqtUKXtR2uUmnmBr5OwW79hwcx97ZcRghad00E4BHEDIy8luBQ/8D7H4wjCBICmzUPEGYSvlpOogcS8UrMIOQPLALrJwzUHDsUG9eWr07Za9ZQhAS0NUkbcDDD3pbsjlmym1owBFmQq0lhRhZGbYqIwry0uetUfWEJ0eVmIqQgQOSSCxAvtXFJhEKDaHPpixoiYcFAczNz7L97QIBgJg9stfX3jTAnkSCwUFUrKQVAVQcQoowJ23KwpoIAGhRAIp3LaGbr8GEAGAy8P7+EgOPj3f4w5ZRarRyYmTGCIHHibvpy8yDOJ5uJGK4fL5mPP/nxo49+KHbHkY9lewTASagI8YSFY/Bkh/Zb//iL86GO5WY52sl4XDFdLo0TbE93lLgt1d1JkAnTOFAm00bgY5ahkDhCrccWO7T3v/fa977xwtkr3+dpfx3w8TrnMJ8mIQxtXhthEKA1NVewyIkY4/LywJkJMKxhBBOa6grQVYRwwHBzdF/J61oRoIhEuLpxNfLOX+Jlf0FJ0jiOuRjCNNdhGCRlhFQrlJyZBMymQwX03VB82Uca/Cw9evDowQvppaOj4ztPnDzxzLOffv70KLGglaQZ54aXFiXx7qic1Hx50fZTc0ADxyKSUPfz5WL7ABzH/OTj1+7chnfuHl79/v6VyzxLinaSyOoS2hJjC+DCjy4P+Nidv/a3/5d24+ZH7eL+G6+9/fVv3nvpG68n+uztx3XgoOGM9NsP7j//q3/505/9zD/8X/2vedie5s10cYHMGNCmCghNpxpIlMZxEx7L+QWMI7jNS5DBUAYLC/VGME2HeZqYcJnncTNYs8Sy1JpSEhEgREdOKQ9DIAKRu4NRRCBxtbbJG9UZ+zpetY/d6/gIiMx1qW7OIn3zqbVRYH/7mruQIMDclh6XTSJZshJLTu3sclO2lqxqA+otuxTuVzQy8jDseU8K7MAFigAk/lMIc1/KRCAjW69yZOpJri74ETIze4Q6AkYQIgEzEomaM6JD5x25EIMpAHbPNxG6mwckYQAIB7foBeEAHgRmJiQIHivVeK36itXm35fwgR9M6gAstCI3VuYmrPnpjmJGhA+sJ1ekZndnplVEuH3tY/1qYOKw6JMOApBQrO+SIFnjXasxFLGL1CuwG2LNm13VFyAiOCKAgXXDDSK4AxKYeUrJLUjQvS+v1yq4q0Qx9n1RUxViTtLfIOtLiDjAupCLDN1amUTMjKgj2JxFXAMBLboZbbU+eRgiIaO5I4K23u3eTVIeEBEJqYSd3Ljx4YYpUAg8fBE08L23hxAVwBAgMXen/zQf5roHCOugpG7wD9LQ3iTX3I+unaYyzEvLm9Jjf8RCJFUXCBjLMM9zgJcxL/OS8+BXbz1iMVUWIURVDYywIO6htuYaknm63A+lYOjh8ty01mmfC/dhpyM9+toOEUwhpwKEzZshbrYbLmk/TUxkauiWc2nAIARhuYyeaB+u47jflONPfTg9+7Q8/cz4xC3TUo43nPTmjeMc4Yfl5vakCP3J73/t5W+8xts7ZbxRNqcVyQVlHAINBVMqam6meTMAo1m9duPEUYfCY6HkutE4MdGLs+/90Zfe+fbX4t7d0XUTgIfLTMEQURtGuCkTkAMC7C8viSnQlmUeh6E1E0IDDY9xN0wXe2YmB3MjZmbpMY5VuVmrlLy/2QI6ATcQKABWUxWCmgcyCjsgMBMLDcVAFIhTJiK1cA8UceFqkcoQ/aw6PqKj40vPuhlPn3zy+U8/f+fpZ3E7Hpz2FE0coVWLeYl5wWmq988P5hiMHo5zwGJ1qQATgJbpcIJu79y9/N7L/t49ev9+aS2Wg2kdN0WtnQF97j/+G8/9+b/01jxd7C92OF+X9of//J9d/OgVuPPkp/+df6/cfHKPY0pD9vmpQW42/3v/5/+LvvClm0cn7d59DDAPTJkGqGoRCIipw3skdWs4QgeuuDZl5rYsxOCtp9nBTa1pnwtVHRKi8LjZcpbeakjCiJyHfHGxLyVDRE55vz8kJlUNc7lqjPH+QF5RxNQVW1MXwtZaGQd373AdcDQEGsZ9+K/81V9Lu9077741LZU3+fLs4mPPPfXqC9/8wVe+KvOC5omEGLuPPgDAAgjJARg1uq+dCDHM+8TZVVZzA2IkDOg8LmnL0g2mwoxAa++BcLei5pLMDD0AYn+xj3ABAtPea0PEK0AhAgWZM3EXRRgBEUDNPIyRIYCZ1ZXpqkQeo7OzrautAMQizEjkqzGn72aQidbxmqVfB+62Dse9OTio32DuQYiyukFZIHo/PHT6Rri7AzE284LcwX5rWplWtdPNOVEYRoS6UZYOtlubfRBB4epJ0Ud87N9vKwAbsHuFuvt35XzQaknqlthYJe9VSgYC13AA5vWJ0y+53plFTO7g1nEZHRQUXXXXUOxBuP7XQJJS/4yYaR8HzRtzIiZkImQNsOYEyEVM2YLDcEjSA18QaKaSJOZ+BYbkVGtLnBAwibijoASBNSgDM0cEMrOGJ8lNtRu8RISYzLy55jIAYBJepoYEIjRXE0kfvK4oYV2qYzCxFFAzgM7mE5Fhf7gsQ4FwQCcgUwcCiDBXoZRYamvCDACEMO33A2yGlJfWJCUCCcZAVPNS8sHcB54oy52bR888Nn702c1zH5rSUeOtJN5s0/FmU6qnpo+d3vjKH3zrpe98P1SOr3/I0/Uou5kYmHmQqo3EhXiuCzGXsai1cUzjsM1o45FsiYu1ndHl2+985Qtffvf735bzh7u2HKNDm8AauoF5YAjRsiwhBszTNDNxSLRQD8ubUpeK3RzeqfIajMJIEdYD6GFuFsjIxO5uYeGRcoKOvQoADwpkkd5n5maIIYAM4aYB4N7QBUGDCA1DB0dmYUTQpQ55ww1i2pdtOj+/yNaSwTYP9bDfP7r46suv8fHx4x97/rmf+tTpY9cnBcAYwTYCSukwDEen46OzaX+51IaOINtRt6MvBDYt7GdAJx/92BNPPKlv333jS3+8PLg3Dqk+epD7bhWi7rWjzd790Ru+weEoff4XfvnNxx9/7Wtf+84//e8/+sv/1vjsR51oyfiu6b7BX//f/x9e+8Jv/dH//f+2OdntKpyfPXBoR8Ox1xYAuWR2U7daG3fmLsKiRojWmhNBhFun3QYzMUnZ5loNBQDN0bfDZthsgHFZKgAwskegIxOllPb7g6mVlDEi0IKoQwV6/RYCqUd1zSA9UEZJrFYiaa2BhwwZwQIhCbvHbjuANUHfjfnBw4enx+PxyZEHppzMXYBSluh/3mvuCIIc+l/iqjt2i9EHlY0QQMwGgUQk3MtL1A2FwRwCzIwIAZFTcgw1S0Puw7GDlyHrg0dDyaaWkphqX6jgildzDBFmEuw7FVihDsDE0W2KEZ1wB+syBvr+pq+TRISY+3YfCQkYrmpw1YyImdE6GAaRSPoKCBCICByZGRCEEQBlfe+vrD7wgLUhsocUApMIIiMCIvUiAjPv98HazEsA5szUTYfdzWTmgb0dFHvqdRUMemUB4FWdcBCyRQdeI5OsWrT52iplXbnu0/3adIxdfu3XA62Vyu5GKAjg4MwCDuAOCA4OvcJyJUyhezhoYgIEQOtzBiGhCASAY2vQ+knigRSmwZCARnNzU6L+YnNtWkpmFI3WLBIjMSu0CMiUO+wTkfeHPQ/ZIdAtAJCoWe3o7GZNPRMRgjQz80VYulNbUo6I7dF2f7nnJOEuJM20hxkCoKm22ojkMC211k98/GOvvaZaFwyNqKrGvWTDo6RiHlqtlByhTByElOjs4aPdyck4jOcXl7lITsN8uYAQAS0cttnorZPyyY/snv9QeezO7s5juIeUx5OjUsJ3VY5zvvvGm/+ff/C7uqd87U45OYGyAykGiKjjUTJzlhwEQJAGAbIhcUmy25ZxZG912/S24Pmbb/7+f/db+x+8ulnaLbcS1i7Pg53AwS0L10WRA4MJ3D2W/YGR5nromFarKkzUsTgIgegQrTWPAPfuzuoDHXIgooO1sNVn4WtAvr+4unrGneGH3IdF8ICwFckl5loNglmgLcQpUJgFnIgpW1hAmy8HRpymiHPgKWWxiHHYwHS4d//+Wy++cPTME8//5M89++GnkOkQWtGEEAyu7dKQaD7ofGiIIEJRNj6L8qCO7+tyMYzjM6ePP/bY/MZbj178Jo2jHR6BN764/N4XfvupTz0vTuffevHR/XvfPZz92b/+a5/6s7+cx+Pv/uG/+sHv/PZTP/k+P/XM5pkP7UuKkl+eHj7zF375f/5LP/P//D/+nw7feGl37ThpbYfLgfPsFCSIjLZwQLhR6rl76N45gJCcIAJjnTfVgxI5emsKiMO4S5vBIEwNAIdxCA03barCMk8zrd+WZqqunoQRCSJYZCUwduAKoYa7hyBzErWGyCS9GLKv4xkRF1UgTCmbuTBra+AA4HnM0JpwcjO0zrr06Niubpc0X3cpBH2eCyJwj47Acetbl85DWE8kX/u3utFx3SsBWQ9lAfbuI0QSljDwVX+jdcYwg6sCMHcDW8FE7gEUHiHUTYB9a7mO9VfL7V6OtnpIARCok6WhQ+EAu/q62kmJ+q0APaYQEL2kvv/keIUZlb4wCgwCMnOSq1+kL/pdO0mub47cnRADUBKvieH+3oiIgJ7PilgjarD6i/oz5IMasz6y9+/J9R8ScedV9NWVu8Uq98CVYbW3ijsLmV21H/d7LcLDiVZ/1QflagHr0c9XdRMAaz3L+oPBISIRdzR3dJINhEbDxKZImAgVyd1NRCSP7o06CnhlnbMHEDGY8QdbBYyuBTEjRDADB8yHw9HJcRBWNWExc+zMRMelLjmnVj1zmuoECKBgzZG6nbqHIdAjSAiVgAHCIaKpl3FTZ731xGPocLGfS9n2kiZwYpIr4Gw0bUJJUumvIqRAhLYsY87Lfm9uJQkCzodluxsboyXWnNvtG7d/9jPlI0/ByU0+3i0ONx87TQ5w3p699dijdx78xm/9D9P5Zd49trt9SpsjY1kcBSkPhTG0WSBzkgDLgzTwTUnHI57seIM+BAjkez987Z//s39R3359W/VObcUWbNW8HQ8UZmHOQm6KDMBxmKYIjV4VDY0TVG1inJFyUHMENxRCYuvSEwR2x5sFCPbvD4foNXmwUqz61yJA3+RQN9sFQSATEJi6MIL2ayDYMDDCHS0AAiXcjcXDQRLVWoXFXDVc1KHq7uh6w2jNl8sqA2+Od/wA54v9n7zy1os3rn/2Zz7/7Kd+QlMmdCI/cGTGkqgMuc5tqkviVBlBGBNBpenQKkIpJ8PHN4/fPD1/8aWLl76zE97xsD/bf/Hv/Td87Xq99y7XKjdPJ8ADbo6f/PD2xkvy4P7liy8++OGbu0+8//Ff+LM0jNboni/nzv/xf/Fffvt3fu+bf+8fULvYbkc0b7oYtFZrCspMiFRbg1hNxuMwAMQ8zZKkr8W7/by2Ns8LD9zh5YCoaoAYSB5AzP19D4HC0gHIEeABJAzCQaCzejgz9Hv3amWnFoYAYynWwtzSMIapAxJLIBqCI/JYQMQAgqmU8ezsnEXmaQYRCCRm14aORLSWJq4Oxq4RrtokAq77EQCAMPckCal3dUPfqDh03JlzElxhPOTgibm2mnPpWx4GSpLAPIlE2NXgH4RMxAjUzf+dkxrI7gbRswAd89xPZ6CrEzO6+ZKp1yHgigvC7k2F9ffT/0VEXEXpK/MO4VrNB+Ymkq17OCN6FUI/Jfo3ALoZE7l7zqXWSkjm7urgQMIQEdjrABAJwxwAhDkofKVGIxF6pxP3lVFEfzp0ZVuEANG9H+HrnRzuHVHk7hQEAEj9LQYdC2V2RV7rgOz1+O/f4x+Uzfe1EyG4hfftflwZq+Aqo0xEvUsBV03EMbArAKDGJNZqmIGn7tt1YxSq2nIqQCm8haIUAl41nKFsbA6IXgRBJIwIBt3OGmEhiK0trTZMuX8oIlndiZMYXqGu+sfJiIklmWoEqUW4Y2CoM+dwAcRlWnaboWpVlMSF03A42MP37x8flU7oI0VtgUAYYBBgXYQPN+8HnHsAeJLcLOq8ICUq4hE8Fki8txm2J/7kref+7T/Hdx5rZdxcvyZDZspD6K3NBrT9y3/8G2+9ek+O7+xufswow3ZUEhTZDkNEANFSW96MXIbm7dqto8Wmk814MvLWbet2g/jszTe++Ov/4uKVl07UjnUp4bFMktG0JmEwwwAMmKcZwpobolFzkT60e2CEYXLMjIyQ4mrptyZSgIkNexYcnPuXBkCs0VHo812stmjrMTrooheQkJoSuTAhA4ARQwAwcFcMC5MHujs0HxitTZlxOTtstpvaFkYIM0JflsuUOdN2C1QB3aGeXaac/Ozs5PgaaPvWb/3OV//oDz782c//xOc+s9mNU8DMsCR+kOkhqhu6w7DZJauH6aGw7Y6TaiyBs0jkJ24cX9vcvPbo2y/yvXubqn7/fr333pYZxvKRn/7pfOvxOg5y68b+rMHbD29c02ev33j9O1994Y3v/uQv/YUnn//0QXf3af/+xfT4X/yLP/0/+dX//u/+v9//g98rxIMyzjOFDySGUBdNOfWAJ0A0rQScUuoBTjXNwmFBSMMwOMVU27YzzB3KmGttfjUpKgQzMou1hYVZ1jxQqzVlYRF0QwIORFrb0ruYhwxLa2GBiPM0DykREiWBIVHOD/eTbDav333r2s1r9y4vLqbp9lO3iblvn+pSM0FKvX8xGCl6Q3G3I66lOj1BRLDiz/pJlQI6sCuI8MrC7iQ9KIHgwCRI4AahLtS9LhABxIiwIit7wwz1kvt14cw9pkrM1BfVHaAWENh11542hvWfdV2i69Md5bY+SIEQCLkP2XCVx13/JiLAuygLq2kIrl4vuEYJEIUYEdhMAVxI1A0wvFeOQTBQD3/3dwUx9QSEhjJzz/WuG7x+occHJ/9aWwBXavX6CvE+iK9e1s7A69fKmkVYPwH9twBu1gXnzkhSNaIPmNmrb9XUMK408u6MJexUBuI1fubm/c3Rq+MAQjiFm7kRMCFaGBAhgXt1OzDlpeowJG3uphggwUFJFRCROaOroQtThMO8d7dUZDVBoTOCIzgoROQ0OMRhutjJqUhuajyINwthyWwe7qDmQ0oppZTzUAbG1hunW1UmUa3DpniQNoXgnIcA2mKuSx3TuEzzkMu0r5shgWeWUrAsywKE4Apo1i/qgJJSuLe29FICIjo6Pqo16tLkdIixXArpjVsnn3z+sZ//Kb5zZ+F8enKaNwUMi9Eppe9+8evfeeEbmY+PHntGy3GMR0gphK/6IqLnzofdDgQctWwYYblxIsdMm0VvyGb/9pu/+8/++YPvf/t4aU+3lsBdm7d5MwhygEOE5pRM/TBNzWvOxGS6tMQsvW9HSNUAoEgGCISoVvvbcX2ymxMiCfWdX1pFNoT++MbeV0rB1CXB9RnLa0ASwoUZ0cGcApoaMUN88OLuP9VaN2S6YL9SQOu813ASAQ8MJHW7PK/7KR0diYzNrKnZUiHM4KHMe06JcPu9L/3hd7/9jQ9/4vmPfuITw7VTKrRJgdfz8clweFTnc5PE165v275WxU5CPZyfNWE63l77qZ8+efLps+987+KV1zZtKjqH6oPzR4fLy8G8tkpou8cfu3z5ZTONzcNPffyjL/7opW/+xj9pf/Hw9Od/XtO2ij6MuJjbz//Nv/HEf/rX//5/9V/sv/ciIRznEZwXXYJQe68sXHUWMmjTPGRrQZJaLwknMHMeym43lnGzaHPAuSozm3lT68J7AC3Twtyhl+SAZkHEZmiqksTMANc2lQiHIBZuWsMdgwIxD7mqYc5QcktSRX7pr/zK+bzsbty4e++eQTraHQOx94+WSEoit7a0lKif7f1MRyR3677J1Vm/DsIRhH13be7g3ns9/vREQ5Ii3SEK65kWAZFzNnchdjPKYmr9oZlEutzqiHR1ErobBlCwuf0b2xFc1/rdgQkIEBZBDEwiSVZ/aN/30JXlMIIIoY+rjOHQ+T3QTaAB/QJbY9UIEdZvCGEGQAkLlF5K2TdJfc9OYZA4RQB1bz+juYswOgZGD4j1P1F3756fPw38Il2dOf226i/tNRq8Fg0EAK5CrXe11x15BVD3YTaukhDUSafYwwfUo1i4ljYgIUNfR4Kra3gkSn2tw7GSi7pty0yFOu4ioPfS9NpoZMIO74+UoC4X5ei6QwIkEljXf0DCg8K0Wv4BCQkiECCRuNdwRTAPZZCoLpzMHRiZScxUjQFI0BUxIicJNwsHAyIqKVMEg6NXrU4K7iAlBaIwgyWvGojkOOQMSMxS3Ns8e1tSIEHabrdmlorkhGBGWN01tGKo+uxo4BggGo2oJNkAgKmCEXiVwoZ4hmZP3r71+c89/vnPp8euOebT41MO8EvdDeXR3Xf/u3/yu+Cyu/URk23sTqnkQEqbwd0RGYlTyo6+2UoaimEdB9mdlAT1BOAxY9kffv8f/aO7L3z5uE13yLIbmC21jYNIya0uQNC0bsZhnvZtrqGaMwiTTpY4E8G8LJkSGBAiM3qYmfaZLSA6bqN/SUkPD7ozisBV9JEYAswCOwuBUdcvDvDwdbQKXeckh14+K5KjWyI8zIz7E76zuRkdXZjUDMEhTIhCjT2i+piSzReC0tpCZbu7cX0hNwMBWWY1jZCmViWXsPbW17/6zvdeOnn6Q89+9rPbJx7LFNV13NjeaR9gRFQ2eRh0Oozsm+u39aCq+v4AeTMM167RU0/vX/u+v/tO3H8AxJf33r9+fhaCbX+ZwoHZzpcff+3rP//Lv/T5j3zsa3/8hy/909947/vfff7zn9t++MNnWQ6JZlwkb/7Wf/lfvfPq9//x/+O/fvSNbyfJaRScFgT3xYYhu7uqWbghzGYaQACJ0SAksRNBYhdpGC6EXZkkVjcQQSZVSyJhbgBI7AEgQiLu1swpyYJh4TnlFirC2qxn7ANSUwvkFs5Hu8NhmtRyyZ//uV984iPPLQ7vvHk3W0wLLOo37zzG5DQvrTUAUmsE0dM2yKQe2in5BEKstkamuvdz9al3rhaQY9eIggg7yoUpiWRi7O230Vn3TO69gJ6CIAjNzTG68UBSQuwWRGYm4E4slu4vwt6mGIGBfbrvdvV1wu+iAlIQBmLfrAfCOq70nnaNAIzePGWdfUfhxty9cisLDtbiRuxmGQDojD2J3iNM3F9DCOjhSSQgWMTNu1WOiHTdrELPrALACkDoMiz1Wx0+WNQgd9nFA2CFB0VAV4kxPJxp/Z1eDWjdxE/IH1hxo0XrriKPoKv7qX+LmnmnSnTPEoqAgakCoKp2DioCmhsQECVEAI7eY5M4uWtf7fUPi5jCFZmI4zCfjceKJO4gIuCcGNyWIgw8tqZmwP3N6g4ApUQgOZq3xpSZJCAIU6AjgioSCrhNkw48IrBWkCSBEGbhwZDXiodgcADova8RLhAOJtG4A3O8eRnG8/fnAB9L3uWtLtoVJ3Q67I1ldI1wHcbi7klcrdqyTwXyTsqQ6tkDQCBJbi4C7jYcbS68tk3xJ24+/Su/ePq5zyxpIClH4zF77CTvD9Pv/pMvLvfvp90tytvY3fRclHqrDJmDB5Qxo0hrLW8SCgIt107kpKQEyymXXWvf/I1/9tqX/yA9PLujrUQVd0JDjuFI5mly4dYOkuToaDxcXELE0qYhZwCYL2ckRLBQLLm0pUV4zpmQReSytgjLOSOvFoMIh/A+f6i2XBIhVa0EBOE9WuOAsfoBAXtZsSAR0/qltNKyAFzNEmfEECGPCHR1w86BSezgsKLWrQOZUEkoBYGruzcmCWhorrXNYPnoGA2azqABqaQ8oGkcVM9bPt3lxoeX3/nK99+9/vyzT3/8o9fu3K4IeeQMNCM2tAlim4aYZgFqghWplbh02OSTVPj42ubw2hG9dbe+9c7dl19ZCnziL/z5beYfnl2WtMFopeSv/I//40/+2r//03/xV7/7x196/4VvnP3whx/+cz9/8pnP0PaUsuxx+fEebHfzP/rf/u/a22/9zq//tw+/9SKlXVpqKTAvlQCcg1LO4+b4sVsPLicSAley4Cy+aCBrxGHoDPYAJBaJAFM1RERqBJ4LAjhzEGqzQGChUA9BM3MLy0m6EGhmAEpEvZSc+Ggz3njs1tPHJ0fXr92+c+fBg7Mf3H13WubbN2+/+85755f7Dz/37O5o9/qrP3z8+Ojhu/dT56o3A0AW7m6bXr3YU2TCnX3wQQYAw8PCzCylQUDMwS3MFQF7KjMw1AEhzBz5yqgjoq6JBBBTFjPryxBZS7wdei4WQVWhgwPWfGufTf1KKw246kxBAibua12DteCbiLprybsVNbC/K6opAnh/4cC6QYHOFbuiM1z5Jh16FU/nRD9+45PdRhre9Rwwc0JxdybqV1xXH/yDRfzqyg++6i/2zrlhvBrlewloEMlKf1tFj+jvdDP3q81af3Z1K2Q3ZSWR1bOF/dblwP5h4Af9x/0cv9KrKSB6xG6Zq4exyDCMgW5uGGyhgEhI/d5y8P6iiHBGSVIcAgnqMudcAsrU4trNzzidujIzoU7MBjZtBmKH6fCAKHJmVW3ViFhND/Pewpoacv+FgLHLtRqIyEnNpZSy23b5pKfYwgEDGaT3/XbpuhdeuXsQuaGIQIRFQwJtTkRuRAQEBuHhHB4iAkBm4aFEpLpIIUKYLjSXZG7AFqYkOtclWqRUkuTWZiWPUS5K6DO3f/Z/9msPr58etgNhPqLN9Szi+v0XXnz1G68inaThKB0d0fZoIUzbDQEhp+5PkyHzkIBZccHBj482xwPvIm4hHoV++/e/9M3f+s3NowenGKmZzXsEH8fMhIfLCwIiinE7CMFhPphrm/YpCQIty5zz0OMhc5uFed0xEpY8WFi1Os91tzta5jnn3IGD2hojc2I1QwcmxnXR74TkHoBu4O7BSVTVtI1lSwhVG4Sv+XyRPvPj2piNSVjNal2Y0cxTyj0TBB7EhHFFb4FVAyNkCPAAZDHwAO5chPHo1DgtSi2QZTDJB1PDIkdjKmm8fqrANUnNebh549M/97nNjdvniIewyfSQiwAv55c41V0aJ2sPdQZBQci1bSPmu+9MP3h9/vGb/vAe2Cybk49+7CPf/fJXj5dIF2cX0+Hi+Ppn/uZfP/nEh6f94a2vfuu9r3zd5wdw49pTP/lTz/7Up3bXbgQOl3PdjHoN0xFTu3jwrT/40je/+DvwxntC5ThzLPv9Uj/xi7/yyV/8mZffuOuEIuuznQI8mJjV56bNDQCRE7nbsp+JsIyjh9ms4YGEnKVWBUIpGTwkpXmaUkld3GxVhzJEgDbL48ilzK1Ronmu07IEgqu2prttOT09vnz00Nry7Ieffu7ZZ77zze/Gsn/qePuP/69/N8+L13kzjm3WHh9mZIUVFAzh69JwZSqbR0BAYhaW5haxSkqSckBfAwCJ9EUOIDpAKWXR6kG5cG1tKAUswu38vQdgjuAYQUDE1Ikv3eQCCCwp5bSuSVZnP7oHrMi1QMLEGajvx6G/AwAAkXqXWXfSmKoAp+6fXNXjde3Rj3SP1f5+lcdSIkJciULSdRi8IiX0lRYJBXZ3zUp4RkRmifA+I/U3VH80rBYbCLMAB2ZiYgvvOk4HnPZl0Lo18i5fIK5ga4eeIANwj15DRkH93Q0BFr7W33Rd1/t2bK3TRCY3J2ZvauGIER7LsiAhJw43c+f+AEwECMLcOk0aydfcLnoEOYzDqKokmjI/eHT3+o1jJG6qWYp7TXmc28wBINsAOyyKOIaAs2CCsRwDUzVDoe53BqBmDTDCUSQHYDg6BDM6AAGTyLwsGMCcAawX/woTEDYLB8dAg/AQd2coTBjsAUE5IVK0GQmaGxK6hpt3+AdQICetzkyUBSh1lT8PoToNaROMZrDMWHbHKfOMlhOSXH/yzkcfnZ+NOd28dnrU/Lt/9PXvf+1F5HHc3MDNTSsltuMMjCkFSsfosrCZUWLDYLZr13fjFrktp4ZPSH77hW/97m/+Vn39B7dNt+HglcBKYbdwrdM8CyFEY2JhMFXXBuFJBMybaa8U7TmgzHmdx0vuxr7WWlxt85IkRu4+Y5GEvYfKu91amrY1VQQAgcyirXZc1CprmfYlI/am1s7fckdANesJDkAgwpyztkZAHWG6Xg4rSawridFlql48EhBulXi9fjBoOrtvKDzsxmGD2GpEBlJvsuC2SFxeHi72MKTN6fU2LV+5e/f2xz76xKc/NWxHHpJFC7chZ07l8v5FHvPxLl8eJg+qKI4hjz1+enIy37g5vfqD8x+8LA/OfvSlr9j9R+eL7jAQCWye3/jh0x9+Sjw9+9STN/fT/ZdfOb/7/vv3/+jhN7998yeef/KTP33jQx+6bOcPuc6QgIdP/6W//Bf+6q+9++prL/zev3rlK1+BOoGFH5+eUZ6PNtpj/AEQvQyKSNCcva9Ukyy9271sU07TUoWZRiREM3dC3gInrqpqRkzG0qLvBggT7fuFzXQAWA6TqibnCMI87o534Z6HlJkf3bvvxJ/7hZ/z1r76ze9B0+vj+M0vv5Ac0KKkYmos1CVS8KDOVula6epNie7n6TMzOFRVWA3C0AWz1J2vHZnDFOZmTlm6L4h7mwZQp0owEhH1raPVFvQBGrUvvLvSHeE9b7vie80A0JmEOkW/vxmwk+jQqe/DITggwqEbh1CACLDvybvsq9ZW1MSVXtCJCRARFsykveCMQEjw9unHu5+aCN0c+1AP0qlw/SftggQhdSYEE6oadW/inz6eeodnIAEDW3iEC0uXcD2CmQEwYIVUuBsxB0TvHDZXRnZwJnY3Ve1aTZcDUkq95RiBINYbDRCunvE97uxAUJdm5g4GECUPnMg0mNkDkNHUci6mGuEpibsFMLOYBXeuKKJ5oIznU75+/SPE16aGKRch0nbJaBmpfy05hCpyEkQxj54YberQ0yUIFKKuAAZAOZVegQkYa6FCACDoWkjORD3sFqvjtufQkSwMutnUDVewRagGI4FVRJy1gTuHCXGsgkc0qwEmQtCMA1mGqi0VMGvoCI4W4iGYk6HxQLGjt+vFT//an7/zc5/ko/Tqt1783pe/VictJ7fw+DYf3fDN1sdCWVCyAZYygBuX1NxkTLhJciRZYoNwK/NNQH377hf/wT/ev/zyidu4TNIWoibsGJ4E62Fxd0mM4ZRwGEouaZ6XB/ff3+02rVZrah45SZuVmBw8JbGmJMTMAe5qpg4ISSQicsoB6Oa9esHNpef13JilLlVS6gm42hqT2DqCcetdgPSnIZvVURY9CgytNSIBDCQkBJG0zPNqovjAz0DrejPCg6CnHXsdYTdz9/mHWLreF8TBTFwkFePSpCgSIANlHkcHqGzIjKXgWGYmuXXjI5/73K2Pfux+4N59NqyGCIyqDgszm+fFbFKP0A3YeGhx797977xYX30F3rs7uvl82EmK8PuHeffME5/9lV98+Gj/4h9/ZWg1LqYbJydpNzyYLi/qHobxmU9/5uM/+zM3P/6xvfJFjQp1MDwdxutD2pq/8+orf/jbv7+P+onPfeZRq5REq2ptpQiGaw0UpETm4RpBKJzC13MHsC+zwcAJKdDDDTCIJfr4zSEpubk1ZeGSspuHmmRmIW9mugjJOIyqMB2WaZnnw+H2nWsf+shTNi9333i3znu/3D81Dr/5X//9cT+TVvD+VSEYYL1mktHCySlciZEwxeqaAehriUALcw9hhj5NQ6ScIVCyGDgidaM+Je62Y2AowwDd8GhO7o/efd+WKkJu3hO6H/h5RCQcWJiEibl76BGw3yXIJCJMHBhuAT39GgG84higL9uxO9O6v8iQcT2oAYW5toq0/szMxJwQooesJYmtT1UCQLxz81O9erjbYXvTWzgGoPQ1xZVpFgLWIt++kEHsDqc+RiFSFwy6NxPW0gZEJPP+bcbdXYsrOa5jY7vVClqz3hPd10oRQITW3dmInZ3d3xABQLwWDAGAB/RVFWIAwTI390iF5nlKkjfbjTuslei9a4LFu9cbyc0NQjhj9PkP0UPBOZWqWX24dvq80lENCMoEhuDgjuHajLPUFokFAcwCibvW2LF85k7AtRkBQKBIcncPFyGIKzcxAjIxU60aHpJyBLgFCxGRY2htSAAivroREADdjAjcDNHCvXX7FkfijIBVW0CYqlrLQom6cVKAgKgBAjpBUKtQNseH6rwVypB29HA5K7eH3ZMn9y/ee/TogmWXrj8W127xzVuzZBgSSCJOMmRCUTUU4iJ5m3gg2QintgO+EXi0v3jtj770/S9+YXNxuA4MhwO2g9VpsxMMI4DWmldlwjykZZ5okGvXT+q0XF5eJpGq1VtDpGWecy6uIcxdzw+MUjJGNG/Tfs65pCLadMg5IMJR1Ygp3EV43XgC9Ck+wkVyuAFh73cFABIy9T5qqNZcSk8dMlOfhLpFpNbWYZdMnETUNDxstbVErN+KKwuzf68SEPZYmkOfWFRtrYUACEAHciSWRGmEYduAgjggGXJzT6VQYi7imYyTb8uSh+GJpz7y8z8fRyeXAYeIvTsCJIhR0jxDM2spO7jXw+iAhwO8/zB++Nb5S985/PiVE3bYz+y2TPMcYT2dYyaA7JhKwe02nVxzgdnrvN8DEdy88fhnPv8zv/qXTp544uz+mZqNydHqJobHTrcvfvPr9+7dm3osKgA8VJeSBQh7F1MAMCVfDwpKkqwpM3f7BlCPWQJJAKM2R0RJyQIuL/cEJEQBobOBa7gBugCmxGVMWVI4aA0PyJt859nHpcB7b7773o/fQtNk7Rjh27/3xYevvHYUiNoAwbWfP6TmBordOe/rshyv3o6xvuZWW2VEdE+KNuvZUiZKJdnqzwHoNVlIHkbCqZTVf1gbRTy8+36o0geUNyIL7xNeSskDUmIWAWQzA0JmMvDEGQn7cdodSQAIHB7QY73d2k5IHg4BRMRd5gToxoSOT+7DJAAQs6oysnA/JMkJEYQJObEgSXgg9kQwuoWqRgRAd0EBfgCf7r9nwm7d7/OUg8MVhCjQ+zoeAn1l0OCax1q9qLHmeBERUEiQUd069ogJoUfwwxKlfiGvMQsAClhrzK7iA/DB/0S3CaF7gEe4IUJ4CInZVZMnACJwkMP6FosI4m4i8oD+g42ASMg0EGRI3LTV+R5vGGmjgEtDQjE1JkHGqh5Iqp65v8R4bou1lhMzZzVnAEDglDHImhKxMPWBFBElZwBorfOoS/Ca1s5FAsBMSWgYhma2BkCAhXlZ1LUyUcm51jnQuHvFMCDIzR2YCCkn0cIszXpj2mih7DXCpSRrbhRStgDaBHORe/fu33ryOs3T/Re+O9GST28Ot562m7fryUnNI25G6E2VeUxjrkvjMWkKyzCe5HEn2OqR0ePIZy9+5/d+/df5vXfvUPj5o5Q5MS7LMowMYaFVHcO0ZGbCMAUMEVqW+TBNtTUm9vDmzhTqNjBxdI8lmaukTADq7upM8sGUjYRmHgAenlgcEYVbrTmVHqeMVXXBCGIkQydidzPtnRZATAKZWSD0g3knrgA42DHngCSo0YtK4iqo2Yf71Xu9Xjn9UfqB0w3WbGm4w/olTeYepgEa6DhbTll4O9mk5mgQoIxjuLIW84bLkoZ6mH/4rQcPP/ZzP33nQx+75wYCjWmpLYeMGXcBh3o5aVUnZ45hhBuWh3R6Oh7K4eztN3dK7WLPNg3umKTzcpGSgc9Nw/D6tdub2zd8Oth+74fJzh7c/YPf/2df/sLpE3c+8tk/8+lf/kXAozPnhyjztIxPP7McZvfmTcmDGYUTSgLqEx4wsrtDxFpQ4sgAhaSHQ8O9EBGyAwTAOCZt6moJaXtyAsRAyJkEsNVKCMKoi+0P836a35/O6tQkp+PTo1nr/Prdy7OL+fLyzu0TO5xvpvjBV1948PrrRym180sMzyUTYQBGYO9OYSIiDtP+XjR3XFNDuP6xEnWJEVfUDBBQXyYTIuUEhKrKffdCJMzI/AE5zSNo9cpAt57jSkPAvo7vzCnv5QAQjh0R0UmV3YvQZ3cHRGZEYMbeAnZ19PWsM6zNVKv/Ejv5DCKiVSVaQ5E5F+akqmYmwsvSypgAKediVfHxG5/sHS9XJnyHnqQKSCK9PIs+8MmulV7BxADRWl37bIg6GR+RYrUJrencK3PoalxdUajdEMpoYUyE3TYP4GFEDA5+tQRfnztdP+ZVjrjCBPX/owso6/ajLrXPJBBRm0pKZRj62Uoi1qOfAe6espgpE7phpqF3OAiLBno4mlEq8yxpfEyOnph8aBa5bNDRrJl1WmeULKFLW6oDgOCQsofNUxPhtWfNkIGJqFXlRBDAiSPCVFNaQUz96pUk/Xn0/2fqT78ty67rTmx1e59z7r2viSb7BDLRJdEQYFNkSbapsstSSSXRUlWNqk/2H2aXh20Nq6kqW6Ia0hTBRiJZJEEBBBuQAEH0TQLZRUbEi/duc87eq/GHdW5SOXKMjMxAPNx33z27mWvO31zNshCUfWuM5u4alAljj9ZnD5XKiDjWQVWdqZbSWuvaEM/gK0NVZykkVbUJeW8NESxgM233d6eyu+BL7na6f397t38f+fR0fr/Vsb7+Br/60eXqYo+E4+AkUmSYBgyxABaqV4ONWibYcAxuL8gOHr37xX/1b+dvfO251uvhroISdu9tmeciPA7StWNEsz4MNboVEQRo1i7vXZjH4XgoUqzbspyQqPcmzEUK2uoJRoRSpbfWejPVYRjWKyYTUzKR1gEAIcGajF8/rm7OLMS0mqMxU9zUtROTqSW+Cc/uiUy9eMbE1gMIhHupVXtPmNU8t4ycgMN6eV6tJeDhhGfPtQMSJKokr//geafFIPAAQDSAZj5Ml9Plfa7js/3sgFSqBW4vdiRyN5/kcmt1WFhuwa8/8rHXf+7n/P71nsrMwMB6WDYV2c3DDoqLepTqrRddNsvSfvi9Z1//yuEvvkZPb8rTZwOiA4TlGLYG4r5DXF5Pr7z82ic/x7srDb159z093s5P3+99D+zLvsMLz9974aOv/eTnXvn0x3EKa/aNr31z3JIQYHeOEGbtjkTmWbOVK53luQ880MN7h0hWGEqEWThhD7eua4BTvWsPYicCcG0dEZiFkcBRhuny+Qe760sWLExtORHB0/dunr7/5P7FUNtp1NM3/uBLb37lTy5BYm4jU2hExDKfkNETFkJpcwcIYIBA6OYBGahNdRkZkZDUbN3AV4pngDsxl3FMOR6JCEnqyIIg1LvlHIjR2ePRj98FU0yJO4LWNkfkQnkokcpInAFYZBYW5JWxn8MCT0coUWZdDRwd8QOqRARA5Ow4FSwzIIKcSwEgczmdTsMwAQBTIV4zs+Nu6wh96WpWiIQIwzMTkefitNhgtjcDYcrbhISB3TsxRJLbMD/oOaBOn6mHWfbbEGEqS55UuZVztJI0ANDcko/atRfMTjjsrQ+VhKVHB1vD0RH5tuSUJla9NQuRV/kVzvkFd7dMHdZB2DFAVTsVDs8x1dkUm1gIEIAAimAIDDX0DGwjBhqSFvG2vOuF6vhiKVfNGIDUo2uvzEjeu7kGUolwVzv1TpwXNdLWmLhQ1d6R84oTqpqg1LxtgUMR7IsiACoTJJYGHJwJHAICgwkDeTX6AiIyRUab57ZYd1NvoUzoETKQcDVTm3upBShQ2OK09JlqAQxLICa7syks283lJW0vd3I8th++/WN48bq8/GH70IfvxslYZLthlkCWUoRFHbAETWTDPI6xK3Qf+Xks3/n8v/+r3/z85nBzvy8wz4WsLzNguCsybHZDn+ftNB2Ph1LYu7p6gBHSog2JToe7KjXMOCM52cvhUIQ1qVOMRQpRUsTX+k+ASB4WIrp1KSV/K6n0AZi3LjOTKkICGKrBlLmfPNVTOAiLueF5PsxMYeuCXkTMjahYGIuwSI4EiCnmVkTMPItlMjmJaSa2s7MjADBW8lWAhzHSOgwLV/OsWorWRyJf9nePjpvLBxVk0QhXKVXnIwpXlna3d5kR4t5muvvqV7578+ij/9v/zfOvf/SWZa+tCx4NBgQGLkGOZig8kc80B8mrr7/84MH3Ufqf/Tkb+OkA5pAfeO2IWBH88HT+7uHr3/oePXgwPXh4//kXH770Kr70oaPo08eP/NEBW3/61T99+hd/9GcP7r/4E5/8xGd/9v52e7N/cuizaUPIkhYydWEJNfQozMRoXSHczctYuxoEIAMxhRk4AmPdTB0REGsphLgrOxbhOtQ6EBcgZJFaBAKbajd/1k7t7jRVgd77cY+nfkF++tFbff/0L7/y57dvvnmFpT3bb6YBATUUEOswahjCyv0wsFAVkhVRRmgB65IaSV8mR1vTpmeyAlOYYrirdhJhJHfAQmudWJaOMAJGnCd7WbRIiIkDsvQKOzJh4Br9IuKIYJG0Noa5ByA4McVafEKQBV+2wusShMaIAJRH5RUcDQ5AiAwrIBnu3b8fgGEBSLWUPjcPDcemyihDlQiX8CBGD8ykNVCYubCoKbkj8Hps98BV9OfCAoihumJ1zU0t8wdxXqQyN/fXXWMQ6dD/wBgb4eBEzLHCfwCDpmH0c/lZIvVxzeDBKowQk+B6s47AADNnZtVeS3FrmNUywb4+nNRaG2QiIibWFQYLnJ1hkWzpCA5zZR5wNYlCOPf8gwinw3vavV4G44aQpoli9CK9zScEoAK77dCO3VsrzELgahRI4oSEPhsa5rknyEDZItyI0OcGqsPABFiFI4yI0NDN1RUIABmQGYW4ApKaO4KaqwfVioP0gqe2lIsJ6tB6O82LQ68FCFKRWwyQxUuRem+ioNNxqWPRZlw6hl0+HHf3yuH20be+8b339dh3m93rn6BXX5kvdjZMIbWHE9Lucqfdeus8CY0kk20v4MrxBa6HH7z5G7/0K/2vvvlQ50lPMB9FXL0jKgCUmiVvurQZCCyU8oPPAIyt9wcP7rWlIRExqoaqSZG2NCJ2MzePCBZON1u4M0qzzsxhXoaKkNY6YCEAGmpJrz+fASf5SKtZkeIBRFhKCYgwz48VC7s6ETORuuamkmkgERERbZYRwozmswgiShEWFCkRPY8khOFgEQErrHCFeSU4Kx3PbqsOsGbeAdwsGzEIoveGKMvhBnkcxqmH66JMGI7NTOrARPOpofYHtRy+98Ov3dy99J/9/INPftLGqSP28Ii4qIM4IOC+HakICjVlurzcU3ziv/g7j6brx1/6wmY/wdMb0GZheRc29yIVTb2Tvb0c3nt0+Ku/epMIxg1M0+Vrr3z85VdKzG3H+5snT2+evPPHf/L6S6/9l//w783LISKWZZGCQghKGAIUBTEWraWwoKuHBxEHg6pq181mdAZhWY6dCE9deayn4zJNtXe13no3Z1+auieiyd27tl4jAmCCgFqX0/7Zu++SLo/ffLvfPnv0ve/Aszs5LZseFLqdBjMzAxaJCPVOQkIlIpKKE+fERyiuzeKIbgYrSABNtUgF+GvUGa5iDi1Lm1iSOSbEKRqxSICl2mEeybGIzAGsEKRztCvivIwDFyFij2AiIMz+vjUDgGvQjAnDg5CyACO1lsAs6CVIKhVGMj4zmrtOlQkB2NVNY7ubbp/euvXtdrt/dthcX5qadpXC+MrznwkP87W1ACkifdPmCJw1ikCJ9MG8OeIK1nMmylgfwBqSjICz326NC+DalOuppeWmumIkCHL/SJWeiIpIyj2tdSRafzCRoDoDCQAg4UzPrjePFRkdiGCmvTtLaF8yfswsc9NxO43D5rQstYxIZN2YxcHdzsQ5ACkFggiYuXZtEVHH2psBFQAyR0CxHlJKbx0JzRuGVSLtCm25RrlE2eLA6n7SsRSuCO7oVoVEyNtSAgahwrScjuixm+o41Plw0Ka1SO8tXDmy3Y0hXVwAauBAPRCryHZzOM0GzuNm8bjF8OdeeNx6r6W5q1tQ6q4QGoTYwcOttwUgAIppABoiuPccvcz9yJPcMtrrrz347M+2F14+bbe9jjBOKFKHylRyR6cphku+uC41fNvtY+Pua7/+23/xq//u4rTftT0eb4XU2+Kh5j6Nk7nKWAkhrAkRIB6P+6EOrStXMfdwuL53GeamJsxtXiLQQ1P/CY06lN5NaoFAESKk/d2+suQ9oNSCwMABbPPSahmGcfBktJ3xUPO8RAQJXl/eO82nw+E4DiOAa9dxnO72t7WWtrRxHE1tffAIwcHMEEFYuvY82pUixNSWXioD4Hw8DXVo8+LaixTL/mESYuze18cc0mHsRJQNeudbXBBmOd8qO4hIAAJwC2/mMm24TMHiiE2DaiUUQB6GcWkNwbAM8zDesDz/Uz/1sV/4Wzeb3UFw6V2CTX0gMGu9L+Nw4aYtnKLr49tXx+33v/i77//BH4yP3uflYEsjQrcOACwFgwqwG2hEDzMuRsnNM2BE84uNuNm4uV7K9dXrn318cxdzF+I5FFmAwEJcCQSrADowI4ajOjHb2hJoZipVuvaw6L1jgs0gQA3wXKNFgIxCGKrmDTCIlcgAOoO69SLSrLf9YWTw06mESkAcluuLy2V/zMR2YARCkdKt5xSXiCLcTCtXBNeuhQgAG3hWeqlpnjhzkpNyBTMn5JmIwgyQUASZwqPWWmoB5iAkIXPvTREgX/n777yHHhTBZ6diANRSDCODTVKK1JJBOSBMnqhwMVjHJHlB1N5FilpP37yQ0Nl75hEITMzpPEbkjDOUWhglkA6nGZGHOk3TJtzLMHqPi3uXN7dP29IBncDlbM2kdVSbY/2AZFKnbJSviIlRyMNNDQCIoGtnEe0KZ9S+h5nnEp/kjeyNgXWqrLYye8MBKZHItM7xoneFiPD0FCEldzo/FLImBgKAEC2RfhZrQhhQzYciQY7o4MjEWcEAiEWKNlVWYYEwDBIu7o6EzMhMXTWtAICIAWHKjKroChEY3ociBO56Cu3ezVorldgN3FkKd5P58GoZXwh8bry6N0ophDZH+FBoqBzWVPu4kRLOXefDcdpUBIh+nI+91DJc1tPpDkoMaXFws76Yu3BR7UyFmLnIEqf59KybB6Adnp0AbjebL//graN7325OHt0NGCLEIdCISAKDk+KEGMilFBFalsX7UmvBOp5s7tP11Wsfq5/53PLw+WXYzFCQqwNWKSFlVmPG3aVc3RumEUq0hzjSs/1v/F//+e03/vLh3Id5P+IJ2NQXixYWBJh900kHhAAibvMylKpL24yjA7TepmkSEg/XUAoqLNotDAQIHYuwqdP5uIGI2lWkEJErEK1IVyaeTYVLUsFhnQYFF+lLL7V6+DAOyBSApUhAMFItVU1hvYKf0eoQETHUYZ6XD3KFRJROYSRKgTgChLFIgXAIk1SNIDxr+zAjIAirdglEgoFEZKqZlezWPAKzlMMCEZa51XEId8JgMJ33fT7UzY7qQBawuDptdhcSFgFgQKCFlHs8+8qff2N/9+Ff+D/g/YdEaABO5dSP1fWi1punT6eLsZH0GTeb8Wn4w8/+jULl7d/6fL3p1DojchkQYjnNiITAGIgQAs6Ql3JEAW0mzHp3R1ROONGw2x9J++65+7t+PFW2WidgOXbUwGEo6EukEru0AI0gZDYPDAMwpEISwiQliIKRLEDdzQ0sSN1UCYDd0Y2do3eIXnxhP3rfCxgiCfrGTCisLYWoLfNIQz+cQo2F5u5lLK11cDMPZKhciFi150iWgETE1VgYV1plCAsAMLNpdq+SWa5XK4ngAx+MmUGAmUULGQYAdEe3SGelZ6Egs3kX4WiKiMlKMvdA6K51qOqGxsRhHsTMSI5r6foZdR/hIbVYVxFCIFMLCIvIvixE7L2XgkIswoSUcAR3V23AfLHb3e3n07GL2Esvvtg7fesH37+6vH+9u9rH8e7uWZlEzFapEhmRqHtHQAFax19naDMAWjarnduDI2zdNihbE0qGqMOydxghYRIeAU5EGJBxDBZelkYUgJDF7hmjrBm1kGTswGq2jpx4JLcdMQCDMqMRYG7BzOHOlGcoDAMDz3HrmZRERNxaG+uGCBySkpHlmwB5eUCMNVZMGr6WKWovXAEhDNCBBKG7eUPqTCUip0hWIO6V+vpw8RrQpQc+u3mwu6wIgQbWaDYhDg7vp0JQ0Oo97u0Y4WUU37KBMoMROgZCKyEUaByEWAgRpC8LOkALgzicTlS4Sg0gLdVevHzr3cN7bT5142Fkjg9MutYVwbOCLpW62RaEoUeQYCU2wr2qP3wRXntNP/HGs4vrGVjKyMOIMtRpMOCl2cX9EWu/uMBt0cvgbaPvfuEL3/z8b13e3Dycn00RHnu1hqj7Z3vwGIepFKFA9zQ9ukX0rl17Ea4yIpCZb8YJA8gjPAYRcCs5XPIQovW8wASYcQhk4dPhAAEeQgClFBJyzeYjdg/hkj6rSFiuBQsbxCDjbneBgCyLKWOewtTcOgXUUsK9iBiiuWXcPxVRszUH45oJeQoPEc7lowiHO2aDarbORiC4n10GQZ6pdVov5V6lulsG8QOcUMKTI+FSxdWRkBG48NxaeMTxVvfEMg2bbQ/w03GZGUhMtdLQT/sCcLXQoy890uPtT/yd/3q6//z7upRpW3hY7k7WexmnWaHJUMYtHo6HdiAZLj7zU0u7ffLr/35QZ9S+KGKwcCC4BQEFBwWaKoQFUFgQV4Di3XBzvVx9+BN/6xdvNw9uvvW9tx49g7iAMeDUwR1wAyzHCJhPwALjBsbIQkRITRwRtM8VQBVcoCuQgxAYgS2ACFIADQoCApxOcNoDEiwzEC7NRpsHDbeZC4mAd0Nhb+aMhUf3gIhSRoWOhXsYEAUgCZ1JNODmjALgCYpHpEwuIVOYrzEtd0Bg4tXUn+HYFOhTLYcgZiY+f9UAQCbOsutkSQtxcoL8fKFIZxggrAQhDCDyZGMyujszQ4BFZypudPaiBTpKuqcCVpTpWpuD7l5rHerYumoPsy61UFCpNats2qzTMJ0OHbq899bTB8+99Pf/4d977+03nz1taDEUFmRxjyKk4W6Rth1AgATJeZiuyNycBOTtG1ZQNX3w7+FhoAHBRFjQLdUVWjtY0nsRq0cuAoQ5AJhIAT8gNYY5MztEbrA564vIkF4u++nno6QcYQLvASGLMd3y9rISsgPCAnlNwGm3BZZhGAITGpPFkHi2i7iaEQRXCUvA3PnHm46R3lHAvKEbI5h2IS4kMLcLh49OF68s8QL4y9cXuxcftLlRQQLQNkdbdnUYtnW2dvPsyW471sI9RMGRY9xsghAQy7SZNlMAsoEt2ltHiOX2EGqXfI1mOjd2fxjbdjgMUiqWg8ERAI978F43F3vttBrSO2DSh1w7AIZ6p8qOARJ97mBUp83d4u3q/uYjnyyf+uSTcViGEcaNcpmmDXDhUoVw2E2bS6jsG4dLpe1x+fKvfP79P/uTB6fTcPe0+sl8MVsi+tKa9VapUIAA6dylCCbcE8ndpRR0EGZCRm8QwMimhkhEYqYf5OBWPjuhu7MICZtqaB6uOWXG9HI4uGmXcSSGWisiinBAmGYPMnrXuhmmzaS90/k6mHM/wdpIAYBFkDAh5FKEzuSZNfNJmJig/CwgkQhD3gxURTgsEgdJwsLcrAGs/udEh65nSTeR1V9Bzg7mboRMjG6UOURm1taAsQA4RqgJAGhf7u7KtGHk1nsEgcjxeCAiUIuwy1JP3/jmF99//+f/4X//0kc+/tZhXthRqqt17QDkcdxe3BMfTPjY5mXCl372bw49Hv3e/+qHW5w7RuIYXSMgLDQIQfIBN1slu9DKg2HVXn7uH/1ie05+fPM3bx89407G80Bit2ZHJCrzcX+5rdqtdTPrdSgGoB7EsK3S52UG5bFsedKl80AARiBlLPPhaLMupwU0CpXtdGERd3f75XB7ePfHxze//eybf74t7lkS162yIICM0zw3EurakIkxSmUy7r0PYzV1QHZTAFjaLCxhBgCJWqH8Pv9TaHIuUB4ojITA4mpEpGYsebyiiEAHQGcq7mHh4A6aXyBvmQrhq6iQt1JCJDrXswYQmJoMTJRJAsiIAKwaJCEDIKV5zNwRIPmpSUlzsgAg5rHUZel3+6OITJsJgwhJm5mGCPduY9mYx/XVRptvN/eePHr62c9+epQJruJ4POx2l/PpKKUIYnCwWT6AREh5A1k9p4lqTgMzIBI6OCKaAQAUFgAw95zrIiauB6Uk9QHgXBlv7qaW445w4CrmyVZEWL1h6VBBBDKwlQqNgZ58UQoKZExoe85zEvCZI3wMCAhmIkRGdzJER0RzIIJxGJfe3WO72QCAuWaWk0gIEmYKGfXOqTUxu7m5ZcIHAEONibxjrdn2JQwyoD/X+6fvbT7B8aH79+5/5JUP/cxP+NXI260b9P28/8Hb+t6zCP+Jz35i33V7ffls7tMrL/jVFmsxY6m8nI4YWDTGItHnvjRh8OVkpzucGxwb9tbv9od3H/vh5He3dnNbdRlQZi7hdLm7uu0uUj1CTVlKkgVRyNWJaF5O5DBtLnrXWjYgpXPtF2N945PLy6/dlWkZN3J5H4YJiZlrB8folw92sokBTjuHBzTF249++5/8L/TWWy/ooczPbL7p1ErlMtDtk5k8Lq92rjYNFQHYgcj7olxZQ9W9ilBhQkHAsEDAuhscs60CHVQYuxkzu3kQEiMGpv7uWdBI59o8IVjTJeHgQCQiCePNRVy7EaCqA+J2twVCFMmjPUAIS+smzCwMAKWULDKCpDVi5uL5rIYGIbKg++oRTB+3CPfFESlJih4qhYkQfO3KgDXXEXDOB3i4uWX3LAAHhIMRcI7Q1C0UWDjAEaBKOR5mqdDmE5XaTwHhUrh1d60kuBnL3dODFJTFLgsOz5781W/86hv/5d/90Cc++aO2zBHjdto/vhH3e2Xcv/ej+5f3gnDc3m/t5imUT/2d/1r6/M7v/97m1AjCFCwAwJnXDg1KoC/GMI3dg8Arj4cgGDY/fOfRuHmhXsVzD7ZbRuaxAhaVCoIFtN/XGYRhGsEMAHMNBBLggEFg38EJoAMbqEFlaDM8eB7QYFcBAp49gXkPhznoAn//9967/8Yb5Z2Pf/pv/h9/95/8k7t3/uRyy6e7R5uxdO8MIZWDyALqMCJBSKibe5RSLCwI2zIPpYYaASGARRAwIZFg6Oq05BzJrFZgTKM6BIBjNo6gBaTzMSjzqqsrEde1Md0x6Vlco2WrISYVflh5E0AGTsSBwCxZ9UXruRWy0C5DVERMaXpPe7GDuxu6QHVDQgaCeVkoRJjHsjVzRDCHrsYug4zIcTouyDwUfvDwwWmeC8fv/NZv/1d/92+f5rubp89am7fTTtycGYl5JRw5YlbAOyATE2mYWyR2bvWoA/aupUiqrvltZkZ3HUGHA/Ca1HVfExaITLiiNyDCAgKQQETM/UyGBnMjJMb1EoRAwJBfmQAx1m4zh0Q/Bq2peB2KWPK51hqyD+AKBAAsKI5qurRWSsHEyVkgBAplowUSJv7Dc2KdiQHMvppwC0IOtABGwFqrHRdZ2oen+irAq5cXb/zMJ6/+808cBr+Z9xf3Nzhd4nF7fzsc6Ec3T57VDz9X96dH79/V5+7Bg225dwFK2Kkd7/qpsROpPr7bn/Z3vKn3X31uYWll2r14jxS8903AdjbYtzK3/sN3j2++yRBvL8ebboduDTiQIKU2QDWlYAf3wHAjLkWKzov1GC4vDw2fQKmvv44f/XjbXS/TBHUElGEcg8jRL66GsuVpMrbjA5aP3Xvwl//hi3/6r//N1WG/Pd6OsbienFrTBYINcFMLZqoF6bQcpVIADFClMGKwIxEPUt0dEawbEiLhOI2tL4HRzYgJEDVCOIVHcERmAaAIYOHWO7JAQBlKKpAg2E6tDkKIwziKCIfnh5FZLAwFq5TLe/fmeUYyKYUXhshJVRRmJmYRqWU+zUREGCyMgSS8UuljzXBRppHtbB9NoR8pUt0XEkYgUDNkwUAPO99PwwMcbM2zcaivE0XAMA0zRxQEUlMHE5J0B6q5VAFESeyTzv1uqZth2mxvD8dCE3jfbEcIO7XF7u6G8P0PfvDHv/Q//+Q/+G9f/en//J35OLdeh9oP++XZs/u7yfc3dXPpBabxHgz0znx48LM/9+a3v/vs9ttbVWCqLNoVAxgsYZYBGISWww2LZi2wwbMnv/cvf/lv/F/+0fjK/bv5uAdD1EriswmwAxNJO7ZaOSmPuNYlcqnk1jdVnMiDwJAcEaGAHG5up80DnW+fHPZX1xshuf/cZnnr9nC87hLffP+0v22Aly/89C88+tItLd/lviicGC0vggRILAQOHMHYFqtckyoQAARTpqaKsKsn3QERIwgwgFCY04Cfp3vitJ5whLtrrng5ucxbap7ZCTAMAIHXPx7MbKpmQO4skgfi1dm8GoAgZwwior4eY900IKNU61HA3Fb2Tg6pAJERA4rU9RiOHJ4MPXENxLBYKtXTqZUyXGwv5mPf385XV5f3X3pgqre3p+Npf3V5uT+cttvdn/7xl//e3/8747T5/d/9wuHZUQjZI+gcWkFgQlkNSenigayhWVfzlc6Ga4OzebiZmkqevRFzzY5zopKYAcLNCBhXD9M6WyamyFlKOpnWZMNKFBUsAZ4FPSklZcOvSDVXqewWhAIAYZ7JHUzudkDkE0is2gnRIrx1Ih6IzXrzYMJSJbM/YUHrNhzdFdb4s+V7ERZEiZ4PREDO0bxb69V95/bRcXo++JVPfXLzk5+we0PsH2/ubqeJK1Ecuj15+vSb3zgc7uI7Ozie3v/Tv3rxtZf53eeOy3J6enj26GbZ721ZplorxrI/Pn588/zHX3/tf/83eVOny93+6f7h/XvPbu6s+ZandlqKl7K9Hh7oYT59/+ntTZ32zEY8t2UYWIhyUXJ3g6Ay4BqQIHQYS92f9FC28JHX+FNvPK0F6lDGHddBuICFoU8XcnWPxi1wP10ivhLlT/7pv/rG7/z+cz7Xu1vRW+1zxGJtHgepRUADOBsVeJ6PXBiIEWA/z9vd1JoSEoRzQOHSuno4YEbMkZA0wt2QuZtxFcOQYWx9QQSSQkDIpF0Tl0SAkl7rHMbWQiJUah2GnBwAuLm7uWuUge8/92DabtQNQqQwi4S6R2SSgjLcPE0JD0dAFkEgNm3n7Z8YMxmanD0kXkkGmPWiSGtzJ0RYbhuAEIoI2c4RmDH+xEI6hTvmfCoQAgOcEJg5wh2smxGhZwtV4dYaBqFDQZzbbCfvEbtha6TtpMK1t+amwKSHu5EE5v1f/f/+xRu6vPSZn3rkyMPYEJcn7x+f7SlQXbFy67gp49LmZdp9/L/6xW8f/+Xy6DHf3bm1sEhYIRExUjOLdHKrihQrxsMy2DvLX/zOH/8/3t985LOtvrBEgYFNAVrnafAwMIqnRxhGkAAzpIELqSkJeGubiefTkQlRg4JUFRTCQQ+f+8ynrreXly++AH3xaQSx8qNnp0/9zAuf/+UfwnDx/qwvf+4z893jw9f2ZXOC/ljnBSKQQIiEqHsPjzR8uqmgYDpV0JZlJgfklQgAFO7ugemtcEBiZAli0t4hDZdMdl7C3IOJIqCQIEBoEJO5GRoRFa5qFgiU6Ez3gMiQEzJFgLDkXMHd1U2oBKJHmFt4lFLTwpm46ICQpL8hhDuhZFV7nLcQDDSDKnVp5hTm9vwL94rI3e3dNE3uSCTjWCHAA548vRmn8eJyA+HH+W6Z2xwx9/bW2z8exs0nP/Xxv/zaVyW/KAIKY4DnJzp9PpxmjkhTHSS02SMVGXI30HVDA4SISGsNJmzdPV2lOSah7OJIzYwcs9bXP0gMrCGvtDXlFeEcyw53S22O8ew6xcRzAawx2oxxGaz93qu5DJIhisCI584BYo62LN3BoU7jyCJL6xgOkdt4prnBwdERMh9N4epI4BqCorr+pCv6Q+HntL94b3r+538yXrj2p+/tv/K9ux++80M78eWVUD2+f+t3MxF88Tf/4Ob2Rgwev/12+6IGCTgIlqFwYTrsDzfzPJZydX3R745/+jv/cXz54Ud//rOD2pNvvYkacbKb4+N+0qcNvOvS5juM3/j61x+bnxRgjO1uXObFMcCDcqAarr6UOixzD0IOUucb5vG1Dz386Z/5MQDUAYaBhnEcpyAC4e29YbyH97bIetwGP0/1C//sl9760pefs6WcnhWY3U+Bnci3u9Fa965dNcI9wtWmcRy3493hQMwyZjKLiUi7qWtFIkCDQMDNuMlbI4UxozDNbRHJcU4RCEQWESbBc3keYMDaFY3mhkylFkDabLeAf20+9oiuCojmfu/B/TRE5/1ViI0SStghQIqUUiBzl6sjiJm4QmltcQtCqoXXLX+ZmRGzWQgsT4uc5FsmwDAnAmKheW7rXIqpW1Y0QymyPx6KlJUMQZh6Kzhm+p2ZwhwJHQIA1KygEJJ1JUYSHmvp2tsRRi5lnIDwdDoiYClsEa6my7EUQfWv/X//p0/+n44v/Oz/7t2jOsJ4/eB0d2dqPvfj2z9+5cOvHm4P1oGHjbzw/Cd/8b/79m/9h/7jH22iwXEPqumBDoqAhHI5IzjZjBC6XBWW5XH/5p/f/OBd2L2Cu22IgwFIMS7gClxBKvKABB5WZHQ3AisjL6fZCvrpjgCsdZ8VuspYbYnf+2df/d3oz7/04Gf+szc+/bnXri/rOA28P71wf5LdtT6JR8vdaz+xu/qJT91958+2eJif3NRasS/pzWdGAwoAJum2sJRwb71xKafjsRILCTN27QgYDuEADFJKqbWrceFSqocjkggnLAbcpBQIcDNidjMiEinpYM6ljc41W0TUVTFwzPoXc4gIMw/wjEcBZsbY3NBRRDxcoDBxYkEQNVV3EUkhHCmQgIi1GyKCU6k1nKwplvH5h9cf/tCrh8Pd02ePHr13I0UIZeBKJAEmzCjASH05eidD7arRsAxls6m//Tv/4d69B62fDsttBsES7gwQoN7JMUmcSXzLWEAyGGKt6c3OAIpzVlqYMpqckQdm5tz30gWyvrPiKSueRVJeO8Uy0JvFOpHwCHMlzLp2QmCgdZZbuBBApmqTBQ1rfA3ODfEeEAHBIrDeA0Rdw7yMxVTzxBYebWkQUMRZhIU8Ah3DHSn3oAylegQIUABaqLujlKTlEZCYX7JcgF9cTv25C7yQ5Y++/84ffO14Z7PoHp7MTVGYanHE23kfBBfbjUfMDWKQadowVrMe6shSr7dIyCRFih7s+NXvf+kr33bwdtfEoTpP07Yhvr8/Pd4fHi2Hx2I/IjuMZEjkoEsjlCLVXSBARgQ7yii99d1uaC2GaXerwi9/CD/80fdDYDPB9UMYN8ZFhaLG7pruP6ybjY+n+flhG49v/+3/+P/07337Oe+83PrpWSPTPm92G+ua+KbeGzGZARGW7egAal6kqBkimnuAMzMa5wIMXTEMESKs9yUwBGgoY1sW8hAWLtLdahnULOmzCGDac+rl5pvthog0rA61Ny2jXF1ue9dwI+bjae7dxnFUt2mcpnE4HE8Icf/+/cfvvYsQ2810PB6HMgQAMjIys2ynbTcz08ury9PdEQyFiqNhUC3j3E5S+jSMqsYJkiFyU0oVCZEwEEmtqc7DMBUp3TTjY0Rspu6OHcY6nk5HQCDioQ5LW4g4Yz+u5u6llt67hxFSFV7mhTmTomlBJGFR9z7Pp3kexwktiLlrG2pRdGSw1i+nqOrf/PV/107Lqz/3t54wH1W3F9eP3n1c0XA5/ejPvl43Gyhlu93hruBrFx/5B/ff/Mp/3P/RH1XySnNEIHmPBkC1FHWFMCAEp8oVtU2kMu83+sSOP9C3FTGgcIcSwBZepcLKRsRAl1I8CIvIRjbjKIL9dOSwAuDNrKk+babAw2Ahz94vv/v1L//2MHUcfuKnP/Wpn/kZuq36/W/K9lUP21zC8vrz/NKH5u+9L3IB2iJmD0TCHmaQ1v8QETdn4mmcuulu3Hrvy3ICxFoq+GoMAQQNZRQqjESta4QjU1DWacnEMp9OEU6E4RboRBTgAU7MYS4i6gERYx33p2Opxc3MDD2jSUHEDOhmKaJEuEeqSWRqJAwIc5uLlCIFYe0gst4BochAxAjQeyekMJI6iGzAeXN/O222hPTOWzevfOilf/D3/4fCwx/90Rf/5M/+yLsP42i1z8tRe2cJKXy4OwAbMSkGhNqyBMDbb/9QpkIVhYXMDHLLykXUnZBsDd86I6oaC38Q7mIi8ziPtgyc4lwVlod3ONdBMhFimK3ykZ0bODHjNufq9kTfhSUzK4hAUGL9GsDCyeWQ1Y3nYYFlJQq5OzOCn6sFMGkv60vNmS4Teay/MLNVj5W1u0YXm8YxZxCIWRBGa4vlObCNwG4dwd0U3ZlkLIVO80ClUpE6xPPXIP7l3/zD06P9cdi81w5P+9Id1M0IZ9UogIzt/fcCgEVoKN4BNCfbYeGBoOCFCnQPi1qliCAhB6Ojzn3Rd08UWsoh4in1O8Y7dpPijoReUADrMjsWAvTCGBatLQCIVaz70xZ2/fDy0597utktUKDsqAxlO7VusJHLh8N2K9vBpt5e5K1+951f/6f/XN57535YnG4hjuotMcbZ+tGXJTV9N8/PrhALSzhQwMBFqGRRW14lM5OZQ9MMyA7D4G7h4daLcG+AEL23ZjbUcRwGJCrM7laHITG2zCzMbVnGzRgBUmQcR0bs4dNmMgvT41Dr6XRExuvrq966aZa+dGFx7ExUpHDq3YjjOEitAa6LF6lEXGuJcG1dLdKJMQ41KYUsTEwZHgZEXpXf1UVmZkMdGFlTQHBn5gDLwbKgZLYgabkAmTLTzBUjwTI3RJzGUbX3Zh7OiAQcYAl4SYt3qaLWIVDbvJYJmfWTQQQjsPDy7K7uYFrad3/z19jh9V/4209QjuAXF1fvv/Wj0bv0dvPe4+dffbnsdt0BNuP83IPP/OJ/c/Ph137wK78Mz27EIqwZMNfCXG0lVDKu7WfqhgMixB78FICIaM0GQiSBAGhAq3MSUZickQVL4aiME0PB0drxwOEBpt6A49Q6dxYaLKQ11EWobL/zh29/+8t/hJcvba8+Ab0dICq9+vyH+MevfeT0g+9A1DCc6hjagmgxXV2J6WonQkbtZuoeQYil1vSPefRcqJiZRBzA1YIBAIWFRAhRzVPtGMba5u5uAcgsiJCafPr6STgWhUAzn6bR1DIiEG6FCAndUnNK9oNlNXUAmBqSMJVwrHVAJl+NkeYRwzSCARJp6ymcMBczRKN2VLNotPTZa60i/N1vfv9bX//uNG1+8jOf+2//0X//9a9+9Vvf/oajjVOZF3XXeZ6JgYei2j1saVpqDQjgvPCkEMGMhOGr6rKKPLBG2oEgmQyeqsoHvwsUYbCmvYII4KzK5FicMfv5gJARVibzOjn3oHU8cG5bDkAmc6P1Ug3po81qDoDAc20LIKYSBbC6hiwMAARl9YTm1Sy5Pmf1DNeSv7x/JH7Pw6OFD3U0UyQJDA/DoMz7MEqEh3vv6m4EAYTe+8AFwsEV3YtIV3dB3m4Wbe8f+w+fnb7Hp/d4PlAsEeGBzMjYmlmeHdJ2d2pCNbVFtEAsSAgCaAQMzuhusUA2mJp6IEIlJZvtZOG0qZ2gRTBiGJx0HgdC15wBd7e5O0CE6Tjtbu4Wvrhvuxenj33mUVSVjVxcy8UlDWMQ7u5tdg+Ge/frrsCk/TmaTn/5w9/4H//xdd/z/rHpcT4+M2+FoWBhZpvV3dZ8H66pPcz7mhoEomOQYzjR+klYT82asAQERKnCItEj/fKFKsJMxOZ9qEMdK4toU0IMIGFgLkW41hrJWUGSwsQCBMwixeo03j69HYYSEaY2jdPl1WVX7b0BgrmWWkGDhTIpk7WjxIyCqIyMdajmEeBAoG5ShLmEBwkHrM13eXzJqDazEOIwDEmHdo8ikse/wlXbghBuVlgAwaKLCCMFhaq6CTO5EQmG+TBNEbAs83ba1jp4nx2ilGJmLKjdkJIf6RxIEchk1uswsRQRPt2einBrDcK4cNvfTUMl79/6jV8u0T7+C3/3HUecNnj//qNvf2doyzXj8fs/2Ah86OOvtaYHh8B44TMf9ac/++a//4NNmJ06gmhAi14urnyc2mke1QTdFgfCpuAOGouwMAoQNW2Emr1SSVHNA9nSliCqZTOA9ONRamFGDV/6YktDcwJgDgIPP0WEuPusjJPQ6GUGwxLDcE2O9Z62fll9u5Ptw7i9aPSkipIjIgUIQ/AKxMwrevLaEAmse5EKlKQyyTXCLJCRgYBT9k+zUmDC25Os5kwcQYkIwnXrJsr+vta7sIgIUABjNyViZrSWJuAID0MTRosOzsiIiBiAzL0vLNn2ix6hqnlsjmC1cAtQq1IRJCCQaqmFSdBZhBHYw9WUOKQUYjqe9n/wh7/78Tde+/DLH747Pn3nnbdbm3tfIpQFkCNcRYgA1WxN2xQeBjFzgfVTDR4KgCUdFHmyE1zHtqv9jiPCnSLOVIrEPhMKJEMbzzmCDy4Cqch7IEg23eAHiU0mAjdICmacxZ9EHa0AjnNVWSFJc0jOd1NsSpNQri9puUonT/4X9wR/hOVtwAAKQAQLqjpCIsjZDLRbGQsSORghrW7ANc0P5s5C4QAOnCaRwm1ptpgIqpsSufZCrtA7eruYnmB7WuoBvQeCm3nOD4szdLWgHBAWDGYUomxz1DXrQIjI5uFh3p25Qm6thTS06wJEyEkjDMLSuzlQrZO6k3fiwkLu2vpMzMO0VSjj9cPHVl9+42eeTDsdNlB2UndD3XTHcdjce2lXtj6Bbnp/vu7e+eJf/K//+J8/N5+m9sz1pp1O1k8sWFCiu0FEM0BHQmSIvFgBMkspZTkt5lFK5mucSNxhrDXj6QqoajJIREgpAE5M1q2WGu5cmJgGGbhUIGJmHjlNN8I1bRi1lmWZh1oBsU6Dm5MwItZa53mmQjabuQ3DkBVHzw5HQKhSAICYSIhrpeNMwlLK7vLC3Eoph9MRAfPjPY5T5LEta56qmPeUQzFnZYTJCWWRIoKAwGjaWERKadqQAGlNja7O4oi1ExcpW+y1W0kgCrh71DowS0AsbRmHMSBMHdJuiLmJpgedIBw8lw1DNwwJ9zoVn3XgYuYIaF3BrBSZlvb1z/8qmL/+X/yD/d3puL9jAIqogOTQbpY3v/XWdH+j0W/vnv7Ex155/ud++nu//8WlYZVaC+4dxhee2z33ArIsT58sP/yRFKDCAGjakVAy02+KAIXQzVYiIXNqp+ZOTIru4MQQEcwwzydEYEFrERSmyoiCYWolexzYIWYgOM44jJd+aLxDCLikgAGu7997W0VwtJDuwa2hcFClggyIRJiD2LS/BbS+UECzVmtBJFNN0w4wmRkiidRAQFpHw+EmCQ5yQ0qCRSI7gISSNeJuFLQSHpA81Ho6SoOEk51IxE7uFiA5YQIINwciwqBSqvaOSY4EHEQcPByDUSODj0RYRMrEtS1qCsjgamXgIoxBRBJupkvvvfd+cXH5zjtvv/nDNz/+8Y/EO9a6ujkL9taJQmpxcwhkJBRef1KqHiDhoGGIoGaEGERZUExryXse4DG304yCIWHucFlhD5kjgfO3eHY+Rz5HjMgEAe5hudPB+VEiQkzDDnoCZNP9SRBnQNAaI2Cm3KJT71/r7gEJLRm/BCuKe41UZBoHz/EOCLAATm4EBCDFKt7mGDmC3InBTZkAg8IjvAsTAjAQEiitubHsP/DeWXCe56UM4OhqGAZsJ53bgIuDChsDWBgBYGYqQQkxcxfARCWoGjAgxTC4RSBQkTU8F8YjQISC5hkWyT2J2Rhuqq5IzFSZydAFBQ0D7TA/I2aGIBSNcuwcu92DD3/q2TDd1VqfewF3F1iqIexe2JVrIdYN8+TxvGy++etf+LNf+rcvLvu6f3I6vg+xIMowCBEJMRL2ZSEwpNAA05zHOpMgsUVojuPxrPMgMGb/UQiyo4VZmYYIKCzhUEsRYil8PJyEuRQmYpZqZuwgRSxHq0i1SKY9hlKBoNSh1HI8noZah1r2p6NHmNqyzMSSeuvpNM/zvN1uN9vNMi9Msvip957PvwylTsPhdDIwlPV6H+R13J7mOeis/CF2banV57EGEdQtLyIBYRYs7FkewkwuDBgRUgQhLPMEiEUKATOrO2bJn6dfJCIYlz7XOo7D5tDvTJWYJLj3nshCYdaw9bEBrEI5KF7mI5oSF2FSBm+KASUQOE59wahjrbW3v/zNz8tw9crP/cLpboBRNpuLm0dPx81unrHspsePbPdgO12PX/nz7/WbA7zwkvelHU4tCK7uX7z26fHh/fd+9HY33m52ve1PqlJonCoHePcAV1cCIkThgojhtpar5NYXwMyqqzkLGxASQfS+kgwqiy0dONxcSNwdSaxp+GILFqTFed7HiZjRH+zgcoK3hirjdDgCYuILWAE93KIjcHgKwpDjFlLG8OxjIEQsBQhRYy2CpXwzs48FiCnQDYLOJIJccdIReUZBAEvNKkkLM9esQECE7BTBXJmIAJgEETMxnkI3EHp26ZbCQxEh7mbpSQOkuZkwI0oZNghoBtaDqAwTm/l2M5qZgwqLWRvGam3pOlv0Z7ft4mLnrt///rdPx9taiwZ4QDgArbSbShUQu6kwRpgZUGHCFa0fOdFO80OGnpHOyywTIgJidnutBxNYTTirKJbfJJ3j7yvyMA0ikc4HZi5ShZNXH+6rATRiBXh94LvKJHBiVTzCzNaLtmWrzgfyUf4C1j9+vqyk8nPuUDxnmyGDY552sPR3Q+5DBGoKa9lgd7fsSEYk4cSGJ5YQ1jgbCiEJC3HhMgaNOmwXLCBDAHk3cSrIaODqlJWQBhhUuZKTd7fm4UQgTMM4XpSyARyYJ6ax1EnKVGSatjsupaur6Ty33pNKKabgTqVUyG1ERKAE4mmZNXyzGdGt1hGp3JxiKZfPvfG5w3T5DIWurmg7WuGFYfv8vasXtrudXCAMp9MrZfr2b3zhz/6Xf/mCHYa7J3p8oqe7fjq25Yjum6EW4a7NrCGvlU8p9iWNgxBbswA6S5wgTIQkwghGgGYWYRnSEEZCLJJFjYnqSE1FiGistbDUIhRYSxlqrSJjGYZamFCKCJdaCwBM01SkdFXtOgx1nk8ixd2ECQKOhyMLtWUxtf3dXREJCA8zCEeXsXTVq/tXc2t1rKUWYUGkR48flVouLy4BoKt6OJMQUYY5s1SAkDyiDhWBShUAyOyYeTpSiBiZ2ZNqlQiE1P2LJLCXhQOxm3usHa0RYWG7q8sgnJfGpZzlzEyWIQSAAXhkbwhzQq4aeIDHMBYDi4jj4ejWBylgHkuD02E8Hv/83/1/3vmTL95DHqucTPny8thjXvpIAh32j9t8Mpy2MV3d+5mf79tLvL4Xw2Z6+TW7ev5IE9WRZFjUpW64TkhVNSKwW9dIkC+uJSoWHtC7ttbVHSI/pcgkuZ26x5rht0BAAl4jTm4G6dBlSNssBjGYKgKYNggU7FdyfO6iAhXmEQIpkAISOB8OCeoIAHVbQQxELJwGy1zBPAFNTMLCwIVLeDrR8y8g5CxizH/HlU6XGjIgIgMTYrgzsZqpOSKyCGR3fLiZt9ZMzd2yDy4CItAdHFHXKq9gYSBQ7xaWiw8CDzJO42WtF9ooVMa6HeroHtq0CLd2Mm/aT+6dMcLUoQN2Ei8DdJ2HDZ3aAQse5r2DRgRX8XAIY07VlDjZ1hFciAjE1IiQJesyABnPLuX8wShRwexIcWcqngmuyKoCPF+XBQKyUA0I0joWGbZaiQvJqqa1EzibmpzOoS1YrX4ZnluZibm/4CosAZ43m/BwdIA0dEfYSsdOJVocA9dCeQ8HIko8jBtkviN3OFxJFUEIHxhSESiD+xBBJGqWL8ddkQAxiDg5GMwlzNdbjFlgN+0ABEQehMmzQ4DiDknYLnNTgmBktR4MHsilAhZ1DJBhrE07suQeAxCo3pbW24IZq0DoXVkIgD1RY0TmLcLNIpioVmJq81xE1KjTAJf3nvvET72nchin4fohX91vZeDNtLneyLVw8a3g2P3levGX/+Lz3/j8r77cTrB/dHf7lNlyox/LMNUhVN1U0EnWitR0ShEAEomQMC9LY04Zy4kpB79FOByo0NwWJhnqQBmzISxMaer3MCKqpZQiTFxY6qbk50NEWLi3XiR71hSZA0JE1JQk201BSjHPfDyNdQzzuTU87KWK1GE+nUw71cpEbo5EKCRSZahlM9Ats5Sld3cnR1NHhG6dWXKY5EQBcU6HEWRwHH0VCt3dlUgAwiGkUJC01hAx1FI2QkCSaF3HMjG7hbMUc0OIjDuPwwiIFjGW6hbD6K01ETEzcJcUi5EiAvI5BAACd2OkpR1xmAjKdrc77Pdp4+/aqxQnaHPbDAF381/8yv/0s//N//n+g8u3eotSXJlUn7373vjwnoscT0q0sYAHH/oU/PTdzTe+DQBXH/vE0xPqfs+91UpldxFtZiKwZr11cs/ycmeHJJyDZcKDOMAjWaKBRQqIMDFglhdilnakH9MzW0MEFApWkFWtMAURWfJ9F6kBBNsCF3y4GgDL2HwgkPBwDCRkx8y/CIlqz9M0SQrolPkmtUCKzEYipa6fs4pAZEQGckuUM2fkNBWcFdHgEZF3c8rtnDwiWbkRYQYIfD6wasKj3MnMkiLCnOwZRmYkBlgh18OwqYKumCvPOGyJBmuxvdgI1eU0uwFGZYredZqKuYLgWHk+LvvbE0swRaBimHu/uz001VKKuuVceg2yFUEKW22pHhhSJRBcnYoQIKTq7uvaG7FCkTKdtVr74dybk2u65cAdILvuPNxTvM/Z66qApgqD5+uF5xLO6ecQQvzr0vn1rJQCEq6nCsx4tK+DYjpvxanXhWeZdKQ+lFdytyBiIk5WKokwi9Sy2liJWATy2ImUwGpzy8cZAXK8idm9HBbmqYDxWqqZ6PCopZi6q3nv/XhijTEoZiVkruzgZgoQatZNzUHNiLJXZzWdB8bSmrl3NXUPBhRsqmYKFDJIUDTPeneulTxMhIlICAHdCC0QI3PcxERcikIAhQyT182d88Urb8zTvRsrvruaHj40GaAOV8/du3w4jRNsMbaxvMjDN37t9//iV371wfGO7h7p4Yagh6l2NQNtTSSt8ASmEEZCyEyESbyaxmEoY3pUaD1esHBJ2Gru3iIsSLWU3XbDTHn2z0lOKVJLGYZSaym11Fo2m7GWMpQ61EoIQjwMBSGYiJCKUClcaxnqkPdRBMg+jbAotTDzsixmGm7z8eRd12NyhCBBOBVCxDrWi6sLQt5e7sxsHIZpmgKj1mLum8125bSs+RbLJxYARAozZY9HBg9VOxN11Zw8fVBTI6VYuhTz+sCJo8hjBwJCKcwsFs5FAGCzmZZlBojtbmtudahZSwCAwsyCyEiM5pEaGiMhwlhKn08EgQhlrCjohBBg5uBeC9txrrOWZ0/+8rd+mR7dXOIYSxoEAc2ON4f50JYWxwayGenq4uozPzW88Um4f3+6/2C6vtw9uI/j5MM0PXzp6NICkQSJgQWQNRDIAR2IHSHnl4jMIO7oAMd5tvVqj+lpSb/f6gSh9aHLWxQFqvUAt+xXDhQm652ZGGRi3oReCriz40QgEMgiBMBhEOaW+kD+fxGLWE5fiJK+kJnetKKYW/aFEBNnwUlEVrKnmIPnDt5VB8pAILK5mfdciJLAT0QBJoVXXDFRqYVF1gHqWp8OEWsqGBHVLCAQIM/TecEsLNbUmhUq5MUXvL58eLG9txl3EMxYe3PCaMvx5vZx68c6EICd2gEoALxbV13MVa3tLiaiVRgvYymlMJfuGmR1HahBOEgVQSJQhSytO/8wICBZu3mHcXfEFXKbmF84twLkSu2wPiGYlWprh2QeWSy5iCmqrvmXMy64mxaqgOFu6ORmhEiSvshEQcfZdLR+P3laTyxXIgQ+YLlABMtfR7nozLKmtUcnq+AiYTMAxIxramAdOjszm/o6Y8i7AgZg4r5gzf4QQTgSiKAEosDmagcoZj5UCLemTQuER5wZYvkH27HxSO5KLAHe+rIbtxbWzYXL0hYWrJXmY0eCu9u73vs0FlUybWcJ0rOyWJB6RAbq3KK5rl4ZLq4+9zhQ2b32cXnhlR+d+vjyq9PLL+u0McGrl66nyyiyXAhuYnlJ6rd+7Xf/8l//yovLLdy932LpvghRmxWZAWGzmQrTae7I4WbEaKnxmgZSrQzmhLicFsmjBKxTssLDUAY354LMWITde6kDoNRahIiYh3EARDVjZgCsU21zQyKPrr6Mw8Rcl75shqlUWXQZhsEppAggzXq6uLx6+vRmzXdiCnrQliXcrXUhRoC729txM27GqUhJD2UwaTgJI5OqT9vt4XhS7eCiartxPO6Pgel3S2MBVRYH4FKCYOl9HKb98ThtJiZRd8+umFq6+XazoYIAuMwN0KUUJGxdGTEYNJxLqYjCxdSGUpc+Y0EPG8ZR1bCrqxYphLgsSy2D9jnx8bje+UO4IGDrnUWiG7GMpR5Oz8q48wCupWujKtpVgFyNC7VFh6D9j37wwy/9/is//7di3D5TX+YeoyA0UDKqUQuwPD12V3rt535W335z//jJxf2HR4vdg08f33+fMV5/7aXvffmP+t1dZTJdMHE+1hhQBLMTNyvZmisJASILe3helKhw3vIdXM0pYpCquhg6uhEROiCyJ/PXAjwKCTuiG4JtCTYRgysy9ygIQeYE4q4OwUABqNqB8YPeOF9aIJhbnmTNLVFM6EjC7t5scSDAQKa0KLsbC3c1IYCsSQTIQ5uZImBBXiegEe46YCViAG+tJw3CVc+yHQ7DGL0JiwctrVEwclCBUiqzELN1I5LAzJwDmI9FNtvNVHfzSa3FbrzebWCYpOnh5vax6ZFZe+8e6trde4CdTkd3rdOGChfPGLNlDhYCNTyMPNTCxBkUHKN7Z+RmLqq6mt0ZzkAGBDRmhuSxICKim7MkhWU9mOPq2fGEbqZGA2c0UGCcx8Vrv3se7WOFjKwKXaTzBxDQ3QxXIL+tFZ1rdUbku+MBYRDhxOjmIGX9ggDp6HP3gBIejFFqXVv3EuW40pw8HIQpLzSqtt6sI1K5JiQUMrOyFlGJh2bNU+TBLcDMIM88Kpz7AAEAAElEQVTsEebejDoS4EgyUEWnTkMeQgARmSjc1V3dxmlYbAFENeUiJOhoLFVRHY2YqVCYSUF1DddpKoRQVqR2AEVixYEibTgoCB3UDZlQyLzPc9+Mm0Pj5eLeix/7ye/czbG9nJ572MpwmNsLH39luhLifrWREU+vjBdv/v6X/+Tf/Nvn+lEOT8Pn7nPTZenKxAhUqIzDoK2zrOV2iLTu4ICFhSBqKWFeS+29OWBmNSpLmndFaDNNrbWhlNabuxHiOI7TZvIUN5htttTTp81USBjpcNzvLnZC0lu/2G0SJ4ZA5n13cbG07m6baSJEZjrN87TZ9NbGcWjzggCuVkvxlNEiBEiY5vmovY3juGBwZK4FAFcC6P60MDYRyvNAkQKeYX4CYAtbb7QexAQYw1CF2W0VuwJAzcZxRCYuQt2IyYMCwjxSwC+Ji0MUlt4XIUKPIrKEmjkC+Pnm7ODb3ebZk6cX1/dUcFnmbDP29SCNqlpE3HyoNS25Qx370oZh7OpOpWtz8NbNFepYhrH0bmPE3Xe+8XR3ffnpn+5UcOL9cqqZbaji1oex6gId5PHJCpV3v/uDBx12V/dhV5976YXb733vu9/9ej/eVu8OLlzDo4QYGiGYKSAVLr5CWVYDIUj2OYC5g6W5I9xdEBGFAgU5wISIEHooATAXdwhEpzwgg5mRBIIL4TRIGetCxT0A3dA/4OZIIQiotRLRcjrlwoVIZiaFPFGD4Qgrwg8zBO4BgExkkAleTw+amZ2FiPNsMbEFZ1IPIZorhANyBDKTmTITYwZGyJkQgUXmeWEe7l1f7a7u3Tx7dpgPpQqYDVUwiWQU4eDJgtbl2ZPO9+Th/ed2F9e3T4+3t3euAEK7zdX+4COT213vM2EEKoC7OnIeWLJWjKUUC2Bit/SfQhKOPc2yAUyMEEQkROSxhn7zXpbm/VwucbXJrC207mGWBarg7knNBgTP60I3wADQs+aTKKE8m0PWx2eiOC2Wmc5fJwQWiMRE5hpgEJx6S74eW2+LaysbEbFwBKrmda+Co7mb5Z6BBoGEEOgYZprfuZthABJovjLADC1HhIWbGUQQMwYKr6sDZkGEKSAwsWlgYqtRmAh6JwRmdGug2o49wFByfrFOLYgQzRkIKBCRg9U0k2UiAwKady6MiIsuwMUWDXcpPG5GFjre3Zkpr23w+X6ihWm+5YFuSsKLdqbcbkrz4Ujb59/43A9vZri+f/nyK3Fx1Qtf37usG6xVNwNX10srT7/+gy/8z//m8nj0/fun5Tb8uBxnoCQhg2CMA3OhtgSgm2b5aKofToCORgRS6HA8uoe7CYsUEubCUkSGWsMM3IqweozDBBDMNJRSWII8p2NVZNpMwkIsQnI6HXa7rVDi3Rw8AEG1D0NJZVzdw6MMw91+DxAiRZgXM4joy8JEg5ShFnMbx2Hfs/sswlxNx80YhAYyjiMxEUId6rTZRMThbt9aDzBTq6UW5rZ0KuSRY+0cdVN6V/JCrLpggJSivScTpfe+2W5OcGpdc3acSzoiiAgAcBAzQkiSLZCoB5kpMkRTIgQmN53G8TZPZUxIULk4hIG6OgIWkdVUE1CEj8tMXCCi9wWBakFdHMCRCDi65sJn1K3Opx996Q9frdvp9Td4KjFQczdTPC0sxdXCQsoAAnjx4OWf/Kmbr351/9WvDSM/Xg5++xSOj4dFd3Uz27JoL1LBAV2cfOU5unVbv/FC1SlAYOnt3vVlhJu6h0sRCW5zuLfZW8ESWXjngFzUs4bcIiEiqlRKGvEcldCroEGYMFIApT4XVCsSRKAISyluIVI8TCNWDgjAWgUegRgI7O7EdPaph6pm6bRICTACGsa6zHPvnsKAh6EDrBjKAKJUrZOZnD7dAI8gQvJE2Zg38+3AIkJcX3nldRmGWqa333u3jGRmvZlUhiBBoSB0RHJEF8TbZ0+Ph8PFxf2rqwcPnr93c/Osz41FA7DNXbtxEYieTxBXXqejmb1dUaSxki3C1SzJd4Bh7ql+m6mbETEjriJ7unczeL7aOYAoZ+iUsawAgoQgfiDp5MQjRU8phVaaFDMLcf5WHsQpfbWJEsoJG7FEOALUUkWYObnovJp3aN14AEC7xnoVwNXnGSAivBJcKG0kIkVKYRZEZBLOxF3kBshEFGm99lBNNTXMLEfTCJwfBcgAN4KZAaDZWvqRC0AEMAshF2IO8h52slJLHSsyW/iinSsjYVdb098ikOA8AGYhLlJKQAABC2vvap2FeldiTudskWEYxjpN3c0QHUNdHSCQFDE+GI4zKJhIAUfhMtat4u7q1Z+w3cOT8XT9Ik6X+x51t91cDqXERDDofOGwPS6/9U//39Pj94fj45EWs2U+nRiBHDlQWDjg6nJr6nWqXZtFIFWRoYgQsLuZ9Yxec+48XIuwMKe1R4hLbn/ax1rHYdxupqHWIkJEqm2zmWqpm804DAMTl1KYaJwGj6i1mlvrC4Sn3A8QRFTWqQCl8YMCwy0h0UysSx/rQIC1FIjoc3P1QYr2DgHzfLq8vMzPVriLlGmcxjJo12kzERMiqHakdazFROBRUODccCeymuHSRl2qRGQODiJinAZCun///tLaugysnOqSD4AICzMLZeacEEvhfCBKKdYt/UW1ViYCiO1m03vbbKa0ugUSEtU6upuZEjMgqPV5WQqL9o5ZMkyAAFJEigCAMKla7w0RrLdY5tKOP/qLL8rhaZ1bse7tRBEVuTjT0kdARp+XeTbE8XqYLvF0qLeP8f23pR1k6SNRb0dQBfBFT+aGhVTzYOwIKCwY0NtymA+IMNRRmLV3Nyu1FhE0x3AmJMBClYBzXIwZjiG0MOJcKoJoRUYxO4ILYlePbGnIExwAM6XBI0sZUw+QUiE+QGwiEzMTBBAkzQOZSU3d184vYkQAITa1BPup9hwQMgkRMrFkdQQhnC3sazEvQqmp9hJAOHiegBBhs5my116wHu5Ob37nLTDelI24DGVkKMKDcB3rZijTUAchRgKqHrCozk9v3v3+m996/+bt7WWZdgMXMtOmrbsCoYPlXFCEiWWF7vA5OOJnpJqdTZVZdpZuYggEUOvivipAeSlarwIRBOToq5MywC1IKCwwCAGEi1riEjkc0DHDAQREKJ7ZCYTzypv3iVgP1QQBqK4QBOfkGKOod3PDQIBz7DyARbr2PKxlX5qqlaHkCd09ECkjzMTEklYtoJKFmcnzSTgaqmry6QhReyfB8DQiOFKyhVKQyokDZA9AuBGxI0UAIqfRLW+COUTmIEIJKR5OAblw9FkVPQMmAGFhqhrR3aNIcXczo8Lg0b1BOJOYg1BhKhDGpRBmKpWkDg6GFkySExQC8XB09lAkbtoRkKUElJARhvv83Cvvznb/k2/Q1fUeYNhNmwvZbXkYTWzeMFwp/Nr//Z8M7/54OtyA7hc9WOvuEOQEgIHYlVkYQyG6OXpWRAHaenOSIlmOUqU0tHArhZEA3DGYiTgpt8yFa5XKaCysIgBRROpQhzqQdREBxGGsTGsh3zAMCNh7G+oQFgGRNXvWjUUwGY4JTdEmxK21XAK096GUpfdBcsSwgv6zFXJoQ7r6qpSuSoi77eb9J09YmNggQKQoKkEWfqMUEVoL7zAAIEx9fUxYiJL/oMA1B/EsLEAsJLVKV6Xu7gRQiI1S76DzqMkwvSvJFm22fqA8kKjUEuaFeZz02c3Ng4fPSz1EFlhbNl2EhQ0yuYf6rOYsQyGamzqhNxvGsQ50PBwCHCFbs40BS6HuC4HTzXs/+PLvfOxv/G16cB1G+/2Rd0OpvDJN3YPBqeJVvXj99cM7bx6fvDmg9dOCgQGQWVIkKkjoSAjCnmcRiCjEHjGUwYlM7bC/c8DldNpcXDCSR1g3tW5uAoSAfs5fIQojeqi5IhQooK0hgAEHUAAyEhCYBVHJmoYIAgBkcnXXAAYWhlh9m0QM0dLNgWuIIggJAs09vYFnuk1O2Dwx/UgQGEwSGIBukVHOFUOeSjYAgJ9DrzntJCBkYXZVJEjeZ2uLEEVg2Yz7u/nq8sFmHOf9qff0PrJ4LXUQHzBIigOamgMG1PBYINisPbmZnzx9Cxi6Lm42TFKxhFuAlyJnH40nfwfdIfOkjuE5fg0iSNZmKRUIA0B7HueBzCx8LTvNEN5aRxkf/GoNwfMHnu10gBKmD3ClRqzXiLRUZZkXQpqbhBEZEB081UzIOU++g2t2y909bf6rdEKrD5ozEEDoZgBAQkiUDSFmKycLgVwzc+DaTdUhyNTDIEESzFLLQMxZ91hKSUAwIQ3jkA50kZJjjEypAAQTpr8N12IDIIQinCAJ6+7qwFQnWVqzZjh36TjyQJCmDWy6OBhg2tGYaG2U1qauTpjfVBCisBCzdoPA3rQtat176603XL9HiETemwWEuXoAAJeh5HO0dL9rzA9fnreXerHz7cWNKu9GHmTaDFcXdUdxhfihcfziL/2r/Xf+atOOenqC0cC9Ly0sQeSQgIpptzE1DyNksyARYoH0TYFlTjEts6sfAEPW3iKohUphxBhKmTaTCIkwE28202az2Wym3W47bcYU0BOGVWvNqt5xGoEggCKQmMdpWnojJGIUkTQOQbg2a/PSWxuHykTz8Rhm6Y5P6TdC3ZWILy8uAILyONw7ApS8fiEs87KZpmEYzEyKiKBpR0BXE+K8+LuvA4CcQxBA3vvnecmPdN4+S5E61hQUmEmkcP6VHAyE1VuYYSJO3yEiS2HCbLgDQADtmiPxUoZAal3HceRSxjoVFkRyc6GiXUsVDBIS65o37CISYe7qakOtjBSWOzowYi0iRUJbWdrxe99+84+/UPbHSWIY0fpyPBwNcNZw4jpMp64zgO2u7n/8jZh2AIIAtRagfIBC20wRfBY+8toUbhCGsAogadkmolIKAaqqh6sZsyBhNzU3SLAHMRFFasPEntFrInW3wCBR4k7oHMhQiMgAkQECMBCcAlJMZxEiNA+IJG6ufAdIt7kHAkaEhbpH9iaFx9nOzqlBMJG7pbEYAQHDzcxyVIPhBqtFHtbIwmpBybllFqdQuCFCW5o27V1PxwVB0Gn/5DDCcFF20nnD40XZXQwXEqVghc7CU5UBEd1b06PBScaOZcHSPE4ecxmD2C1as5m4IhUPcAdAymaCVBpUY51ZgK+jXQ8PI8IMNJkbgDusyn8y6lBDEYlQ1NaSVWRJsrIHnmENqCu4IVUaBqQc3Oe4dc03BgJSXrmSzQREDgBMwJhoPSDMzQoIEkAEAVw4Mq4F+cAEJDg91rRJrUIEnGglZFjpoS7CRSpRrjUFIdXqrPxd8xiIhMSQZ4qsaIMcPUit47jZiBQmyRibuWIEQTBFgEZ0BAuzQhBqmRzN1ANycAUFr1xKYD92BCIWAGIhByMGFkIiywkEM5AEUiYI11o4Tb+ckxALBlhO3fPyA7n0uyF6ZWF3BqxCCMFGaBEQijzTaJv7S93Why/dBNPusm5324vNZmRspy3YRy533//d33vnC3/4YDm0m3cZZ10Od7dPmWxgQnAkgMJ1O8lQZaiIoDoPVdKFRehENo4CEOd0DzCvwgUB5dNe60CIjFyLFCnCZazjZrMpImMZxmkgznGpiHARGYahDFWksIhHCBcgUNdmxsIskp9wZJJ07CF07YCoquGhc6/DkJMJXDMKUWtK8yjMCbAT5mkaIhwx5tPcWiPCWmspUkrJWCKz1LGSYIbUcqwEFonklSrMTOl5iEiFESKYeXexY2EPaL0lNi4loHRN5DLHqSbkLYORa7EIJmEkivWAZeZNu5mxyLwsDjBsNgHALLWM2pVZEMm6CZaKVYAxPL1Y4c7M6j3MhhQsMLqbEbb0yjgQUwUftT/7zteefeur49IrBaNKIQ0y5GZx6l6nC6ybXq8vP/ZZeP61Pe86yjw3dMQIDphKlXDQ5mbuni2cgkxAERbgLGzuwASEBGSq+Sj6Kq+BrylQAHAEYhSENDkLIAMwgSBwAJsTIlkoMwiTm/E5qLmO6ggDgCRpnbnoJWyGIYDP/z1X8wDP1rcA8HBMEI6bZwsh4VoHRUSEpUjS7JiIENLeSITunlXwTpEeLTy3xUWE9l6KCNPl1W7aTXUYkOTJ06fgMMlUo+TfZITGoESOlQrzoB0BxDWE+Gq3pbC2HLodLI6t3znMRGp9BuyI1rWZpTmZiAWJEfIulpzAVQFhDGFmBIpQVe1dl5aAC84W4NXCH8HIKXMWqUTCyBDh5r333CTTD1pYAJBZPCLzHZBwpdUXFKnhpIIWeYgydwhmyTiuR2QFR2Bm7Tg7EwJgTerCajcyNUvsDaKF5WPvHgmByUQxnK/p6/g1YnUrISHQqvGlrLj+Tv5wc0DBWXqfvYNp32JiCCASEkRGD0MEpnyRrqpM4o6MIiTo0A49JGRTAQo4ZxQi7zqm5hqmnud9iHB1DGBC6z3Me++JtpDCbs4igKtz1LS3eRmkajfHUDcmcQhzLVIQAZm6qodhDawYw2b78mty//mDcyMZ710P25EB719P24EGh63D7Xe+83v/4l9Nt0/j8JhhidDWTlzQrVl4GcYgWsKhCk9VISCgcOlqzFRK1tR5NtwixmbcYGBhGWoNNwCHsHQuEaIQZlC5FC6DjOOAgFSoDrWWmlEADBSRRPcgxjDU/NGLCIsMQ81SMNXOLAQoIgjguhZUD3VAiGU+uaqU4mt6P1i41CpFxnHAwGkcijBEIOBm2lSpYYYAV5eXEEGAtYh1Q4BxqNM0VimlVmI2z5cUgND7IsRCUgrnfMjcz0YRrLU6eGvLUGvOaQHgg2IMEaFCpcp6ImLKMRYLI0KYIbgwM1EpssZoCbfbXWsLEQVAt+5hRbirYlARcbVai5uJlKUt4U6MZh0chMnU10o1YmRpqgDh6ozUDiexkP3pzS99CR89GZvpfEDX5aTsPIJI8xJhFjYMpzpdf/zT/eJ+kwpc3KOKYEZZHQURHAsWAeGgMPdugiXRL+7QWuvaHR2JkulYyrqFJwYYiczck/HrtrrXLQ0CTszukcI6EITwEj6bgWCszIGM8oC7MQtmf0tJHEiUItmp6/bXydY0KQEAE1Jm0wLDMvwU7u7qRYqpdlW1HhEOdmbju7BEIBEBU0+UAZK1JMVALcKYcaTIyx9AqPZlOVxebR4+vLfdTG4RamAeFsvxqK0BxtKaAxIXU/DAZen7w/7QDsiuugQooInAPB+bLjkflVLyqG0e6mbhuXGZe25RAUDCKBDZ9ePR24KAxGTmeu57T69bsvkzQGuAEOiZY0bIBABg9p+twYfwcFoRoJTKSYozdDZM5AU4XxOs+0GmQLNEL5GpqX+QRyScwSOQBYiBGJAAJQAdgKUWGYZxSr/quY/eiYLIWcC9qy7qvdnSe3f3rj13H1gFE8e8DkGc74WQ+WREBiBwIORSBiTOSQUEIaCZ5YElAFMGyVBEgEcEOgy1mMM8zwxAQOM4UEY7IijfREc3QCew6G1OTgpnVaOIW2jr2Z0J68+M6zAI1aEMYVjK4EjZ8wtBBqERc9iiDZF6Nwg8NGu8HV949VFzIBgvJkbdFXh4VRhmtnkqsgv+1/+3/9f2uMB8Im1tacu8RDKugQFALTSwbkcZi7oFhBQ21cKYNzdmYKHCPLAwQoQxoVsj9N1mU4tUkaHKNAxjHYc6FinCMBS52ExCuN1spjqCEaEE4DRtxjoy8jSMBCDM4c5rU1Mdh0l1Hevl2QsY15t+/i/zfJcXSLOhSpWy2UxIBIS992EcpmmcpjE92kOpgjwNQ2WxZUH1qQ7teCS1CsQR5JHA8O6+X449VMMQgJEq89XFJSIGxum0mAFLKbW0pRHgc889PB6PtQ6q1s0snKsgIwqjoFTBwqUMwBxJQ2eQQh4a0bebQQhKcs4Du9kwbpmLY6CQgwPEONRaZKgVEWph1QXQzVoeZxhFqCYagQHIHIGQUEOBUIi9N3TVPgsDY3BB8LYhlOPtN//jb/P+7rJIzCcOGJiG3ofepS0UHRgb4/TSq9OLH4o60VAD0dyByNy62+xGUsKAgcKCABQUmIClq2p0ZhnrtBm3RETnGFTWPEB2CwJWqStpA5kC0YGI8tFbo6GuFH2AtI8jiABIDjLXGCnk6S1gFbu4sgjTSpLIrpLE/AIXquFgqlkkPpTiplWKILsGUzmvV0DA6V1MmSBfztLdMZHtICJZ7Uv50BKHAbkMw7TZbdXieDrNpyOCMbbWnu3vniBZmEaAebS2qPXeGzCFwOy9eRiSQjS3U+vE0pbuZqYKAOqeuLBmBlwMoIMHB8gqUeZGMMhA6/QRAtA8mtliqg6MNf6TXB7xGYeAiEjgbpFZbXOIVIqcmZEIGM3czM0tf34rcBWiWweCbO6Fle3jAaF91YrM9WziDPrAFxqBuf8QQFKZ0u5NnCOI3jWnQymOp187O8SzACZt05ZoCgAPz2lBYSFEBGBaGV5mltEwiKC8bAYltCoCImvQzmxqt2BkAEhISJpfiVcaQ+qMkIFyDEBfjQXMw7QhANcerisSKwAAhAsERYSjEeE0TGZmbrUUCGDhLNf0cGJyD3NHhiwgR5ZskTV3hXDMzYcMjDhU51qpcA0fA+/Jg9f18vm42JUX72+ut+A2DDRdyGasFfTFQX7tH/8ze/etoR1YZ7Du0V0VwpiSykAilZCmOhZiQsycBAJmco0YJZWsfGMDGYkAhjowYWEmxO12IsCwGIaadqDCjO7pfNpMo6qOw0jEGFi4ENBUB2EqUgBJ1ZNxNA7DUKurh0dvnUVUtbVu5qo21EpAzDxNIxMXKSKMAaq2udimIC6FhzoMQy1FrPepDuBweXEhSAMX0Lh5/0aI727uTvvj6XByNUJqSwtw1R4QKLkapK8bCXAaKwEWkVpFmLRpFalF0F17Xw3UaVmm9Z65GrARzS2HdfDBSJKQeB0dImcOEUVEOHt0YbfbmqsUEqEAL5WkZM8MmptbJIAOKRm6FhbJtSNMTTr/GQRIDt49PLQbl9K0W59xOfm7P37yta9Op7mq2vFAZiPBltlOLTxC8OhxkvHitY/Xey+TXEQIOjIVJHFCB3Bb0gzOxEDoHg5BSMyCQEI8z/P+sMd1yrhWv8bq3Vo71nMRR8JEwlEilDgFWg6goJonuHyANUBzFINoFg4BGGqtq0VEW5olXiiCKGMYZ6ZBMkoRC9ehDGCxtCUTq7AecCOTCrjSRYkSXZOlD+5Jmkr4T77ysBi4CpAESSAHimOlWkshhN47IgD2eb57dvt+KXTvwT0UcHAuBAzH+bT4iSpEWhUFzYyYixSpknyEiLXnnIo4gJSCAIx8ngBn1sQ/eEXMYqZIWTyKK0czsuslYXlp/nRhIbdw9TTuIxNiYg+CkNQNiEPzCJaZxxxGZrIaAgMghGXWlmQNc0MAQglKkw2wCBKaBQIgIxGa/icPA3hSXC08z83mBhDEFKsVmhHR1HiNkiPi+upT/VqZEB5OeZdMMOhqb1qDfICBax4tZ0dMa8jNwuA89Q44m5U4e+kDMe1HQSjggZiNtisoDwH4HPkKHli4DKI6A6+hB0S0cKR10KfaO/QIRrL9aT9hUKl5ykl/ghAiUGiEOw1FtROCmxZcqVkFhSm6K7pNdQwPIKHNg2NcXL380btxUy6m4friZr/fXO/wWnyMKvCQyrf/w289/cofXu7v4nTj2k6n22yjw8w6I9dS+9KHoRZiAuxz20yDdc0hZR1GV+umKeoS8oqXAiIgYmytbcaRMEophakKVxEiKlIz64tSIODq6ipn9QZearWuzNUjggCFuukyL9M0tll7a0zw7NnTzXajXfu81HFsfQHwUsZSJCIYsPsaW0NiYtxspjB3dAzKuMrheLh373ppSxFGh/1xX2o1jdPh7t23wkyHssGAsdZZZ+bM6+cSxoxUpJzsSJCHHAw1jMAIYSLEWofL64tl7tO4OfWltVbKUEUiPJd/4GBiSl6YKxEGBLF8oDcSIMv/n6k/65VtzdLzsNF+c86IWGvtvU+XbWU1WaxySSQsiyB0ScuCDd/xStCFL/0X/Ef8BwTBsH1hw5QFSLBLJijShGlTlaVislg9s7LP0+yzm7VWRMz5faPxxZixU5mJTJxzEmuviJjxNeN93+eVpvNKFu67Ay0dU2Zt6/OZAFXVzBBQRIhp964QWASLMDMJ+WVlpggnooABUO2GMYYTkiUwCZEARSSyiA2XzDy/e/dv/+X9w+Hl9/99d7PzhefJ0prOm2fvztPUPZaPv/3R3/l7X7x/WtpKw916zWhZEDGBQnhKkEhrwrijgbOp1jiiYlNAWCw4s7Gv7FmIFNrHxOC3yTV6JCFYTYRREZslWiZWnYOUC2g/RIJgRKoqAAHA6ANEAEqN5YjABGFxN6iqEpZCUiDT3KZqaC8qg1lQlpbkNfSPSlAhBkalwxCxEMSEzEBKgp6MmEZzU1Q8HKeryuvPPy/AmpkxKWM+Xd9++X6hlCusG3cAUEbH7LIhCU4UuLmPQLMYxCEgSJQ2EsuSAATFWsfEDHRGCnBMKh9Oxu7Xd0BA8n18lrgX9AAQ3mbsWRMjIVb3HsVoQ0RG686SwzaRlqM4eRE7vALLCo8M6ZmAgghAGdh0qnk/IvLOckAigrByAkA1qe6OAbjhGXYvVUKUIp8ZSRkeqlPlhgqTU9SOvYCgGE6RiESIYwxhrhxHgRIrXZwRkV5upUpaFjkwMbFY6+X4TNwTEJWXI94D4B86DwpwAFUcUYgHhNpREiQxVrPeI8yG5z7tykwAwgpPAeA0tXXbVBsgZBC3hsStTZU2BoB9FRMCJPcwd6QWEbzjSLloV0iUhlwKnLkgjZT3fVp+7++uLz67cpuPdxYIpIeHe0tvbG0d/v7r/88//j+9GFeyc/rq1iEdvOJCSknuyYJMPC+zsBBi7LI5RtRlGAHRM5i1TQ0CWKRpSw8RZcasTkeEZZrmaUIErb4MZmEBAMhgVm46hnUbwuLumTDMQem69vm4RHVFj2J7QPEShIi0efgYnbbqJe3zNGXC9Xo1HzzXWg3H01G1HZbYrNeXYWfvZAqLNu5jbKNLa4jQxxhv3/MkQ3k3HUdpWklEELmblFSn1hBxnrRv6+gbs7BKJC3TdFgWCAqPpjKufZ4m8xDhqslkJICctOGNfSii6MZUZGBEASZwi6JjpQcTAyBKVtlpk0ZQKGOqXxGJyhCNgBHBIhnBwoDlvXFWGcNYtOoxWGT0gm2Qu4nqdd2E0M0nFQqP87vP//QHv/PZdw/3yyX6GCDztHULJGQgkUSPSefv/Cb/+N9tP/1KueTZRMK0wBgJaNkZNQIC9rA0AdownRqrFpsIGYiSiIII0ur3r2E9Mxdqn5DMrXQ6MIgdweIIwVS+xD26H5aQdUa7RZQ8A32MwURjdNhRxJERdWelG3ogPDBx9O6ZywmJRVg87Db1BUAI39FnlRWr+UYiEKJbkBS5DAVZkc2GCrvHzKqilBjDbNsijIjCvIbKW7/+4sufInAkqi6IKKJhdt1idJ9Ph0Q8Pz0N3+ZZmTnTiXGSKcLrJSbcHJIJtJ9uqWz8e0D5ZvkhpEQIt/CUsmVGtVkgEGQtVAjkXss4hQsAZ9KeciupFhF26jKJSmQgQv0u9eIRkJEhEZICEFkJJYMyMDwZBZEzK4ErCOWmp1o/oQIgNU9ABEAPTwwm0bJscjVrYBQ4sSClCFRwnoLPAdSpJ2vgDhB7LXBU+XOBiBMCIAIcMBLLcWrdt2G93tuKApTjBncGAOzwVJR9EFTH8w8yNRAkYkCTlpjIqYw+nPnDFgKZpRb6uq3mFphE7On1O9dGnDWfRMJdqPJin7mPGsRVvBkgE8JijBgEhEnICjxHu/cX3zz+9u9f22H65rdhuQ85vPz0s6ZyN80y7NvH+Z/8H//PpxHj8T3EWNcrYtSlCrMCpsnKSaBTq3KkbdvmaYYsDxcIMZMKUWOZdGqVAkAsryFBKFJjBTcGUOJCNzMwk8ytKYlyVTEKs5hbQhIzMEbk1rdibXpmTb4ul8v5/FyRHCEZY6zrFSDD3IZbuKcXUk9Upml6+erFtEyqqqxuY16mubWmIszburLwtExE1KYW4K0pEQ0bbs5C775++/pXr9fzpVpRx7Cd/jpGuvPNwfni/v40HzBRkJoKAeYIVW2s/bJNOq1r9+EEhDcqUfG+CZOZkbBiLpWDq2MUcgn55G4R+3kTMoSRAMADISeVcBcqBRuUtWkjYiGZtO3TgYzKOkW6hVVGE7FiqSyTJkJgJmb33q2rCgGVxymsU1/7Fz95/Rf/eulD3NEGE5LiclDBsHWwcApt0/H+N39bTkcQNcfYvTJ46xLPgZFMA9wgYo/5cwKYjfKOM1UNG0UkM2dGNbSbW978+KXEltpWLM+IxAT3bVHkTKSSOpmgODNYDpeyfmGCm5mN3vu2bvvyD1kGc6iFHIGFSHha5tPpblvH+en5elltOETeyPewn/EwayqOQATMyZAg5WMttwITBAiJACswjLw+Xvt565fNLeoqxMKRVhjOYd1xhHRsBuwOQ2dS5t7Pl6dH267S8OH+bpobIW19jXDYHZ23QUfuZmKouQbWdhV7SKj2B8LIgHo0ICNLxc6baR/3WRyCmJuKQIoNwCoshMwAldaHsSggFSqdiFRo5/AksmoRQysKwETmkQlNNQFQAMYQ1fpOA0KAI0IE7gO//agMCYmM4FAKASKpaN1x0rGALAUcrerOrJgZBWCdCIBIbmgJtGEejgWrvnl0608kQo/CBUZNA28H+90dhkhmzoTpWahFwJvVFyu5xhnlTwCssq9am1WABJJiRHpOx8bpCF5RiPplmAkIIuFyuUibEtLMcAwQMjMkqXBNOkQCaY3GMgtMCE4AFYOy8LIbRtK2Ik1tm+5fff8PrhEMm4xtWDveH14tIumn5G8vx//vP/7Hz3/z58frc45r4KaNfV3HOlqTBMjEiJSDFHtZW3MIMxPh0lh7JiEwonsgpIpMTUdCq5N/U/DISBViZGVWESqhE5KAlCSwqzYt3jXg1jsx9mHH02KbJYJ7SGvuUSh9NxNmBDB3v1ymQytVXyeNDO9xOh7GcCRoU0PIZZ7neY7WpnnufVtmzcxIB8Tz03k5TKf7U0YCpjCvfQDm6N2K+GQeZGmhy5RtH/pVGE1EEtzdet9aE0KuFAIj2TBlbtrKDIoI1q2JbttWASja/XBJJBG+LylEwpwg4YGYsXurZZrbPqLGBIRwZ0bzLGewu1e8OSHMvE1t9Os+nAQk4mpOFZVRIYZMZgaA8pb6cBSw1RkTAUbv82FBJHZZzxfEkKnZun71Fz+4/87faR9/92p9ZXI+ckOExMhayFznw7d+037xneuPzkQCaWYOHmUhqc/cHZd56TbK1sG7YiFmdmCskQ4ATqKRFhWHiNukHRDqab8ZDmsOR8QJGKEMgtmrvhaiQMd584OEqhZzLHw/LsMtlVmonbyJchEBjuFeZ4T7u9Mw8xHDho3hZioKCEwADnC7qzFh1Nwik5hvxByvN1yZs/gTkT4c0K0PVY5wpsoEEBIloCBRcYfCpE3buuo8BYTZAAxttI3NbEUkVZ5aqw8XoKYdWZawsEDEAM+9mz331X83QwbmzuEvvwmU0xHKQbvHp4iogHRYY/PddwPIzEAEyYhSZi1iLJoKZNgoI2bsbE5KEogcHh0hiaDoppmARGZjl3siZf8pGRHKylg6PtW9MDE9BhDVLWEviYTirTkgJZbjqiZdUUKXkkJiRhG9K9CNNwFuFylEiKWOVuUTh0jPDALgPdN5iwFmWYL2LZKQwyPCt9Ezc2+GKbcCIAZg1l0G6k+MQFGdpratW+QNQHLDIiGimbv7vMz7+4NAVG1UVKoFYrXiREZWeX2kN2FBqgTQGD3dS8VIbDAd6eU3+vGj4ycvH+7wP/z9V99o55cE37q/o/P7bxzoI7Dxk1/85X/z//jYRj6/y+0cfUs3CGTkMApjQmFRFCZhba2pMiAjWu8se/66BmrCrKKYiZFMqMqCPKsKUxM+zvPUdJmn02lhwqZlr9+bAZgxMj3zul20NWlTAqhOgNhtXK4rcmWloNuQptO8ZGJ4jD6EpB6G8Aj367qWo/5wODLJ6XSapunb3/3Oq08+unu4U1Vt2pYmUqAzzEhMfPnqBSG1aVoOiw/HzEVbetyfTgiAkD5MmMs/52NwtX8zqeiLFy+X5TC8Zzoj+bAmcpjapAoBTfVyPlfjHSO6W2Roq4g+l7mzbMStksylWtdbo5KI07QQSDXPMHFrDctmHRFpCV6OZ0xgLtQ21PCkDnosnAmibG5VXiUi9WgRIkRO0oTrqQWA3NNwrenUSMXGWCjh/dc//cF/dxhPBzEe11g3RhLE1qRgKdgWX14efuv31+VF6myRGHATynZdDThImLklkcNe5k1EyJgAYSmsNkZkePUPFnWMKhu6+2YRKDN9bxtE2l1DGDEIs6CoxFAOxToO73D4zLKBZFbqoqLoxZTHMjpWQCMgCyG+9u26XSGhLdrmVuCvbdt8jLCyvDgX7WOHIQIAJYKFOVhA+i0TZe6B6e4oOB3nbt3M9xdVYiFXxNgh4jA12sMOKIRC2IRVMKNPypBJENfLucpLit1GAARY5Wv7kO22vtPNb7DPyHDPnJcXpq5c5cHJHVJW7k/OBNlxmKWQ+853I5Zt82lazPq+ziMzy7ZtlcXvW2dmiH0UQwwZHjdpILgM0LsEATtdIj1KSNj74zMRsXoqyS0qaYFEWRe1cvtg7LedXR+OfZkmupULlLpFmVQTc0Q0dw8vylDCvv7uvtUShDMCE4FKFy7vDRFwXSYQ3YxFADIihBn2WpvyL4UHAAkzpQUG2mW0CMIcwy3Cc7+XwZ5mQCAcfahqHx0ARSQCRASrYJqJiCIzbQfSqQhieLooj21VZR+JQkzQRxdp7rBZzq9efu389/7nf/8f/Cd//9u//Q2J8dWj/9FfPB4/Wz5/f56m9Tfv7//z//3/4RRXv7wnvIpidFsvV0UmpoyyWOBynJAQhbSx2fAwNyNEs6i5BBMyEwaXyYuRiBEiIWKe2jr8MC+M7GFzmyaZtm3laZ/tEZKwEjMyz8RP5ydVsQwSZOb6km1jC8xZZJnn7f3z2AZA3cMQ6pxSJ0RAjwDI3ocw66Jj9MPhsI3xcHdHwqN3Xdrat2lqemiX68UzIPaPTFRQ+PH5TEQqSsLWDZlsDBXJzLKAmA1RPp1OGTD6drmcP/3k4wK9ibCbz1NrTREIsvIe6WOvoJImuPncWnq01oTJ+sgMJBQUdycIN2tTw4DwSAjzbNBqZs1E7nvg3N33yW4mKVk3QmxTezpfsTpSy9YMQMyAWYai21mkSNSQgN0cEAZRWBEvpPqnDu0QxGMdQpR9m6RtP/6r/su/ufvdv/s+YWJ8fvt0fHFvO/mbkzFUT9/7Pfp3/267Pi0iaZ2EfO8egA9IAm3a3QW1onAeObUpwhApeiorZvZ+hYzdTLZbB+trn4jJyIGIxBmQ6ZQpO914T21VdWyVz2Ol6AkR0d3r7BXuIlxuRtiXyAo/YQUNdm+nkHnU8daHEWI9bBFxPp8Bs7VJhEvPxH2WjUUWcPAKMTBQMiKzI7AQRADmGJX4ZPdRx/bcsQqJ6H100YZ0O8sREKMq9zFIOTGHh6owczoQ0xijSQuowBoiU+bup4IEJIAdsFBbHXj6DmvDAMDd2gCgBcEFQABmtuEUe7sNAO65uERAkoIoBoAHEGkEVCEjkSAKkkRWpx0mUgAFYGHgPMOsmxmXVAsR4BY9ixzIezJit/oQVqQHAQmlaWOiPbu757Op+hfL0LTHzAgqGxvplfitnjXIAjagVo6Ixd1772N0M0vITGeuGKAQKSSYmfkAhOpaqf8PQNlSP7Qg1Ndwz4ghkogAJJQP1AwzwQExhRIg26QRufddEtbmt8ehGc3NM6puMPbIRrq5h1mOGjBZWFIm5jDjVnM5IBSPnKZDMSXvHz5aV/j425/+o3/0D3/nbv1k/eL3D+Mf/Fb7X/7D7y70+pNP5O4gf/xP/9v1J3/D43J5+pqRLtezuRGhwQhyYABFXSaeJBBIqFzNBFCJL0bCzL23K5KQhKiwxoy0zPM0KSIs81xqy2Ga0p0QDssiLEKiooRFIqGILI98Euk0qbZt9CKoVIQbAZlpam1S9TGeHt+bGROk293xIMxNRZnv708QKcrCvExzpVrevX8EhOfLRVTvX7xQbSB4Wa+i7ZPPPrtu2+F4VJ0y83A4FNR2bB0RMCE8M3PrnZibKosIyxhj7SsCHObD3cNDlUEu84wI8zx5eh8jAT19XVe3svACBJrtVdL1BaaqxiS5TTixtVbPQ2EwEmBqMxE3USElJAYR0a2vWOsR0jLNqq3679o0qWiZXuqJLYCuNiXc3QmRUWjEyk6UNxqRbmwDCkyLEekIUGTpHAPG+rc/+Of0+JbHlWOLbRUkAVJiRK7S8jGfHn7rd2M5hmg1RsSexcBMkAp1Nx5jO93diUq3LSGufTOLpq0QeebGwsI8aWPYZyzKwuUBKvceMqKStIgdvy/MnMiIUFHLGpolZkSFeyrHJCqqMs2Tqu4bSx2ZExy8e99rRhK69UwgYgsziw9xJfdBlG2aRMTD95ws3IzkmN03gJrK1PEuLXpwBqWln7fLl1++fv/0rE0yU0Rr5BIJkSCkiFj4hsjbLD6z5PG6AxFk06J4Wret+gTN66khJmRk2iNzuxAAt2lJQA4bNTl0t3LAR4RVjWfdUItxOUaxCBuxclNgBuJ6ucgCSJFQ7T8k4gAJcAvlI4vsFCfYCRHlay7xGAiwGtcJSKQG7SVJ3ZASifuuWKt23lKR+ydZFWS1BCNR1XWVUxggzTwzmTgjwj3yVukJicWQIUTGhBRVYSHk/ZpmMaqgeu9LvpEYdtQPIe66GhJ9gCABQKaX96DQN/vw0QOZqCkIhUPYfiAse0AN4OpTKHmZarTiAzNFCmUB1TpXSQIEqqKnXSFAcB9IGBDaWmQUVXSYuUXfjA+Hf/Cf/C/uPvn4eD+fL9eUZXP94z/6IfH2rU9ePH3x9f/vv/m/nXLN7UxpY+3o4H2EmXCZT7aIobNGJjNSBqSP3mPYrlfUyzRnEt0ZCFhTBWE+LDMkCBNCzq0tOhHgMk/K3FT2AAFiRHJ5FzPq+gqZmEhIHpaYbWrX6wUAxhiMfLw7ejjznpJjFhujTVP5fQuZmRCITEin+xMiLYfF3ArTr6rTPJGIkLx8+fJwONQ0ctioEtAMn5pmsZRJIJMqYZbIiOFWkTQCmFmVeZ6bIEImMxHgPE0Ie55XCJiob12E3FxFPHyZGiFC7FQtYc5qE8tSi6RuRXz7z7EdytpSENBlmsqytrQFM22YqiABC97YugCYIjuCtzijKoqY2vbnvEyNgCnK9VflVyARiyTiMIjI3TsXIKwZ2Qjyy5+9/ss/edEw7elu4Xdffi4ZsxBjkgBNek1aPvsu3X8c0mp2k0ABYJlBgsTn60rzpMuhoCAvH15CuooQUxJEIjIlY2QAIRIEBjJlwnCzMAQGZDMrn0lGZHpAJFFAJoEICWOUx2s/mIF7jDEAdwxqa22eZxZpc1NVKAcg3OZRNUgmqOFShSiwUCsQXmSym+xap59pamVdRgSMlF2gxsxMAlZxRINwimAwhPeXx0xn5ioH5rKSAJEwIDFpAgFBREzztNNrRHrvRExMyOTpVSbaWiuhtA4qSFTyOGSaDxYpg1Jk7FOciJor0M3GAnvmVImwmMpVyUdE5k6ELR0hiEKUp+pWAY/GMrdW71EZQSGDENIt0wiCMst7RpCCqLWYVTg+oDJcxYRiZEIWVuY9/R8Bu4C833HzpsbyB9NqZCCB5agQ7n69jKgbk4fVLgL4IYqM5UCtfRE860RJwqJaz0HdDUcfNsYY9ch55ocHKSv2uSsf+GFqWnemX/+mdRwuwWWXBSJ87RXeKpcY7MzA29UvorqqtemegxcAAt8ZGMHEmUk7Nrs0MWdl80BgAISkzMg0Ep6OC7fDxdsP/+r9f/F//cEPfm5v2md/+G8+/yf/+pefXxNP073KH/3f/+vlzTtdn+z61ITQPR2YhYXG6J6DJ5oOLSndfZknQZrbTPt9GcYYEdmmJk2IMtyK6iQsfDvhIqSyMBMlqrAKz61BgtS5txQbgMg0j633xOpldyRsU3PP5XAnqtpaRNjownxcDvM8u1kZf1V1Ph48/Hg6LofDtMysWhJ/m1pALocDEmXkuvVEbFUv2XS4L8fD4XSa5wWJXrx4ySLL4cBEDKhMCDk1reCo9UEIyzyXwy582NYxY65UREK4T00BUgtFBdBUKg5Zl04bHQnTA7MWfGMCgMAMYQT8ADiBsidkWqYV/QIRyjlWza3bes0qqIA0G7sgBTjpBAmZoftRKcyHp9U12dyaco2GpLqbMpV5npow6TShMDBlXfEZ176VbhgRwz0zKUwzf/Vv/nt4/cWSDtvzAYL6tWWoO4SxMrUl55ef/M4fWLtLaUAsTUlEVAGSGC0tCadD28Z6vVyfn54QID2UlUgScbNuZokQ6T3G5n0b3bOCVwwVgqsXDA7RGyNDKgTGoPTb4hKJkTtIgOpttQgz84hCxCUkiwZAYJqbZexGEQIodPYeTkAhIqEMK8FgR/0ktKbzMiOhZ4ZbXXfcE4AysxqRAdEhSCkYtrSe3k7z3at7mXndNhap6325Kz3CIYEZSQIQGatez9z3Fh2AmqzWEbYWviDw2pHQR8YAt3SvfqzwqEocujna4WaoKp5/wRl2oRhIeJrnRDTzMczDifGo+mKeP3I7MD8QnIjvRO/b/EB6Yr2TdocwkxxIj6xH5AVxBp6QZmlHxNamU0JDXkQOLAvSxLJoOxJNkYo0IU/Ec6SaCVJjmVknZCVpxI1ZkVi1wT7C/MAB3b8w5iM8MAEReOeM5k0izggPiPq8IbGApOllRi46NGWUYUtERHXa+9iQEsAj9uMGQJ05ykhrvscXaoi/C8tF+AQiIncvMTxGjMtgxonBLBKwYpywi8u4fwKVQnSHBLMekX0bmEiMAWkWkX67NCQASlO4lTAngPtIrOyPIxJxuwZOH32qn3z7vTz80Y/Hv/rp9W9X/unm82ffDGx/9i/+5Zsf/kl7vvr1nNCZMd1yL83Zd1tCVNWagI0+Wmtj3cqgO+mUkTZGE+UqYQCatC3zRIh969VasNeBCauyqtBezUbhIUhEOKwDQmTeEGCx268QKiuOsHN7LtdrIlyuV1E9nI5tmQHg/uGhLbOotjaJijadTgspA+F0mEoPu27X5bRk3YYZgYq7h9qUCOd5ivS7031SAsK8LCwUFpjo3YpZoqL1iio1yjslCkTQx3g43YFH2lBlyARPJtJJURCZt60vy2LurTXRRkwAGdaxBj4BVElX3yVEBMhwxCzGqJmpcu8bQiLsndzuDukF2arFLTOkVUABmVBFEEGYRVikriuhzDexs2KKqKoVWo4q7RAucBZ6gAczR4S7RwaxMHNaQB/59ObnP/xXL0XZR4MOtvq6hTlkmgcSobRPf/ffp4dXhhQAZgFZYXjp3UTa+XKx4aN3FcbMZa7oew6r8UFt3+WfqCQXRGZ1cJZr7jZ0RWLez3zoUnGhBPdgodpTa8mEBEAy95qwiUrxVqtOB4v3SOXXgP3fWFrkDTNZS2Qkl52poAcR4Z4Rbr3y5OWRz6jo6G7KTITrujnA8JBFdZJpnh+fnlhISulXQQBiFlEq6hWBiNIOVSNiquoC3GVeggTwnctbiTnYh/pEgLdkFVYwrQ6Ot7cUq3UHAYlr3YHet97Hrv0imPVRRgARefHqN8fWA+PFR6WTgEXMh2W9rgApbSzLdL1cKHMPbUPVgASxBHjYRiyAAYQWCYAoAAhmzsTI6eGQkBZ1anFzgCDFzFLYOQkq01FRWPx1E/2ewwgIISwMBiLG7fpYy1gmEDAGCcsNGgq2x5gAa0oIkLFHzyoCXasqRt4yfQkI9effXKqBFb3JfXBa21FAYiQK11epwmPCFA4ZobQ/3/tE6mYoSgg3Q0IhJhYflhGBXuIwIYk2leaBjOwxkkhZ0wMDRcUt8Kb3iDQkGSkXOb381ve39sAPnz43GRtfn19/+t3jMi1H1D/8L/+rh+2KZsM2SFx7T3RiQ6h+KjWH6ThFBotiOaAALuez1lEOoVgLjNSkmY3aiNqkfbNJptJXDvMcZv263h2Oyzxf/FLyADFFBqN077UPejip9j6wAD4sTDItMwFZuk7iT09EdHk+v7i/W45zZva1J8E0z6N3EgGEw/FwUHm+XAhxORyYhMfWxxYQ7TATEmK2eWpz28nhjML8+O6xzcrCQJQB1gekT00ZUJCWabYxDsdpdB+9uw8AsIh5am1qMXyZm9nAKFZxIHNgIpGNgDR3O0yH7YrEUtmOWZdqOkSsfgzazHe9cvelMOBeiRrhyDflCQkIwBMThBlbGzaWeZpEIZKLs4kIA4hRG2dGsbZuBXbMwrgREUKENGKo437utYUAGfVgA7gTcmBCWtWYlF0VIFvE6z/7449+9z84fus3rv162cbhk2+KaBJsW0qjtkyj5d33fuf85hfoSX2ggGckABMCo3tGBgbUuKu08T7GrOruTTkQAWl3hAcCUkaYGSELsaV/YBogSB23PVOFIbtFkArgfnwve0jVB0ql06XOdhmEow+semHYd9jdPFqUAMa60nu6jcybhopMVa4SFsQMiGEVl2cmTk8gzApI7spIkhAppcM2Rg97OB1evnp5fXwi5QIWVJcDolTHnScwYhJahmJ52TEShG4knf3YkAFJCQ5Rw7G6Ru7jB0QCAqqq29JFPzjs6xZUAJIkLiueRPi1j4hkJGmMyDKdvnN8AAtbN4PM5XAAgOt2fbibVOT8/BSZdwcQ4Qphl38LERDTwiokXPPZkt2LfJBRtxAo43y4M6Zb79tq3pHS+5XQ0oe51VcCCtDqgFyTLNznLiVL3K7bsJNGuCz99D+CLgizWSAReDdLIor0OoHnnvUE8LwxJCopwAgJuM+5IBETmdn3WumiA1U5dGn4gLtbmdIDGDMxKB0oUCzTIpMgRgQnIOxGN67ge7gHa40BuE0zM88TXa5bOU7Ng3chOt1DpDDPEIBNqeiTpDI295n6fKff+NaYlg7Sh+BzTtkw6ZUuf/KH/2z9xU/uro99fYYcTehyuaINwipVxghk0dPxvttQna6XyywSHsICmVPTSCfBhOxmIoyI2/W6+1ZFGImZSnBb+zbPTUURkhDarQ9sjIjwpirMfVvn+RhI63oe6PPxuA3LtDY1JR3bRYVbmx7fP93f3V2vV2KaT4cXAJfzNd2l6XI8bNuGLMvp8P7yfLp/6O6neZ6ESDQZktDCl2UB4RERlKw0bEzTTEzTPEfkshy+/vKrzGTmzVdpApBNNSKXeUYaeENIrmsH1Iw83h1EeFuv7rb1jZUiE4XcEgWvz1dWCUBi8eFlbToc5owoHJWVBouUSKJSSV1R2da1TAIqYjaKx4sY6d7XXsz5CM+Ih4d7QFBlzwDi8EAAFTauNu+QKl6D6u4DIhDlsZkQY0K4q2jTdrUkQmEOTwxnIYQgwiABjyxB0SECGgf69Rd/9t//7mffSKVhvp2vdBDQaZ4njyRpW/ir3/6993/xJ351zw7dZWkF9ExPpPDINNsytPEwQ4bWmrAQgvsI8wrlEHPZUbKcjkilFGY4s6SzuycmUKW/pGxCzDSGA1BCBqKXzItYnE5zx4wSFM1HCZ77lxfB43b//LVYstMaKjDsluUH8UgRyQRmrBgBAYY7Ae1Sn0qdQOt6N/qADEKZlkVVzs/PxUghgWGdWXd2HYJ57nN8rL14NyaikHnILapFEJGwNxYg7sffiHK4V4OFV/6rXOSZSFj5FXeDW9C6jNgI4GbmZmbCIso+QpSl08cjhqVBQ0YeiCLMMAZk70nzId0iciRUst3DLIKY2zTVhsCtdFSMCKBU3hF+td5GROFzY4zMMdmAtEyz/hz+fru8jQiWjDAmT+ACTuTNiT+su5sFCMsumrtzo518FC7MiZHhDgjInp5BgJRoSQJR/ZwBACQcwwCBiLO6AQA9nHC/QyFEZhIyFfLw9hL2LJvXdRULu8RMMMDNg9zTUZgaQWUpELA+7tp3Ss1BSgAisbGnMDyib1bXviw8t3C3oSK3m0dF3kNICNkhVIiIm8gAml+9mj/55GsSR1qdJxmnmQ5Id+v4y3/23933Yed3zKOPEVty1uyIM0GE00m0tF9Z101Yhvs2RmPJ9EiY2uSZ4bltXeWoyrvomNwUMTI9xjaEGCKRCSCVJaoVAElVe++ECFXnO7dyart7WxbRuSR1JBZVMmZqANjacr1uyzRFZGtznJBYPaJNIiJtmrkpMn/6rW/c3d19+cVXSYgk4MnM03JMiPkwMaKKECTN/Hx+EhVuOrfZw9wcEKkio8wiEpGATlSHO7c+lnkGphmhTeI+DqeXADHGZt4BM8KRWJoO22rDBmLP4enIULkwRjI3EU1IhGCmSBflih+XmdjcEoJJWtMxurkxU2atBUh7y0VkxDIf3AciMFKmY4AwI+FQj4yokSRiRMwyXRKJubzzzKSMBWRBJjBv2mDgQIcMQqp4GiE7VTtgHRUpLBTl+Ud/sf7B/3T+9ndM8LJesC0xrss8D8ck7CxteTj91m9e/ubStmtjQhUwo+R9+hnWmmIE4V6Exow+ughHpiBnWslj5bQhFLzpZZE1M3RBTkiCQEghMnMiyIiscu8sH2hmpBe0uOalEREpTStIURaAmo6Hez2TmHuILCOJ64dhAgzf6xZUNRNYxC1UpXKcPrAGQaW27jOIjAxH4jBv08SI16fL41fncFeVbVvnaSKSqIxUZgKItABkViZJyJo7j3AoowTKfvat0Bmx1wk7ggIr3Vb4O4BdZaw3rhrlCsxcKzARVW9KuHtEeiTkPE8eVr4bhKSQCfSA7Q7lFLQkn7bRNmsGR9IH1Aege24vUV8EnhxPMn803X0D26vN5m6H5BeB92tfRhwc7oMegF4E3AfeW94HPpC+srzr4+Bwx/pRwIPlnecdtVfaPpnnT9r0CvmQyAmYe7VQjQKBbl7W4qfXfYCYx7CMsCqZ3iX7qncodlCIiseuGsNukPLKRNS7Q8xlARIRqstR5i6aI3hENRQO28Lj9p5C7pcQpyIREomoorSgvBpcPEdg5A7Xri2bqFwKmeHhFXOrcRYB1eG6iQqr+RhuFVYp17wHwJ5xDwPHxpEYDkmYKi+/9x04HgbzAB7jPLe8O7RXd9MP/8U/9dc/x76yRoD3MTxtjI6ExFhEwwSYltkzbTgEzNPMSBkxz1P9PuEhzMwc5hneKjLIkpmTNmEWFRH1YdPUEECZEbDUYa4ttDDgCeauouC+rpey7mR6ZG7DgCmZtLUavC6HWVsb6dNh0Umv29aWiVVGBqmWmXE5HB4eXpzXdToujpAEp+PdMEvI4+kYAdp0G31ksOrd/Yv12jnpeDhcL9exdmGq6pJ5mbVpH5u5ebiHNZEMZ0YhPB2WjEiIeZov18voXarWDqmpxhgZ3tetTQrpzFxeOcQ8HhZhFmUVxoSmSkTuQ1jG6NqEEHrfxhgZCTvROomwqWJCKSWqIixM9NHHH5UjIHZ+chKgsFS5je4pxqwxOAsjQHUgs3Brrbw9RJDpHoOYSIiEMzGibuoITJEf7rfleASEhG39yZ/+0YOqJGK4PV8XFLuuTCDTZAmh7dPf/3tjvvNptqR1NWLRSaXto7DAMMhuHQtxm7vnm/ZwA+zDGcTwRNxx67e5QXm+g4gyCrtbMioA4jDH/acgkRBRhTRraB+RIg0RkJBIoCpPYrdy1qe/y4hRVZT0IbQTJfsBAqCwTMvMQh6hTbcxiKlYYaUH7pQhIIiKyoOPToja5O7ubmoTJBLTGD0zI829h1vsem+WYObVkJgAgDW9qKl03KoyPZx+LePuvydUCQ3dTq1erBy4Va/szlUirBicm5uNyKw7SS1P0jQAhHRBCAKFxLAIAJkE1LjQbIDYApkJ0D0AMREDMdJYCRCY2YfxTCwcARk5z5NZRKbWRzzscJgjrK+buz18+um2Xcflwuxh5/uHz15/+beX7QvgkexoycQezoSQEB6KnISMAgnP16vcegQAkJGjrlWRiB96LJEqJ0mVYfI9OYxQc+FS4RKSWQixJoA1IkRm9ySi4QMpCUGI6iSW4ZiYAYUbSoRISEpEzNV9634d6+PALFMdMwRh7UwlaXNGNta1r0DAgG5jRwZFbCMasUgrS8DwtMBDm8G6B0lmpFn4NE2q8xag7TDkcP/xJ5e0YFq386GtR+SjRLP1T//5H74UyOxuw8KhPjqwwg8kRqQlCiSkpY1xOBzQvQ7OIzoiEARViw6ibasQT6ojY2oTZCKyKB2Pc1+vY/TjMo/YmFGFhZGIVIVViHmEDXOGdoS79bp2731gOx0d0ACuo981NkxUXU4LfJltmjzd0kdam9rx7rhtIzBOL+/CYpoWC1tOp+u2WWY7HtfLZZnn83Zd5om9SXnMiD3gcDi4JxEucxvny9ImSfJtTDpNOtfcEjKJpU3t/nj3fH589dFH1+vZbcwH3XpvMlmaDVuW49u37w7zEhtIkYEQbbuAe3qmjzRUym1sL+5eikrvfZrmy3UlwvP56f7+/nC4y/RlmiNjuI/RmwgRV2/7tm3MZGZjbIhRhOXMVG1V+3O5rPNxDvBqV8oEd083YUFRM4P9vIQApMLG1lih0t7CQYQATOwQhmFYlFlLhx4BQhAYnoIQGIwShKM7ql9+8tdvf/y386ffy8avn5/osrT7NsLG2ufDgdLk4+/d/c6/N370Q1mfePQKSvUxCKn7aE2REsIJUZAoEiLqa1ooxrJ613KKXIS7cvwDMSdTOaT3KXC6IAlUL0TZ/oJu5SeZQLmnfomZmEY3d9uzF8Ql2wLAfjCDjHAKIuZwywzwKKJs2FhHn5cZk3wYkwwzUiJtPcIJwofcsMSUmJGEPPoAQFG5XNYmZOs2tqHC4YkYzKIoNUvQJgAhJAhgoxdtrJtFhLAAxnAjIiodAiEzu9k8VXYEEsjDSly08Fv0t+IYyUJVKXrDccPoPTxqiMSEotLHUFVi8XACkvv7w9TYDZkoPSMcEgGbqtY1qS6nu+e/mKtYJJyQJmX0jp1ZX21H2rcOCUS8Y9/qnnRaEGF9vkDEi48e0vu2Nrfzq4+++/zzt8xTxoZgvGtiWcabYYYI1jdAEGWlVrNdsyh/BX5AlEZAAgkiUrV+ezgT+85OSMAsT25lSPaR/03hrVcH1SjE4um7OzyrTyZoh5Bi9ZdFVpzDAhmUppenbVwcgYXNRhCSUnqgAANF7rAKpjr6M2I5Owu7JAhIhEoS4YRZf99HNmmiYcNYJQm2dcX5/imUP/uN9ul3nkDMBk/Ti/vD7O+//9HHf/5P/1/59vOZ5XG79D7WflXGMTpkCqubiWjvnSepKeM0z2UT35PbycR7kIIApmkKQoxgBJ5aRszzDImTtmWe08YyzU3bok25MOl7K2RJ1kQE7oS4bZuKjHD3QXBjg0AmoGcpSfDw8gUR6iQytSRkVZ10uJ9OD0DQDhMhnaYlIUjgxauXj8/P83EBJAkQUeBUZUKs0zERAQEnbbE93N2nhfdxOhy20Yl4dFdRgBx9VPqmqfb1QgAJJMAjgQmndnQPH9amuS7qjOjDqUkMm+e2rn1ubdiAzONxCR8OpVUmE47Rl3kmxAxnYSKMHgCBmJgkIkyU7sKESOlZc79ay93i/rRUhAJx78oFxMz6PoaKAqJQBlAmiGgmTjrV41onpJuxOQGhkoos7C4AAe5lT0DACIcoJLvUro8YjWAb20//9Q/+g//1bz2tl/u7h8f3T8hqx8M2DA6zsgQvL7/zWz/76V+JbDPDWK8KIMIR0UQJMBGGO5ESYSWmCSkqxGm+y4S5v/DcZfYkEreAsrAwplcyU7ZMI9gZLyMKkhqUCOAekckKhE1EYOcfIBP3YZAVG6LcL/OZmczywW8UHgW/tEhkBEAbRojpSKIMudcOMkHWoC/c9rtK5fwhPgjISIyeyaxISUk1lUrx4lpmJgGaD8lW3aLmhogiUleSm5ln949FZFMhIhSprHT5fAB2ClLZLirz7GY1QEbAcKvdMzxY+OaZTBGpfvEyCAk+/woxucC55uCBgJE5EJhojNFaFY9BkdRItcRMYdoo03Pn2ooQUGSwKo2BhAiMwuXVUdXaIRaOz37jxXU8peOrV58+vXtnnV4+fPr+8QyIoorp6IhAXnhe5gALCyapvlPECkDeBP16xr0cSHiz8DgR2fDynGCCSAt31VZVBIjIxGZWFrHbHSIKt13PSu0RubM+MkttqTFUTdsSKQmVfdLB6MvsQjI3GUGQ9afU9SERhq2EwqgJaJE6CxBHwDTPI6J+dWEiaYkQFoIJgNZNlIB5DENlIAGaL3r49vf/J+83iuOkzDoJ2pgIP17an/+zf/ES+frudboPM4hMSmbykUDJLJCoPBXHveDpmQHAIkrVzY2JngSUkU3YnTO82gcrDc+VDRl2XOb0QIBpmcFdWnO7IU4jmYpHDwgc5jo3X9fMUOW1m4FzOV6Ftr5F3i3HE2SgkWFee79DvI5xuDsR8+PT0/1da63ZcIwQZAfQavoV0Dav22bgDY+lSVRKUkRihJnfL4dt26RJv26Q3oQxpJwTgmTb2lTSBQAP89z7sPDWpmmZfIy5tfdPz8pyeT7Py0wkW/bee7kJp9YicppaAgRAa61cv3VDF9I6kQFk7RyIWDlBZq4YwfW6CnMl8Ku4tqYgrelymIYNd1eVMNdZt9Uwk5gqJFSpSch9KpHhKmwWWvX2ABmVmU8EwqSbHECIFGQQyIzggHCr0s38cCqKMSTh3c9+NN5+odNHw8ZsAucNVV69fGWeCNlH4uHl3be+t/7sL3DdAANFGknftsv1MrVGSNM0YzhY0FQA/5pqRIUlmKT6ciBCuZlHE02ArMMEskcSlhVSRuYog0wi7V734IJEI7Fgnah8GOyI+Dqulc+vKL9QWLQyKRGyu0PVnNahkyqfmB4OLFkrPWYBgGPPKHFEirBlBAQgegQiDhvFlQcq62q4BWAwE5TpBPZyxN1TmMnEVgMpC20tbh9HHa9ZuAjEHpFjVHYoE9ytunesPKC32YfnHmVlpBp5+e6Fq0MwsjAxRh3HMyp/LAv0Fm7pkIDudQ8a5gRQGT3KNaqDwB2J0CjCODJshzEVlbsXy9vsWrsUoJuhCDFt3YnpdHff5sOLFx99/dXPX3xyuv/05RefP9/d350fO6DqtEAY5AgAUTFzBIayQuQu2dceNvpg5IS9bT4BwhN2MAZmBBBm7NL4br+nmgsB7mi2rARAbdpuIcxAgcT1o7CAoES105B7DRRracsMRoHSYDAtY0TARHxqPWC7DuAkQhsOQhGJSgxJNNXstR618EAMZRrDLAIq4BcxT1N4sIBQBtnUmllPwmWePcIjZVro7tO7b//WWe7WTvNBmqQwffTq4//h//3P/Om1pD1e3isReE4qva+I3lqDiDCvIvd91j8pIfa12zBdtHa6escyglj61gUBEdwsRUQxI5d5yvAx1uV4cjPrxgsnZhO1xHmezNPDl3m+bENVcZ/mwbAxLa3S59kHAYJnHxszv3l8+8lHH18uT+0wxehMEgwsLK0NM52nJPQIwGxzW9eRmYi49u1+Otlq5haQb968+fSTjx4f3wvB4XB4Pp8naebet2GTuQcSXs5nIRneWZCIvOM0z+t1k+LcErFywQfHZnfH4/PjExH1scokVdfssfsoqvoCAbZ1aGt3pyMTDTMW3tYNMg7LREiPz49NpNLgiJiFXik2vUU1gyHAcHMPbVVNjHd3x6YSYZkgTB4hLEaGaHyriCLep7IASUw2BhJFVj0DIWMMI6byVIqwW0ClZDKIONHCAwKV1SIrRWQ2WCdVHFsHJrg8/fUf/6vf/If/6LV1CTi/f5rnoxhl2rIsv/jyzQHlW9//9z5/fCtgyyRfvf56ntTNmip4ZGF8w5tQTbMREGKPbjlyoXyYGAIdI9xVmmc2bSMxrdpXKQkDkhAjyaOYAjDCdkckYE12cAevIFSWu2L8uePS6uYZdf2/kSITkYkiwhN4bxxJEXZ3Jii7Ee/qba0oadkzMhl0ahGAhEKUiA6RtxBGQtRXiYVtWCk9e2YhkzISKCAic7g1nUAwd6w9EtGO5CnTS71sotE7ERXvsmYbhMVLKFBmZKano9cvkYVXZylCU/X1VrqWE7IkGSaUFyeBAEMERBtYd6WmBLSTj0SkSDWQH4IGUseFwliGlVURhNWj9BkEQKuoFARmLHcHj/jsmx8tp+PXb756//bt3Yu73/2D3/jTP/6r+4dT/pzckgm9+EN1kK+iKkcCit3oFJhUdMUEqu7QgLzFoOs+a2WHrdwfZFbyLzzcDSrNgNW/GrQTTffgHCYhFmGOquz+prrsO0f5fyorVoSvtMSIu/ujTPzi9HB3dw+/eksMu8YFAAB9HdJERSJh7R12OiFWjGR3GSHdujArR5aBPmwDisgU1qxpKsvzsFff+71Op8ADs4xxnk/clsNH93f/1R/+tx/P8/r6LXNezs/dtyAADBtDtRFiIX4sokCt4krlKaG9gk2Y9lOlh041r6LGWgObaWrpqcwBqaqH5WBbR0r3IcwRnhAsEmmRwCgIo+x967bNqiqKKtu2qk5plkQZlpHd7Ks3X0prMbaFlwpJ925tWQLgsq7ny2X5xqcR0LQhUEWvh/nT8+XTTz598+51hm3Dn69P3/zsG8t8EAS3ZBRINPdsaGHn8/PUVJggUOshIAhmTCyhm1Qu1/OLFy/7tr15++bTTz6GTGG2SFaBEQl5vVzNBhMFIwJvfQsPYTou02GeIIIx1z4yoiYepTQgQLiJspkxAgASAEbULHiHN1jwjZ6xLO14mFX4enXK1EJ5ZRCAikCSMPf02gbqlyyhEyjCOiK1aQaAAGTRsVXDPHDRitAsnBAdMsNxJ+Tu7nFVQQZIYBFzm+f2xY/+7OM/+I+Wj78LrcWassXzz77W++nN10+vXr2E7TnJn4M/mpfrm8fT4R5hQAxIBKgeVmbWMYym9AoDIqrytm51oqsIFuIu+QZEBhhkMkU6IZgbIzn4JMWqRwICS8okQB9BQplRV6eI6H2IMhIWTc/D3Z3h1oYIO6dlN8rsKzYWLJUIyzxC+3yhiuCTRXzbmBgcAFxVC8lf+0ptJogYFqp8k2zroAdEt4aWhJr5eATxjt3fseH74GK3TWbuk6ha1so+p9IQ9zHMHpTGfZFBrsFqEQmixuaVUq4lSEqg2y+ZmbmXX2YmWS90plfXHQNiojCXvmF9bNc13JV4V+09pfoSI9zch7l7hCGA9WHdSufKgmyEY2RrQoTbtr17/7ja+OTbnx0f7v7y3/zFz//2x+/fvl7Xy+Ew29hjIJgYHpleojazsAjm7m/F204OEB5hw938lpAkItpByjerb6Gc6m2tR9zM3ayWOQ/HPfntkPsOfOvVw2IouXvuK3XeNgqA3IUkRlKkcen+zs4/fsKLaRAFYdDUGgIlYGtKjL1b+RsgEwgioyLKzCIkgJCRzDrMARgQWWWzFSmmpjY6IanONJ1WaHff/N7G7evny9qjTbi0vJf41V//xfWrL2Fb++jDbV2fWgPwETFYtB6UGxQ9VaW1ZtZLGSZEAlqmucrWlfdYLwIoyzS1WmKUeFJFyEm1ume1tcPhkJZCIqrCeqvQmlUVMkbf0rN2YkYSliyKD1bWJvvW375/dz5vz5cLqKBKt3H/cP/u3bsXL19+/eYdiT5dLtswi5A2rVsHkut1O1+uX3/9bpit1+vju/c/+clP+tYD0tJ1norUdrlcnp/Pw2yYPz4+r+uKCDYGJhTvNzMRgQusA3h/uptb4+pOZMGEaWpPj4+QSAyRMcIq2BkWiBDmU2vHw+F0OOYwBsjIy/mMCHNrkNH7Orfm4YjpbuV/F2Gp1jpEgGCkCii1eRKWaZ7nuRGhu/kwwExIVY3IddsIMdzLWcDE4Z6ZpQpUHEZEmmoTbsIF76sVbb8JEwESsWS5tFjKJ14LJURiYu/Dwwo0EdZhe37/xU9obBA9fcBmGjmu3bqR4uHlw8N3fvvVb/3++814WcyHjTE1JcSwwKSxbWZWoehZZ0hI30/h9VUtkmiNspgEAQPSvIebimy9Vw2yg9TRq8rpOFzrh9Y5etjYbcc3f4+Hm7vb7p6pP2BfqLGWda8qyN1GuCd+8tcsoArFZ1kTVcR9JCSTuAcCeJFkIjxjuOVO5YMK6GWV2kK170LN62pdRoCyEhXcOzKGj5r+I2JEeaT3eb/fKBE3iBEwsTDdJiJQk/3SDJh5F0T3ADSWwFKoMbo9DHXarfeJENK8sr3CqOGYToxNYOJUxRlTMBmhKc0EzQzMkHEhnCOJuDFpm5Y6xyiLEGXm6H27rvVlQ8DHt48fvXr17ovH568ugnq6e/nJZ996/PoCHf72r36UEfO8jG7b2ksBr4o7FgoIswLYhd+oD7G/5sCq3orgG20Bdi0G6hpYd64amFYtUf1zulW/AkL18xUsOiJusZFd+QHcrTxWp7m9CAiJiJIYSVF4wPUXr7/605/MSLMwBKDQHv0U4abztCzLQsQiWoqfWxJh5q+1OHMbY0TtzzSLTKAUFOlGCcwSJBdaps9+68LHCwm2CYhOp6nl+M1Xxz/5J//PB9br0/vnxzfrel4mFghFnEi0GIoOXpKAciZConBT0eo3xyo/Tc4MYiKgys7uqdSEouq3ig8SCbP1DuHKIiosJCRMbMMifPggIuXGxAlQsuzSJgagTEIwG4hxOhyul/Xtm8feOyAvh7tEUZ0odxfV0/Pz2zfvMvLp8VGIR21uNl6//vr56ZwA5vb0/vHp/ZNqe/P1m8f3T8zqkWNYRDw/nd18u27X8wUgN+sovG2bu7GQToIABNhUWtPD8ZAJZvbu3Xth/eqrr969fw8JInxclojs25YJLFyrg7uJyLzMInx5PjPRuq6X53NrmhCISECVjwPf+6AyQ5i4GgiFmQmiumSdIJlAmA/HhVkicxud9pYVTwArbu2wPsawzrz7R7Ein1VXh8BIiBie5hbgZYykvdk0A6Lgig4ZmHXjKAbFPqBwI+FASEgpXyzgz//y38L6GLkhRV/XiKBFv/n933j45sewTNYOr777u3x4cR04RoS5dafEqTWEKoieEBXLjoE7BCwpS45IiBrcRDpguDmhRAASeyaR7Kd0wASvryZEWb490RMz0wugFgXFIWRm3gEMyMTV21Fn7fpP7pmAW23PzSkekcRU5se6xYlIRLibexyWQ2R4OjF5QuytU4SIjMJcy8uHUF7tKDXiI8qdIlPTy9z7QgAwEYmRyxp7w5pV0mDfLQqP4e41yq8BTjmDEaCABXtyCIGIpEi5JPV/xttr8RpCAiJBxs69IyAgIiRGZmYVUSQ0s2Gj4GUVEhlmxdEUESIBJCZSEgiAxD5Mtc3z4uG998vzcxnP52liIUgYa7+en0/H+fHNu69+8fr9V88x6MuffUEJymTb2C5rLeGZMMbmPoRYWespZ5FbgUpBj3Y9JKJ4IBSRblaMF2aBWwgAAZiooKG1Xcb+Xu0Mt1KQ6j1uVSp02xsBsn54URDoZuyv5T8jmUAQc6Bd4v7Th/tv3D89r8Css45hNiwibFhm9mEB0cfYFwVCkWoNRkjQpkTMLIg4aRMSJskgFAkAoJiX1rdhyFe5O/3G38mXH399dT1MhzthyuPE/c0v3//ozzXjcn6ahNO7jRUxvVaAjHD3anWuLhVGViIiyGAiEQn34T3TmNiH141Kq2nXU1iUBTOzcuAJTaWp1orPxO5h5kUhbtKEBRBEuG89MwgRIVs9mEB1gJqXpRDybn48nH72818iSO92OB2v1+v9/f3T83W9bH/91z96Oj9frtsY4ZGe4ZFffvV6672PYY7b2t386fHxet2++urriEouJiI/P1+YJTy23pn5elnrSg6YGLBM8+nuKCKZeTgcCPD+4Y6Zl2Wuxxgz08vk19OjiDJ1OKs3dZnnqbWMKKLRdl2b7h0sAFn+H3dzMyKkBGWZWlNh3G9kKbJXEIY7RBJRa1URBOGOCO7BzAlpo/oFAZGEGwHa6CXk1EUfAUSIiFQEMRGg/DC3sS8UsTmh8AsQH5xFjKzKrFwNlg4Z5J7hhukUDq9/tb37PL23Yxtum8VyOq59dXQjGJzzq5eOC9JUK4iZXddLlYtICiW75RjGtDdD1PpNTERkFt1H7KfdICZCEOIwBwchCRsCKQBYRxSgbkaNkhJRGIVRwHJfFzPcrXdzM4/Ytq2WCNgzQLtnpGZesVe57CNdRPhwza+TeI37EDGK9E1ZGRhEMh8ZAYDhHplbX3MnORIQeXj9MXUVKL9Q/eTiC9w8qWRjABTYB/Yet3JiVYOhOwCKcF0Cylixn/mrKo5IbmahiHSPPkZmVDGiuxGRiIjKbd2i2//uiy0VzdUjIg0oLbtFj7SgSAgHs6wqRwOs4WVmDIt1+IYMy2EhxnnRBLts7x/Pb7pd58N0vFumqbn5dl239Wpje3r3eHl+xIx+WS/vz7/62S8/+fjl4cDf/MbH88yn0wKUqi09mbXSksP61rsNA9zrU3agClEd6+ugLuWVByg+Iu7Z2pIEok4cHwZzdXf+MMmp3RABwuN6uczL4hGZwcxEZDuEe88N7j8NQKSgHBAeAKCTGkN7MbXD5OGr9YS9PIxZrMfYbHSbpga1BUXskEyPhLyV37KKMhBlVhNWTbYwsXrXjKdtecmffveZ5zEf5TQdjxzId4fTj37wP9wB+OXZtzN450TwDIus114fG+4lZayCRJBY/bRmhoBKMmlTlqlNKiLMmFihJCZWrXA5hNvxcCxwerjXpKJilOFen/heHG1GCMoVjEazwYxCkhGQhpjhdnk++7DDtFyer9u6KbfLdXv/7mmYE+n7t49v372fDwdEev3mDasgsTs8Pj2+ffM2LO/uH7Ztffvmzbaub9++I+breiVkswjPy/ny5vXX6elmh+lgw3z4+fl8OC7v371z91/96lfTNA0bolrn+qm1yNwLDBKRcF3X8DyfL+fzufABBDg2E+bT8S4z3755y0ittcd374rb3K/r0ubG2te1Zms2xjxPPnxSrQIkBk4PH6Ys1/M13OfWHu7vTofD3Cau6GrE6L0eePc0dyLOxG1bGYmIhw2G23koEzKEygBOEIAJKgwf5j9xw14iuTsTMXJmjDQDT0YgLCXNzSErzceZGG7g4/O//fMYK3Oy5ta3y+WqTXu3ZL5ayOn0m3/3710didSHQ9I8HTAxq/zaDLgwx/u/zAYR9TGGOxMXb7WxCgmjhDkREWuB/OsOVK8unTIzycOtYKDhpbVSJfVgp0KAe9gYdaHHm3ZX4bBawm7T2FLrYB+bAJRbJgpEz2RlpCGR1jYbERCYm3fmcgS4TJqQUk5Nggjv2waIZk7IsROMIyDiw4ESkZj66N06iyDs/HnPvf73gzpY5qIxBmK1J3n9Yh/0ZDPbtlFTQRFBwnme66eZm7bGLLkTj3alIupO4HvKi4DKOI1I6FWUQ0iMAKlNEYsChKUzVaGMKGVYUyXC5+fHaeFtvb57/+ZyPQtzBViYGROKVti3dYz1cn5ar1f3jTCuz5e7w5EItutl+Pnx6ettu7SmHp6ISKIyI1A6tKYkxMTDzN2xOCNe+Y76vDEhCGm/cN341fBhhgP7Y8H7fY0A0s1Ke3Gvi02qtsIKLdPit/xwrfJQ4WTad5T9blEakfBICwFRDWfrw/oAKMpuBuReG7XrPChNiizqHqL7l2IMiwyq8BsC0n6jH8PHCCJBVm6Hs5G++hYcX3aQu49e9XFVHpOiZvzoB390h0ExwHqO7t0I2cxEpTI3VTgs0ohFm+5nIAACLMZDUw1zYtabyI4AIoVUBWF+uHso+nvfrlNTiJi01QvQuTTqQERRLndBSWBYpdo+mGjW6dhmALCxV1P1vmUGZJ7Pl1mmy9PzuG7vH5/fPj0/vHz105/9IgAu5+vlskHC8Xj0sKbtL//8rw7zYYxxdzx+/eXbdd3Oz6uiKImPUFH3UNEvfvVFJpyfnpc2v3v7/nq5QIKyXq+XVx+9fPP2tTA/Pz1r0yZqw+smVH3sRHh/f7pcrlvvFTBdpgUgZ21pkR53x6OPsV4vTUmI3EbtkTbGqxcvlAgiZm0YaVs/HQ79us1zw5qAARbwiRAzQoiWNs/zXq5goyNE4QJFpDVhpjo+I+Lo43Q41eBXpe3ze8CIaKoI2JrUey7KNxccICQzMzECQARXILtGylTHlAq0ZmXpMfca3DqrNs73P/sxj+v18e2keVjg8vb9+njFBJ3Ex0ikT779fecTsbZpIlK3sHAiKoJFufW2G/SCoEY0yqKR6PUQJABiHx1uFb4qShkIkZiBPJIHETK3pigCwole1WmAGWFIwYw2vPetFGBmKV/NbtvY48CQmbsH36yO0gDFPmQiCo+9dyFh2zZ3dx9jmHtEeDV61m2szk99G3XKZ+ZhVcoCRBhl6tzZxomFGbYBkO7BLJDVthlQBeNedAOviT0zmw1hLg/9sAGARWMDgMwQ4T0xBEiE5hYetUgi4jxNlYlBgNvYo1j9GV72hMgIinBMqMoVAmQSJnILAlRVZt1ZCMUwsR2j17RtvfdtnZS29Xw+v+/bikjHu7t5ObJOYeCRbWqJaaMTxRjnMZ7TO6M3ofPT8/PjU4Y/vvnq8vgOczQWwcl6hjMiV+a2gC2JQIyEXFYZ2DWaymBQRqZDIRaVG0TebB5UqkupQiWtAICH1+GdpTaFulSgezw/Pw0bQkJVHkk1J6nvSsnCRYzyWhkZ4fBweLw+b5e+Pg9tLRHGcBIhoZKoEJGVE6DIfMgAmECQlJEekKSYsI9rHD2xkKUgKPN0cCALguVeXn70nT/4OzDH3Z3MDe4OQpBH1bd/+9Px5a9mv2yXNyrk5oBlgpLMSAwPK8tTZoXjOSMQgareJTEjkIKZzAYh6s4YpiZNaolnmVSlLidu06S33SuJGAIo092v5ysTVXi90m0itG29tuTLeh6+um1takQUw83s6fnRxpbhh+Xw9PT4+quv5vnokdvY3rx9i0m9j3k5MPDU5rH2iPzqy9eN5ent+wnl85/9AkG2yzgtpxhxOp7cvF+33vv7t++VuK/b+fn57dvXzAKe58vzi4cXl8vl/u6+qW7b5t2Y6f7F3fPzeZ6WusXXzU9latr6GCIc6Qy0XVdVYsLz+byuFxW5O9whAGLa2AjA+raoziq2raNvaQZhAjgrz6qcOc+NCdJcEIQp3FRYG1eRDhOm++hmY5sm+XDHxayebdQ2MQsTDRsikp4kWFex+s5U77YIlaXXw8y6Wbdw3OFjwHXHqft0aWMswIxSDoZw9H1Frsj7tsHl6fnzn8vY8nrJsYl7Pm9x7X7pjRtDmx++8dG3vw962EYBTLjo0+5j2NWzZxoSZoKFc1NkCUcP7GGe5EDbsBGF1SqzZmYEuHNmQ5rBc6wQRhSItI4YCQ6RGOEOjJ5pFmP4fuVhIhaP2Hov//dNK4mo7gFAqP7z3DW9iL0CaUe/ExUtvFyBkQ4AJDI8ErCAlO6/jlZtW48EIiKRGjDfxg+UgBV/q78PgLUE7R7DvBHSET98JrWUqza4jTFKSHbzhCzCXc1w8sNIqEyEpTnwrh55xH4IxoSbfulu5XYhIhJGKLw1ZLjXQqlNkSgTmFFaq15vEioiqaWtY5NGbea1X796/cW2XpvI6f5ETImUQCQi2rZts94BgRSR3MYVwjHTrXvY2jekuFyeLFaaYBubamNu4YAgsY/4ubWpctIsUmOVDyMdRMLEdMAK1e5W632WV9t7hO/Czz7zxNtIrvLMaWZj2BhDVYV1mqYKrpenaN9F8Aae/aD71E3SvA8/3h/by0YzPF221BaNuptn2eD2ywgTubtZUQwxI9wiAYnAs5TtYGX38HRuABTCGgMBZpzvrjTnafnW9+7v764negtPv/r0YWKMe+Wf/skPT2Db5f16foS08E6AVVFZyLz9+UI2DwD0EXV5YiIbRkhNpdrKlLlODcs079FeBASYm1ofTWRuTZjSYhZNdyVV5oIIuZuHj9HdRrmbIPN4OG5bB2AiTo90P7TFx1ARyPC++TAPh4x5Utu2sXYladp++dNfCtDo4zgvvm7Kuq3bYTn8/Cc/U+b1eo3N7+bD49dvEXBukxJvl3XRab2s4fnm9RvM3NZOQGPE9fka5iqKgdb73ekY7r0PBLheL0+Pj8ry8tWry+Xcmrq7+Xh6fF7X1d3u7x6mtjDxtnUVCYsYBhFMdFwOwsiYDISBTPTZp5+E27ZeIXNS8TFKC72/u8OMeZmEiaCgqhzutvVyZCECEbgNQiTIuU1NdG5tano7yKMgqbD7cHNE2LaVhQrmnJAieyKUefclhlukIYEwKUl9aZjKFpNAUIzjgt8EZCUkI62K7Nw9d4J8cvhXf/vXB3AYF86OvYN1e+72HMrqyS6H+dW3roCJPDYrMFlZ3WtiG9VsQ7hLKObapLV2Ot61qYnIVFVCKu5RjYTla4RM8EFQ4UoaCT2SZKpTMuEtoU81IpfT/WmaZgRkljY1Is46cQuXvbtMn0XSLkdJ0SEtrEbwIkKE+80gQYhrPEtI4bF3Du5iINY8BxFJGBExkYgSk3jPZJVsKCSlQOyTMNg9ptUQVxtNjZihQPkeUZBW2ouDEaGmsryvS0hIwnvpPRNp5VQKfPCBBFfCNCEAhoWNEVX8DhCemDcVdBekYa/GLdWu96ubQUbG2AuMIMJGuhG6j+3N6y8fH99C4DQdluOJeRqGRELImBTumUiswDX6gIgR6e0gm62BDpwjtpHbfJgzcxvbZj3xJn7WtBqYSUWnQHQPc68ehxJy94kOZgHOAChrQTcr785tkrZHE/a/AN4Dl3UZ3AeUhAQZeXm+0N64XRmdLI9QnYiIqS4BYwzADPN13XhuMPHy0SJzM8+9rqHqffEmO9XDgQWCIALiPcrGDFKHgoQEqJ4gcxvMNE1zwtRj9uPDf/a//U//V//gm/+7//Tv/2/+o0//4+/Dx/Oladf++PW//eEhfD2/n7St6yXDMLO1Cn9DZIY7AkBAcZTyZl9glKZiw/Y5MlUSeP/WMXKa1zgIHJhwnmZGBA/CZMFq0ZuaMrJbCjIGnB/PkIiYZiM8iFmkauCAkVohEKuic8S4DkWO4fM0U+Lz87lpE+EvP/9CSMbwSXj07fp8nlSu5zMkfPHFF61N1/P5eFwu5+fr8zMnQgQF2urp4THevX/3y5/98jAf1uuKQOfzxSzGuhFAZj5fnhGpzW3rq7ZmnsP9zdt3x+Phcrm6h1sRREImdcjWtA5aiAGUz4+Po29MdLccJ1W30tzGPKkQU5CNfnl6IoSxbZDBiMfjEhHTNBGij1HNghFOBKe7o04CEeBBAARovbMgCWVAE4GEMQZi2VXLih0ZYd2UhZiKeNNECTk8iBBhJ5pFhJnf0PGZYBkBewQKc29P+rVvLilKHwbwrNBV5dYicdj181/C9VlwKBqMYZcVesCW2eN66eeRn37vN7Ex3HorI7LO0kyqQEqcAdUP6B4JSCyiKq1V025BKDKSq7wF6ZbrwkzokSjNMxySVKno+MC5w5N2mAmStLZMbV5Ox/mwEPM0TTIJClYeLCASY9SpEMC9GJwJCOVcsPTI3It0IwLSwQIqKIMFQCuP5X5FYN5Pk4CjDwvPiB25mPBrh1WdGHEfNwGieZmZom4D8OuFqoZLSITDbScR7IIllnex9rz6UXtANfdLxK8PurucELGrmMVZijoU1pkYCcgjq2Jp5y7EzlYjpOpWi/DaIdIihkspTYDn58d1vULm8XA4He9UW9/6sixp5mMQpqqqNlESleomBgJIDzPiRPQ20fPzo7ltW8/AaZqAINMgHW94c0x0C2FVmco7X4OUSIj60P2DrA9ElLDvjbX7JpTHaV/K4wbxkb1UD0WEiZnIPcpvBwjl/SitLHcZaf9ROzsyw4YjoCg75HX0wEY8u4NbMDAaYR0TKtckAlmF8vXcKFUAAAExK7cS+10wiYiw8IGxrYN0mh8+OX3nt1596ztHGC/t/B9+e/6P/2ffRrsywd/8mx/y9tTX8/X6hGjpQzgQBu5aN0YSMJe5QFirSgEQiXcXuTapHSKzuGEJ1ZcrIsJCNKnW8ZMACGnSiZC3yzrpBOk2RhVcAyZiRsIYwzMTQEQvz5fDckjEqMuBD0YkA4oUyBjjMM3jss3MhPD0+P60HK7vn9998ZYRD3MTINu2Wh+V9e3Xb3/1818oEoxYtH31qy8OOoeZm10ez0pEmZ//9Jdvv3oziVzX69ymbVsf370TIma5XtdScT083Jd5GWNdlun5+Xn0frleprm5W5snYhLhplL5ynW9ZMbd3d12XR3cM1rTw2kxG3uKJxMgRWXbtuvlUuSfdV2Px0OJIj66NsmMdVuZcJrUzJroPM+MzEI6cT3NbdaaVgdaVjU0QcUXivNTxeHEJNrCc4xOgtM0eYS7cRErsQ6VlJCtNVEloczETEYUUgAqVElWqXamsBBxLfi7Ly4BCYFqZOrQz+9/9ROy69jODGM8X3AEGkCgBzyPMX/0EbZTUmNVAHKrAo7MzBzJsK8cBfBlwj5671vhmBLSM1kEmcNxDM8EVi3ibzIZyiAFTKIUpsLI1fcFmd0SUQC5LYtqm48HJLpcrqe7OxLOpJqBI1INXSOtkKX7woxY7snyBVULWC24BAiBxVPLYm4iIaFHsXYSEaqkwdwiArCqHaCWKERMr/HMvvVW0ycjIYKZhTsRVaMAE31QyqH8nfvoejeq7k9abSiAkFVVkhFhZmZW7pXaM7y2oYzMdPN96ktMucOlRGh3LpXuXzfxMgwlpocDILMSKlZ+RCQJImJbt7dv365bF5mIWgKGJ5Pcn05pvSkf5kYMhJluQnSYNIflCAaExKf3j0I5TzQpWF+V6DAvGGjdhVCUCUCqchDTIhAxAhB4akut5vU24E1d2RWBLOk1GCUBi5sYxXuozRN3IQiL+4pU16vbVl1RFOL93oZ4+6fFt7rJPhVKBhYJy0xcDlOEeffHL9+FdcJsrDVfTKTADMrcK2GTEiDiljBOAPAwEKg4AgEhoLJygQ2FsGnyssrB28c/f23zJ9+4OJ6v/Vc/+frp9UaX+NW/+bMlrW+X9G07PylR2MDCV1XPGUAClsgFSJE7jKt8gPvTzywqc2thTgDLPItU2JpKXWxNRCRsLE0yMsyFRVUISxUYrTVCYlYi6n0gSiK01nofzIKAGSnEGOljpFljQk+KXJoyJCJs12smzG26PD2R5+svPt/W67pe5jZNIhjBhF98+StGGmtvLB+/ePHFL3+RYRBh1s+X9y9eHMcYr794vV2u63WLkdt1e/Xy1batRNLXPvp4fno8LMsHUf5y2a7rNi3L2/fvH98+Ku9o6yrRZtYEHJv5cES6Xq4R2Vo7ne7uX7zYth7pgGjD52VpOnmtwWbCNPr24sXD8XTUpuX0tTFsG5O2qTXKvcw63I+HwzxNhFySEzM1aYw06wyYY3SpmcF+AISIWiw4whJS2yw8I9EYXbQI+Ekkt2QMMgkLizLX17h68WgfgidClNrFgsBEkkmYBEVPB4TCz8UA75//+C98fdIcU7qdz9itEXCGWzjwRvOL7/yetTsDASBVoSKEU3GcyWM3WVcJomoRJxEcCFmlMUkEJd7CN4jCmNEZQBnArXIkSJCU9eVKrC+jIpO0aZpbtT+GQ2S6R425YR/RwLQsdTNJrOKBqG2yjuD12/KvxzRARARUemRNnrMgewDlpDdz2E91QiqECgWpLjMnwm7Gp0p/l42eaxRW6jQCUlH7czegF9rAw/fZFu1R7cq3ZewBxg//ohtcYM/BAdSfhYjCelviytZOdDPmZaWj6zJTdsYxRlHlMIEYmbCoFb338sXXA+Fm27rVnYpJluV0d39PyDVMoGo+Qa5T/DRNp8PdMh9VJwQmxtZ4vZ5t9Pfv32aC8AwwZSxMd+YtUgJwjEir4RgzKyGnV9SF3WLPQ5b4Xb7aGqC5E5KImnUzh0xmKX1k50PsFx+K2w5fE/JMYC1v6I7vj4iqOasqhRr8l8xQH6fME6qyNMKJTeDibQ1bnZBsdOJK1hAAhmcVi+IuDWVNQUc3rKp2D4AsiazMxYSciel+OB07yOGjTw4fffqDH37+f/mv//RPP7c//7L/7K2xLOPxeXz1yykG5EgLJcQMImIRM9vDH1nBy6KQVjFZVp4QAFubmLhJa6JNVEWmNs3T1KRNKsp8Ohxpp+8CARHyaTkubSaky+WyzK3MAn3b5nnq29qmtizL8+P7jFy3VZsCRLiVxaSCV0zkbgDR1229XO6Ww8Ph9Pj1u/vjafQ+LmtLhGEaeD8tDcHW/uL+/vz49PnPfnU3H2LtE8n6dEELDEDPJjpPk2/j/O4xzdbzZbtu58fHuU3PT0/bugKkiIxukHA5r8xyvWyEJKzXy3Y9XxDwcr1+/fXXTafDckCEJg0iKLFfV8jkzOv5DBBN5eXDXZpR+DI1HzY1ViEmXJqajWWZCQkzl7mpSBOZmpRi1Jo0ZWVpKsvUlHmZpnQTRIpUomVuGBlpCMG38pOMJKTWWItXUHdrltE7Ey7z3Br1sVEZGROEqdxbkElAw8zco3qyqBD8lLjDJnctEcH3MEGpa0xIkJiRGJnhBKAI9uYr7FfsW25Xybi8eRZLHqNhIMYW4xvf/4MNpkiKcklAIiIzB6BFpgftVC9Q0UkaBIzeq6hqG9t1XQmISBAEs1TZInx5ZFpCAAXJqCcYKYEBi4JHu+8bKCK2zcbozJxVPVFGDC6+AxKXyA/F0EfADDAz98rxFht3n6REDc0qMbfflqK6dGoolwDM1PumIunJjCKcJTUD+jCoNlAkYqoVKSGZSVWFhZn21QmAbkz7iKgwVg3rq626VrkaRWfE//iiUJbyYj5HJjPvFwsogdjpplxGhkWMsIAoQgi5B+9yQUFls27rkKWmOuyFcRBmRGg21nVllmmelbXNi7Y2qlqa2iTLGN3HiEgA8ogtAlA/+fTb3/zWb3z08TcQsduKlMMuz8/n492ru5ffOd1/5+Wr31b9BPJB5ZXyQ8aUwcJar4GSCSkd0aFpq3ZixJ0tiIiFaqD9iGRNmtSbFoYFOq23DhOZLBwYLKw8VcSITL0bFWTQU4iFBSAJCDL2GsmIzGQRIEyMTEeKBNjO/fnxmYjCHYARhJjr+LwfsgCj+hKJE9PcmCgzakqzfzBeYb7IgPSMQNVZlLaxyjwnH1ZXnz7+on/yz/+8/5f/8vN//ePL+oRf/dnfzJf31M9ma6B7+LpdbmPH0n6SALScK0TIlBBEAJ7giUA346ybubmVKoKAo/fMqBvQMrUcNrFM0hoLIwgTATaRMEcABmwiPsbpdKjK8kg3G8s8PT++n0UmJt86RhDQtl4FcdGZkY/zMqms6+XudLw8PR50GpfruK6HNr396uu7wyHNGaixTDyt52s/X2dui0wPxztOigGY9PBwJyyQuMzTj//d3/q2HaepESupEqlo77Zu/bquoi2Bv37zeDy+QJ6Q5LpuQjzJsuhyvawqasNamyuTeXc4bZfLPOlhntfrZZn0xfH46cuXsW0w1rnp5fH9w3E5zbNt69jW0a+YaTYsxt3daZ5a9n43z5wp4QLJEMLkPnxsx8NynCdMv5sXzhSERpTDBVlZWXTdRkQWpZUAVaS6nVQq4N9Ph2VpkzJY70zEIp4mk0jTXtbmEtKIGCCGV+k8MwqRskSMrMJUIERySMfY/SRQ84pKie0dTZQOl8ubX/yMx5AwstWeL/68wXUsTSclQnz45NOcJxJl4TEsAR3TIIMSCYUZGMrE0ftY1+t2WdGAksJKfcDIDANmLVKcZ3F0rHtnLYAGJTMWqrM4P0x+K5a5XNbd6EwEhKptnqeSf7d1eMT5cs395JfpTomR7mHMUq6OSN9JmaWUE9V4Zxd7rJfsTghNJB0iws0h0M1VBZn2atU9fcTlKoII8GQUi2FmxFrzKyAyN4esnpoKnAoxASpJYRkzMj09PcATISBqlBQRzBzhwqWD72PqEkfDw6yPse2TIo9MF0JCECkROPaGw30mkBARHoZMw0am35hpLowA2SYNt/V6sTFE9rqYeZqYWUSlNQAIyH1UwlxjtULXztN0mI/zNDPz6B3Jh22RKLpM893h+Go5vVyOH909fHZ3/+nh8EpkQdKqfygPOwJBooUDBAI21apCSsC601WAEhmhgKoAkU5cp/iATMRqNfDCIZU+DpnhmQEiykRyWyvNPTwBK56HJabWe4WAYZZumBFhqfnp978FdwAzyCQQIQQQSZCMQZBEVdlDkemeleouh3KN5yCKzVQWRMqoHA/WC0TmzSBoOm90hrvX/fCY91seDtTe/+jHU1/7+W1fr0I0+qY61RDT06OkcUQgSIgSsm4fDYtqCU2iKqKw37ak5sKHw2Hvz8vA22mxJg+QYMOp5v0Ak7Z0V2Uh7n30vlUWz83MRmu6Xa/z1OCWfZ1UGysl+LYxAQacDifv4zgtmBGbo+Xj63ff/eZ3zo9PmLE0nZhn4cv7x0ObJOHF4SQJtm5gPqmkZ183ZYTwWXVps60dA8LGuzfvvvzyy2WZIPL+7v7p3SMCbOu4ntdlOYweSztQ0mGaD9MiwG9evymYz9ym9XxB84fTkQD6uh2mGSMf7k7r+ayEs0qaPdwdT8usxGDWmNIdIOapnQ7H4zJjxqSiQq1qkoWUpYkw4v39CcAR8rhMAK5CpWg1FS2MD2SZ9wEyw4ky3EfviDi1xohNRIkIkhMmUWUOtzoTJiYLpwckqigmYhR/lLO8bRAeUWNP2k0stIekaIefFF8xEz6gp210wHj78x/TWNOukCOyh4/eu3t4hAPgcpo//uZG4swBhNX67UOkLicBAXs4IKJvG2QyVwyp2pgbUUUEGCIdwCJEKcEScbUIxir7zUjKgrskUpqPpCzedEKMMeqbZTYywc0yo91GUoxCSLrzo/ex8D5ix0L3YPHh9k2gTpaIiFCWfETKTI9gZkYqs1CdPXdBOGAfwcFuT4R9ih+MzCQ1LPQapbZW0eA6tuMtyVQG1BrDRFRZFCDjzaiKN6JSeOy0n32CFF5Ail1arpPwLh4gIIY5ImlrWCf7HRKAmNURg6zcdrEDoARgd/t1ipWwWDrzMk1To8T0ZOBw92EIBAC29YwkQAYEgGojLg/ldu2Q1f5IbVpEFFkjVPTAcqz/Jjp40rBC+FGx7DOxuuAj9tNrQiCBuXEZaojG8K13j6gCr4ioG1096wU2KAt/TXN2GxyBh3umDRvd3W41kDdDRZ2fMW9NEZlI6BYIJPNE05xKcGgqJMw5nBEwAyEQkxH2kGIGZKo0M0fEUsiIsApIS3UgVFElRHND5GlaiJWbkvI2zHg6X9NTYaA/vsfzO3Gz7ZnAMQEDKGF3sIiEg1ADIEhWbQX/v/WOItfdvHok3DD3RGCGexgj7a22SIQ0t7mkbNWmrU3TVAZcQkZCJHbLUtSnNiPi6XAkyIR48XDf1zXNmSEzrucrAoYN9y5AEykDzCoEeZinuQmjx9bvDlNGn5vE6OhxWubTvHz1+S/v7w4xNvBxfzj4WJXhOE+Znm7H5RAe8zyNPrQpM/Wtu/vheKg56ta342l+uL8T4vV6LXfTdr02bRFepG8f4/z86L0zwMPpqEz9eoXEZZ7v7u4/+/Szft0macs0Q4CN7eFwjwDPj+8fTqf0APNF+cX96XRclmlqxIdlzgj3ocqCdDzMc9NXLx9U5Hhcpun/z9SfNVuSZOeh2BrdI/ZwzsmsoasbjQbJe2lXD5qe7k/Q35dkJqNMMolXJIgmurqqsvIMe0e4r0EPK3aCDTMYugyVuadwX+sbGxHv+17Heu+LihRN6tPTjDIbydL7uixlV2FhT1/X5Xq5NlWAZCJRDp8q3ER6b0rCVV/OR1blEYmDyEzFD00fByv2b+QWFeyDUFpGBMwEiipkR0BwhoSPVxjvnKYM4IMBAHPuMww86et9Pv/4F8c2HBGlSqiq6SvTCakW64DMjLIKj21390hv2lQEEQJsmrEIsogevvXCrgwggwq2dpRtQGDbZs7pSNKWtq6tVHYiUun0Y58IdYE5IkIAZDAS1tNJwOUjPt4/IVY7ZS3jj3EWqXKVK3EBCkHNRAgbowmpkghFephXpERRuLXhP/LE6KH7z2k7swKhhVk4HLxsndGUlUiXlZd34MNFWx6JB5mZqSWLR3rgRl7/nIirURKBmKT++sxMPOBg4oNVAACqLJTqlu69Fy9aqaE+Z429EW4+5xjTDAlVxaYT8+VytuHTzGKysAgnBAsBARdJSNVt5nOMI03hAbyZJWsDkjETgKU10oYobiS69uWCqO5QXWMRYWFxNLZzCZThgSdGhkeYV6ZdEpGnBXqlJCI91KIPwqTwrppqizNBPMo5Wbi+ZTykXofDAOD4P2rDKlKu1i4w//1vv5PQ+unMwnUj15VZE0BklnqvznioVuiIOSaxEtVjjOE59uHpRABMQAiOGSqyLOsZSBiJk3KfankW+Nf/z39q+aFp+77Z3G2OvnQPF5Fvt7+yZpQOikrSp6JVCGfmZnP6RAJRSUgfBhlUIeOQvXUhRsjtvjPSuq78gIfjmDMKgstvbpoMIGJIZiQl9X0sqr01N2OitrSMFGafpsSn3pfWFm37bXv9/Qtl5jQCgLDT0m/vb7bvHCkIXTh83N/fbdt8DmYUIZtDRWwfyrg0rUyduY+MnOMIsnp/e2fCdT3t2/bx9u5mH7cPOsJfc8whqmPsQvzlyxcWFOY5BiG42eV6QsimurS2tNY7Z4aqFOAb4S/PL4D48fFxOi1I4GEAcL2eMUEZmYAIImzb7u6ekZfLael9WbpqJQIpE0WYKBc5K0KI6G4+Zlc5n88M2HpbeiekptqbCtF6WnRhEkgIJjpAXkQi7BXPRJAZrTdG8ojiDB8/y4p7AMhqq49DG13JxlxtiZDVaw0ACAWAeliJu2Hc77//HdMADGL+/vtvGWGWRCTa94A//OO/Q+m9nbjKZ1kAGBIrjybdMIIQbBg91BwQeRzZzIdfvZq0q3w7kzAAJmB40giYBpZET0//4f/8f1ienl6+e1mWZmYevu372/vb6+vbdt8yYw7TQ9deLXX15CYcFYfh5o9T5JCS1KlSAEJ1WyNRhUeU9F9YiI6W5vSopoc5R2XJhXkNykfMxEO9U1wEHPXgWWA74FEHduQa4OEaKtwpv6XcF/VzWNKQiD3czGrkLSgMACqX9PFeDjK5DquEo0O4kqeqmKReJNWBXP0ApQOzsH3bERCZEaFSNOrqnmPUOxThiGTSdT2pNOVWsGFtk5goQmUlO9DzSO39kGQS2TTIZGkqC6KwNECJJBJF1kQlapACyPWtQWBh8OWhQULkpEdkNpMkpme4ByB5BjEDwrR5RO6Eu5n7JCrzVx2GRwd0RLp7tT+HF7eQZpZFY3hAEf8FgiBW5kHZpzPAt3npPT3ur69xv2vGIoJF0x9x3FjphoQUiMMmMCYkCSOh+bxtA5GVFY7ctrCw8BBRQAntwOIWTJj7nh+3C/oVt7ef/38L7a+v/8oSKpzokSbKNbZXHQQgFdudAEdobQQCqqhH1nEfHo/0m0xPTGy6ENEB/iAxIQt9y/8Ji1qlWxezWavRmGbTWtfpU5jcbOl96Yu7X05nzFTh82mtCMw5BiX2pgQZNs9Lb8BrbzFH2hDIc9fYhiJezr0JnVr7+tuvXVmIAI6eh7fXr6elrecG6WGTCZYuqiQC27bdP25IuZ4KzQd3r+yBmHE5r3PsQmRj9CaqlOBV7zn2TYXnnCpKxIWCrKsQBUdSxOV82ubtfD0tS2flj/sbCbalv283IHj6fJEuCHk5nTKcCNIdM66X0+W8PF2viCBMaZY+IcJtLq11VaBDmDjHToSndS1QBjAzfM6RbkuXy+V0Pi+LKgHMsROmMIQPFexNlt6WpTHTnDsRKEtkZjpgIhw1WuU/QgB+2KzKBfZQgx008jH0PACQA/bMhDQE/+Wv/yXmbnNDiI/XVx9DACgpg5H6px/+0E+niroFO/xMkFBuU7Ao9bmIiEgd8axCQpFhbgdOQWTpDjm8SFgMCGZAgN2dmJMQFuWTcpO31/cE8Ig5HSGZaT0tT8/XdV2JKDBqPM7/4aCP9COx9YjehJoJSnBfQiAAJMCMI2YOHjHRmQ6ZLKgqjNRUonpNZxwROu51VtcYXmhu5Lcx8ljIjqMwWUgikgCP0KbDE3E4OSom+puD91suQyn0AIC5mmykUi8zv+WQHfi5V24oQuZx/YR58SIAR10tVmi+u0V4uFdfHXNtjlUlkWbmaZGBQBF5Op1qtCxEaoYhYgK4OzKah5mVTq7oeWFW0bGPCJtjHuJNZkDygEJ7IglRERhqM2OuoFQk4EPXH/WXekYcpV9VnlByqlpyIdNLtlQM+Tffc+mywmcl4/ucxxhyyAKBGwtLMfX1zx8EemXqZETJdSpQyZFwvax90fF1m1/3NMPILqJSquqDaIiMwPQMOPS1mQ8RHiIJYW+9rlVkIAa3kR5AxMua/erUCURROlHz8cNC+Ppb3r90nLa/Q/q+7Rm5z/0RF/WQegIicrluqACwsGkTEaSUK1AX1WHfIUIWlGq+Ce/aeu/CkhGY2HtflsXdbZrZENVImO42fVl7JS+lp5u31pVVmHtbxr6vy1r7LBBaOiF6GAm1RcNt7a2pLE2UMcZgyO8+vzDAqTcBsm3//vOn19++nnq/nJbn87kTgc+1N4aMfWT4el6WrmkuxEqEEU140cZAgiRMqtpEILOpntbORO5z6Q0BwrK3dl5Pom1dV8wkiB++exn3++V8er5eM2Lt7e3tNcH27f7D5++FuLXl/vHRWuu9vb29L719erleThcm+sMP38UcXUWFSxQEGc/PzxGuKsqsIkvrlHk9rwgZ6US4LAsLns7L0nvlbjEyIwNkU315frqeL4yY4ZmOEF21KUPG0tvpdFrWZVm0coKZmRD2/T7HTojCrMqttVIc1KNBQkhAzPkYMOEocKmyU4Q4VMKFAYuA+ww3mNvtl39ZFWzfMZ0ZOAznHveNIIklUD//438w7SFoVdWKQMThCICiWgZ1Uc6AZVlLoQBAZk5Qub8BCJBJzOYOnIEESYQaEWW9Sp8U/PN/+/X11y8UISqskuml4qu9gUVabwi07VvB9FCWdCqLe53BDxfVY3TmgryPgZiIMDKxTiDCjPjmQDZzzzD3zFxOq5mxMCtlHvnbByvLh/vnyBFgLgfAQzxaRFuUOlZUj3pOqGaxQ8dzXN2Reci402zOOccYRKQscOAT/IgsO+xQdCiF8AHtZAFTB0aEQBWsRoSHx5Wx+I36r4VGRUbrAoCMgoAHTcJCLBlZKXQFTFXJvTYtJXXhWRkJiOa1Ch9s6mFPhai7rt5xWAGVUh47gEdO1QPKPKI6oNpFrb7pyjZKjwwvyVp6ZjghRnixMDbm2Pb9vrtZHLaLkqenuxXJAwkVpFOLXm1SeJCoBbMdm9TxYgLCPRLCU49mZAaEcCvhBJaymo9Vxd0yofZcYqrBSrkxqflMcESKDIvZVIkoAh0Vl2vIAiCC4h/j2tsz8es///VEZvaaZHPuJclv2soJAQARwUIBwKpISKwsqtLSj/VQmYW1iA2Mg3HCB38OGVQjEIAIH87IdCBYloVZelvHbkxSvnVmAYY5Z196QprbtBKbxXldKVFZM/K0LNvt1ppCZJgx4dqWpWnazGn3jw8Iv5xOjKBEAtmVz001gtNOImT+fD59frpo5kl5VVGEtev1tDbmRTWnoWdj7sxgcT4tzNBE53Y/n1dlenk+o8epKYR35afrWbgSVfz7z8/X83o+rc/PV7f50x++p8j77WPO3eZ8eX6GTKbjyrzf3p+u17nv4z6eL+dPL8/V0HVe1vv9RghzjDHG+bRerqfL+cqIS9OueqAC4UJcjhDChIhFddG+9DXMMLOJVl5bE1YlAgibxf2WYpcYiel0WtdTJwQ5gszQbdbTGDaEYOlNkOoD54qAI0QEYa3oN6qluBIoIfJ4jhMwESPSHTwfDYIIyZiwfeyvvykFo89tG/ePLojuaJGBY8LTT/94R/FkbQ1AiHV4AEuhqswSGWYekKLMzAXnIlVgdZp7Jgg3pgbITVuh7l7IFCNSLpcuyzqBkXTOGMNUlFlszvv7/eP9vt22t6+vX3//3af11g9TIz4yCg+L/4FPHCBveSAz8RG/UwYpgAzwSIcE1ZaInmFldBae0zeblZtPBH74e/MRtocISECVmHuITtwSCpEDy+o6iSJpSqj42L0QAFj42Mgyq0sCEWvq/xYK7eVcK1U8Hg4AfAi5CtQqkwFk1i+gVr7MpMeHEPBYger+ObQk1SNRILtNdyM+rrUiKAJyTsOkqlspb3QmWhwIeKURIWH9aTZtWZZKWppzzmFjDjODB94QSWZetFFECNeRWktcFM7z7VqCYz8CRGQhBJj7ICIVWXuHzDnm/Xa7f9z2fZtjn/twM3crGPeIgiAuIS4TlQZsjgGVyYA4bRZQWAYc4mMvM7MKc7fNv/7td2mkJw6I3tUt9vsdCTPD7RiqKgAAMioEhhCbNibxsGplDA/zCZhVFgIJ+26bZ7QluA2rqKo8L4uQ//N//s+XVf/+819LYBA+w6O0TTZnjf5Z40IZwdwPLJRlPZ08PSGZUJWFD7BBRRoLI2GG0GFHYsS1dxUpGYJWpH9G71p9v56egK9vr8tyKuhJVBCJkKskvXKQhPl+uy29CTJmCpMgxbDLujSW87J0lc6iiEyghM+X86p9If3p++8xgjOvp1URz703ZDCnSHS/ntcuAmaMeD2fOEGIKLO3ti7tpx9/vK5nSL9eT6dluV6vmSlEp6UT5qdPz+dleXl5Iqpcthk+T6d1bcuiipDb7ePl+sSEl8sZM5nwfF7XvubIpfc59rRcerucThjw+dPLy/MLRKxNw909VORyuSjruvTWGiS2psvaT6cTESMdKLEQnU6L2TCbZrsIL8vSW2PG02l5uj69XJ+baG+6Lm1dOmVgRlc9LatwAXOhTMpUH6wKVe1E14YJHkZ0KKZrshFmRKyunpqfDjfl48hAZDzUY4U7A1Q0iIcgEtPrr3+/9M4ZihBj2hiYiYD3234f4/OPf3bqATTNPUFbTyQWqd8qlTWLOTO3bYwx3J2QmDgyt/1ewy8xIkAXnWNvDACpVD2anowjcEy6D3SIftZySO37DojraT2d1gqRvF6vFbMIFcVYEA8CMT18APg4aSun7QhWqs29inbLF1X/sA50hzR3IGRhXdv1eiUmZnLLchRFhh1dhGUdisiwqLAlZD56GorgrMGiSAU3y0e4/3FiHDcTiNSqlOFxmL4Kqsos3CXCD4HMo+g44ygC4aMzEjJTWwMAaVpMA1VlQTG96QEPPwIcsr368xEiq6q4dIqE0LoSISSIlPENSw02zTMzPfcx7vd7WESmWxQmHumZMW2P8L6sfWnC0ntjIYBk+ZbvxPUjmMOOyfQofUQ47gpOSE8fYwBFxfiYWeXshM+P2/v9drMxM5IRVagpqzIihAUU4jhGSXQe8P7Bjy+tF/ZdI39JHqvgDRLcAhGnGTAMMzdXkSAAQSbyORGCgfGo20AEsDkQUpjhCAD9ZgTO1ipvFVm4iyprRO77FpntdIZ2Cm4hzIsO3x3HPm637c1tbO9v9vFuYxw6M0wELJ9iYfQAiQQViAuI5lkIzZGfWtcnoHAZUlhY1mVZ+6osvWlvumhTEZsj080nQL69v7nb6bJu910rJQuZEMcY2/0+3caY9Vh5ltU+e2uqosLX84VFlqUDwNIaAa6qMWxth73gvCxPl8vn56vbfl46pTMFpmP4qjK3+/P58nw+ze3WG39+unaVz0/Xcbv9wx9/ermcwWxdms3RVc/rQpnbx+2XX34Omy/XpznuKvj5+YkwxvaxNPnxx0+32+vTdT0t7XpdGfD56em8rBBxOV/2j/v3nz9RxuV0vn28L0v/8bsfGuu+bdv+0ZuOu708Pf343XetaZfWWG0fkPD+8XHf7iKkrK336/VZW7/vOwi1vojoPnYirKz1Ze2o5OFAsJ77si7Xp0vvCpAq2lSWrgAhiiIFVkTv7Xw6ndfOBD4NPKvrmCAJchFlgPTZKoYFj2TcpnrYUarIFoOYDj4zIxE8gptAYT+P3hIkReD6tUw3FU0zGvPjy88rs+27CqYbZRFy0PuCJLJe+XSRZUWmJuJmSDLdLN3DLTwiWCUJgMgynMIoprnPQORwAOd9QCQnamWhYnAH7AU1CPbrWZfl6fPL6enSlt7PpwRmUVFFAhG6XE/L2vZ91ybI5BUinxng+RBZVo7mN/43MRKBiat6uHIQI/zISEYO993329gQSbQBo1XzOGFmIDBQJqZZAMHDC4xekCwBCwEgs5h7QmZEqXpK+lmzFIsUAVwXEyEh0rZt4VH9huVbPshzSMRyrRocEu2ER75Q5f0VDFSbBB5FmJ4ZbjUwgtTkeODjRCJiZq3pwRgTZiALuXnCAYYApQdEeIRHJItmAkJpAiEc6rYfPhKPv1BZETEhhPg29vDDhlVKJ0AtfKygzwzhQ27hnEd7Qzx6XWwOYgx3qUBK1Qj3aZDRRBLg9n5zn8QpXHMJJKQNQyTmRABVikibU0Qz0sOBKAHCgxIgMB/AIBPO6cxS2xwQZCQrZwAJe7osHVxwKUmk2hhpwVWnAgdr4DNV2zFaHU4CCM/02kEzk4CqiCPTQFUhQUj2oGjL8ukTPj/tYy4LU8TS6V/++t8A5vx4gzGECJ0iJjMRYCQoCyKq6rSSlyUSEOGY1tpChFURVUgiASEkE8cwKMqCUZjDUxqTHAMTIi59MbfTefXhCdyXNuY8XdYEdLcmbekrVqzZND218rX0voS5QyDT0vRjf//08vTbb78TQGQIaxPpS9+22/l8NrMgIkwf8+l6vZ8WYT4vS0Y04Zen737/8hUh16Urce+SESry6eVpbUIsPufn56dfvrhHfH55xszb/ePz07W3dr1evn79cl770nQn6F0vl+v94/1yPhHE6dRVdIx5Oq3b/XZalzm2ZWkiQCjD4+XTJybS1t9//ZUEn56uNvP7Hz8rs1tEhCqL4Pv7ZjYy83Q6/fjTT0wCyNvtIzHPpxOJ7Pv2/vGekdwUAD59PkWGjj0xlRsiReY+djdfzytAWkRmqkrJSJhBtLn7dLvfbiR0WjsRta7uPgfwukDCx0eq6phebc/aNMZEAGV1rxMgvEK0kArtKffOwaQxwoE0lw4IEdkjhXlOI2aOePvb32BsNohX/3h976f3dTnd3z+WpzMnkbSX7/54/+W/rqSlM6mAGVWNRJ+7mR8F1AAJFBAYWa21w90tlECZmWnfbVmfJ1GmzhRDRibLsO0uu3eRYQiehcu13gvlj/Tbx7ZvW/GiVU5OohWMT4iVsoBYGQwJSUgH2f1vvWtInl7+ajoC9QAyKuclMVk0zDPSprGINvKwBIJ6oNxZ+HHTABJGRlIkpKgEYJFwblE8RCnO4zCcVj+9V727qkAe0rtyC7kHIkRC+TkqyCYezWKZkBVxkYmE8WC/M7OyxKkOxsjIlPrIkAiRkqzeu1uQR6UiRyQxTbNCz5mpbpLKUy3KBBMi3MZUFSIpDhITm6iBM6CwuFmlbKaHslq6qhIxZI5tuEVCImceLSKQVargUVV5AJGlyqfqYQgqNX8hOZSYaFadqQMBsFTQERFA5UIEYOTwZCxMBtMjphcJFglQagXIcGOQcBc6fgwFrGekmbcSzjLnjFQEQhdAIrOsrDo3a6f+aC7A0t17eEUBZTpQFRsdUF1CirBNiggmIiTzcEbSfvn8aRdWId7vMALCO69//ft/F7TXr3+XnON+b5Il/cu67h4S7gSIdOHmGVWt4+4szcyX1g/eB8uxh31ZqMY/82IgCJGBGMs7UzBRtb7Adt9aa8zkFsIEgdeXp/u2cXKFjtluzMTIKvKxbRXftC7nbUNBOp26zUiMmLMr2759fr6OfZy6UKiqOiNiNqL11Nel+ZypIgh/+MN3n56f//ov/zrCelcCWtfe1/7xfjudT6elf/ntX5vQsl4riaEpPV3OJDD2+08/ftdbMxsvn64/fP8dMo05t20rwOO3v//2/fffMwGkN9Wx3VtXDDAPUj5fnsLty+9fXr779PrxuvRzE0bM29tdOl3Xy3a/b7c9zRHy5eXl6fllWXs4ftzvl+drQm733SNY5fN33xOhsEybJUYEIgdHxDGmmUcmEqnqmKOkCljxv0pNxKbb2D1tXRcmbks7/OPmWbZHT8DsKpBpnuZoR5pYNtUtzTOIgJOcEhlzBCZHuBC7WUXEABEkeEagQ3mIAAITGDMz5sj7K8fW+3Ub27I8gWXM+9KW6wL2Pm3m5en7cbra++5pMQYJRviwqdwIgBgSEwjCZ4T3diJCYcJETQJJyqmkZqOR5BhCwFQVd5OVAjWBYtjTj8+//qp5A5+hNWFmbttuZnUKA0LMjMhKkocAFbVCakrKcZRiQcVHmruDFSxMzAkJAZ4eR2dkYQXkGYyUkcxaEidEHGOHAAQmIbBDeh8eIlSoE0B4BLghMnDxLgFQXo2i7g4BT+Fj4VFGLwQGCAABwCOaEyGz4suObuFKLjv4mxKZYAV7cHV1VN0NM3lEZIZFlVNJ3YqIKAREbGYFikVEbSt1A5ZjsDIo6vTHw7EGYSnMHlkhiMKcmIggTfZ9lomEhbfbpiRFMEhT30a427QEYhFAijkyMr/ZIDLdgxEJiVkyZhxOdYgMPlwuaNU1ihQYt/s9MxCApTJC0t1FNNxJhLAKHkrBjpjEKoe4CCufrajgKEgLAABISAhZiDHpEeQbzOTupWfNDtMdyRuZWSBTEO42o5cDAyqfT1Tv+waBpQooWVRZSyATopSmyIxj7CJ9m3YHezmfQ/C3v/39x58+223LmOPt/vWX//5J8+P3X9CmKmdajXAluiXCyAPXcjfGFpFuU1iqQkhbY6E6ykUYAmKGLk2YitUR4nVZlBkDhEiFtGkmaG8Z2Zps2w6AxIeY7xZZJrv0iExtZRPBMQYLnc/nMfYR8+vrl6fLZdp8vl7u2/76Ok+ndU6fZten6y+//HrqfV36fd8gMd2uT2ciCpun05LhSNhUzQZQuhs6Xj9dx75vY1zO57bI+8foTS0c05+uJ7dNlvVyXQFCML777vnrly9PT+eM1Katd7x/tHZxt4j8/rvP1/Npt/10Wn7+2997k76029vtfD21pUPmx/12vZwA8vvP36+n1ed8/f316el0fbl++fULs/z69uunT8/XyxV7X5YFIZjxqV23+zbN9znW8/r9y3eeUT+baWPfxpzztNCcNof1hVuElzrdHBCYkZg9YmwDMG0aErauC3RtioT5qNNS5QQVloMcy0TEOd0jbvsdCl92j3BGnEfETvmbENwRuXSAxJR0ZGQyYbFKCYgY4MYic3cVNZvvv/7MP14hA80pkxPRg2wwxDQ/Pb38K8iprb6/i0pAjBmnRQIBiNIzs9AJpk5t0UywcQgxhTgxAiISSdscD001Vg08hBMYkOKPf/xh/vw07f5+fxORsQ+obsVqvIJESB/TptmYysxlhQsk4hqLC9MOSHcnqG5BFibzwrNTkEs1AQDhngkk9U8O+0vpUOYwDPSwJkxEhSsh4UGi1Fl/fJggIpZFwwERlLfrGytbV345cA8rAB3ScyJ0x8yjz6NoiUMAiQCHzvQwduBBBB4qdgSYZoBSYaXMXIomYS7SNe0bcESYiYdKKIGqQRcOh0L5prDywRIhkoWBkiI9Y0yHYE+3OVnY5jQ3RnVPZqmfdEJ6DRVILFKY45GbgcAMc2S94cy08GOjAMz0iHTw8FQkYvKZBXPZHMOsYjHK/A0YmJSRBMQiy2nFzG3bMlylE4mwEPO+D+4aM5Aw/QgKRYz8H1zQ8E1CjCAkbs6M7sGNYWCYl1ABv7VAMJWb5mjjfJQ5CLGBw+HqyEOJlcAohwucqNgF0U4IwCxAF5Xnzy9//Ol53ntj/vrX/w23D883Znc8Ck1rVqBv0UPHf8G0+vkhCXk4OV6uZ0xIy4IFGVmIGKBJE0hOUSYhFqS19bEPBgwDVMpMYXGPmLn21T3WdU0AVb2cTnPOruruSUCMkuzTrudLhM+cpEA7NtalL+ZTiJ/OV58hTL21t/d3IbieF1XRpr3rx8eHqrBQOmz7pqrX50tEMsvH7aMv7aKnfY4qJHi6XpnldrszwPPzZZ+j99Xn/nQ+W8yX6znccmn3j4/zqV/PK5O23lpTodPr6xtmfvr0Mvb91Be65a9f3xBjWZuZnS7r9XKxiDnntt1//MOflbSvXYj++3/769P1fFrar7/9vn3cSfA//Id///L8TMxv9/vpfAozD7+9fyDTeVn+8NOPFc4077e0waokCxKKybTdzNfTEpFjTh87EmnHhrpt++12n3MiY0T0tSHBua023TPMjAmZNCNSsilHgluosBeoS3Go+5OydouHCD3TRbCCio/OoAN0xsTKf05EZCilNRIiWlRmiblpg9cvP19/+o8jUSHn262fL+vLadx8bs5KLz/+kMlzAiIrc4ARE5ZincUyatBs2lT1dh8E4OYI5AlJwFzR/8AohUAmuCCWqQWI5bws0b/8/Zf7250jRQXoiPUt+Z+u3cZMc1EiaEcLBdL99jHHVG2Hu7nyUCEB0cFrh0ZiPKIX8lDTZGnioOjgwwEKiUgZiYweTsI+sWqsqma80g/hOJnrVKYDTqAKmfYEKD8THUJKrxm4vhoEBEQRGWX0rQE1AfMB2x1hx9Vwf5z+EclC/8bmYrIQ1OWHGO5tUR8BCVz5OcIZUBriYIYASEtA9DmlVd5e2hzhBoDHryhBWJEYgab73MfhbBAq/JCVC7HhZCbK8JKp1UFqc5bpGZk83W0iQEIARGmPHnMHEVJCTHNBcHcSqtLBhIwZ6SZMhPD+8ZFlj4D0adwopqtIk4aBrXVGzMC0IMKny1WYA4BIkGjGhEhAzkzgUiAQwEHV2nQky8jAyAh4qEeRKD3RIsBEKaC59GS0CgYJoCgnHHokErgbAAE4YESRtmXFZkLmY8uORPS+dDcbibDyv/+P//5fvqTMkV9+/fWvP3//0w+vv/3y6Xn5+Ot/s/0eY6hQ5LeFDwKzrHjIBEf2LMIEEiIEM8sIbtrXHpuFJxCpqECKcidsJEJUswmLNE9GcA+IREQzVxUCkCYx3M1Lxkea9/uNiJpKMUWJtvQWYb2322bKrZ37NrZtv31++TzNAfLz5+f7ttv0pXdVOZ9PxTq1ZRXlfd9O6/rlt99fPj3t21hPfQxry2I+Xy6nMSYOFBYS6n3Z96FCrH377ffzupzOp6X3sc/vfvgDhL1/bExwPfXnT88Z3ntHYEL49ddfmOVyOZ/WvvZ2e//Y7jfz8e/+6S+vb7fL5bwsS0bMj/c5t//T//F/X7h4X+Tj9e3p+fr8cv3Xv/7Npj09PX3/4/dLXwHh9f33y+V83+9jt21sP/z4w3m9jDlZuZornvTJ5gTEYQMmegYyPj0/7fvITGJaljan3W83M4uIWql7byJNWytLPgticlPJBAsDqoAy3bcBiMxs+yA4JIe9tf39xkxeeVaUWEhDYp2Y32QkSBhWxtGIhIwQVoRAhrRAyAzDlCIbP16//MB4n5nb3uQijpj08T5YWoS3vpA2rIZLd2KJhOlBdJj5p41pAYStc2nEy41PojPSARBIWhHmKzAHgSMmAmpCTIyhgm623TcdQ0QAjJkO2SNCSb2DCID3cQO3ZHaAI2C5otAIISKovFfITMAUkeCWjxa2gxo8dOIPey8CI2VipckIM0KKCGC5xBIebqyDOKh/lJiUtZcj8iGRB0Ssy4/DvcIoqj2QmISPUAd4lLowHc4t9OPOAKyicoiISnkrMWhJeMpIR8oBScwBICKZQEI1YUu9JWay6QgAnJj11xzBgdMSOICSlWKCV05ypHtZSaHC1IBhTGvaWMh2ZyELJyQ40vUhE8KBqMwBx9DRlmXYDpVLahMxttvuZksXroYKRyJyS6/bGo/C6pJYEXHE2PaBCQRcXS0sRIgVfthYAUmYMAkJkDgyxj6gKRBGFZrsg1WJcLozMGSGm6oio3sAAnLV0xCTHpx6kgjDSGVtROETMsOsFn8ErCUAEwCzWjIRIb2a5cE9EIkVkXFaEKRjECsL38aGIImwPD3R6fn19fXSn5rgEnHteFLe3t86wG/vXyH2dZF934U4CSMyqVwjUQIGS0fm6giMgCRg4e2+KSkAqDIiIiYzdSECIiRBWpYmTMKMmUvvQjjHRADp8jANZbiLcnoE4hhjFX26XmwYMSmDJ0AWg7drb6oKhNL0ohIYM6yfm43ovGTggEHp69IgY1kWIHDICBdce2uX8+m0LMLce+8dANFPi4gS8XLqGfnl9y9Pl+cu/a4bMsgPn0/nUw1EvaswbPtkgstlBYzref34uGWkx36/baWWG3NAprt9fLz13v700x/nHC9P5z//+R9++/V3yzidl+9++PR8fXr9+iqNmujf7/en6/W3X39H4n/8xz+11pd1fX19U9WXl893m+b58t3nde196UTEg28f99abKh9JuYnn64mZznBWpI/b7bSu4XG/3z8+PtwGM2rrp8vp0KEBe/ic0yIQk5UYy/sDC3UzY+a5TzlRVaJzVRx5iLBbPibDAyE4XJAPTxEyQiCTZERgMBeWaMmYiESSWA8hQXmqlRPy/esXCeskqmz7vn2882npSw+kDN5t65eX2L4i3AESKn4ywTIeZ9axLps5EaYTZsW0aKI/WFg6sBEgBE7AgMQMAvcx7h/bze6AyMJuxoQolb6Z/MDDd7sXblGuUET0MI9gKkQBiNDcWRiZCBkIS1HjkSLf7EdFoMa/JbsVgVq1zAkewYwZXsHV2MghkqDIG0TKCCzRbYVuEJTtEhK16eOqOBJYH2QelGHNj6wIcjdtCoHhBgnfHF41/yegMJd/q6bnGpTL7oRULTEQEZVeV5rXjKxcC3f3B4YcGYEVU5CFzDgzE6CwTA9OQCaEI4aiVEeV/6OqnplzRgTWhuNen6Z2ncMqiaJk9SVkZZG+kmg7n077/e4+IWNSqoZHeqQqV5ScQE0mUS0ZnVmQZs4xxpx3ZQ0MLicFQngycQYQaSFaAORulSMw9oGQ276VD7kal8bYWdu3LKg5Z923EwoHO8xqdZkTsx/2BoRMMOe0BmFjIqKZ4dIwD+NdIhAdsRM547FRo01blkN7ykyekAFr6xmApCT6dHn+7//156fv8x//8ud//i//xef88re/7ff3k/v+9oaZY4ze+9h2Qk5w90TERGAWs1IXobv31s2dWEQ4AszNp6/r2pEZucBHJoIAVu6tKTET2ZisuJxP9Y7WddmGZQQJlyui96ZNIGGM8U3ltvTFIMwMmVSbm50v521sHnE5n0pKqNIIY+ldunz59UtjPZ9PrTezeb5ctrF/+vT8/vqGhABn7Q2Jrk+X6/X5bz///NPzj4D45cvvp9Ppvt+/+/x5ae3jdnt6Oo8xTuvalv7xdnt5eWraACKntYanU2eS2+3GjIvKmLndtuvltI/xhx9/cPftflvWJSNfPj1jUuutEgGQ/S9//ichhfTP338Ki59//vv5cgbMl08vYx/f//DdnLHN/fJ0YZLfvn5Zruufnv8UmdrUs9z1eX26JMSwWcCCdm29RcS+z/fbexav5b6uKwJcr5covBlz28YRhuAYHk1VtU+fBDTn7L0DYWlsmCgiP+IWGUQpSq3L3Dwhl6W/37cEQDqS2GqMRU7wdEsEKsCakDIn8oFhFE4LkQTsOSgPNyww2PZBsYNRYDeYnfDXn3/70//8F1T+7dc3Jjg9f/79t3/hSGYo7SmRIFBCzDFZNCyU2c3uH3cmJqC2LObHEkvEcxrJCQwzjRCQyKESDB3MCNPdli5LLB/vH3As7offqQLyRTXDkNAzwndKakotWrjXDVPab/OgTBQk4AdKVs2vjHiE8h6u2sgk8jzoWWKBdOQSd073ICAiLh41ItLDMxCo1C5lrYwISPLwo/Qqqn68RtwyimICubk2rZGfifZhDXHazEL0H+z8I8qjxEyIgB5uMZU1IVn08P5V0IMHtVZDf2kdZU4XQojMAK7oBc4xwy0A89Erz24lOgqPYESLGGMnZGoswmYGtb5k6SE5M4XVKeCREV13p4dX40Il5Ku2j/vWmL/+/qbKy3VBfvn5r9u+7yRVJO8oWb7gSAwocowJaZ/7bXuNgFO7bOMWiJDZpQHksCmsAMJcNUPumaUEFiEgiAgkyDR3rAjvzDCbVYUTHk0FsbRfBHjka1cjKSUcdnKL8EChJEjPCkDHRFVNTxLGuvITbXpAEjIlieo0Q6DHMzaJ1M0CMWIAIUtL0Bzsk5j59e9v/+n/+p8I4vl5/f3Ll/n6FWS7f/0dwwAyzJlo7KPSR5k5IsJm701Uih4abkw85iSkdJjb7suCS0aaLE20ERGSCKOouLkQ9JUW7RXrdDmdt22jSMkAB2jEogKECYu2++2uXc3Gy8unj/uHubFQv5y2OX2aQwRAX1YkSpKl6/1+R6fT6ZwIvS1/vvzpl19/3ed4+fwcEcJyfT63rkywbVvrer6eiRiJpMn3P35nZoj4D3/+0zb2H/744/vr+/vb2z/9uz9/fXu9PJ0LYPz09OTFciS2RdfTNSHcQrQjwe2+jzE+vXxWkcy7eSDJ09OnyLxeLt99/2m7DWW53+6t3X/49MPldMlMZrrdP+7jdn55WteVke/jfv0kExKX9uOPP3x9/X3f7ae//MUipElGbtumqpkozOaOCNpa7tvpfA6P7b4VoPjy+QoOCBDh27aJLJFhdlC4KlwWxYhQTnNKTE5G5BNdIir62IkwhTIcKbUzGGI6CiaBdH3b932OaWGAR0NQ5VJ5SYGgnD3THDCmz0UaIXpaQnpY7QxHjM2hKASY4/b2O5+6xzSH29vbH//dXwRmmKsQevbTeXe/IIMNAwMGV2FEQkGcjfW23d4/tnQXbpiYCWFAROZRHelmposEQGZ6GENoAicwECSRx8fr19VijGFugDhHZjs61gt9rxOckTEOQXwmeIYweURCDIs80B2ccy4szFKko0eUiwswgag80oEFukfFKZlNQBTCbdu0d0w/zmLEhDyycmtE91nMc7oTiZIyq2fMMco8S8zb2AGglRCLiZimHZofoEqJQFbZ98HVOiBS0H9AJACkY0J1YNQK9aDEzT2QkJCRsVxfUGshgQBARadVpxYkVC0KS7WKh4pCZmvtvhU0BsCgLL03dzCzukezmAcqA2oyITLyNECAklEBxGMLyjBEmtMRRbV7wjRvizIzCfd1tfvc94lE6BCRzBTulfErTbpo2rQ53Y1JPIcwT/fSOUUkswJgHN/YAd0fX0ckKiZAmCdC1YwVOA8ZbtbXFkhF+hOwu7MDAGQ4QIpyzAgzRgELQHAk7IJCsjRihswmDHFEhCNBZTyU2Cuz0EkG4HVdMpJZALMge8RsvSfIHBQbKEgSMTdlVsLt7WN7e2eLsb+DVZqe2G6F+iESPKQNzORmySjMY5oqZ0XDH9jgEX8EzJFRLXjpQSIsQoC9CRNmOCKLMAnxlLnP3jgwIxES+6KCHOHny8kj1uW82zhfL2OfCUmES+/Q+jfvZWHNxHJ9urKyKPe1u8famofvY7TWyj7S12Y2P33+tI3dzdvS+rKY5XLqFTrikeGxnk/SlJBVpS96yUtmnK+X99d3VlzbaYyJBMx8v9+frtex78t52e87dTwti7QFAIXb9Xqx6YDAItfLE1M/nxsjWvgf//TT6XwdtremYxtj2vl07n1hlY+328unl/C83+59XczsdL6slxQWMGemgGiL9r5s28aMYBBmzETM7i7K0jQsEBuCgeQcEwj60s1sTpPWWqdhUz0AIKaZB3JKyDZG742AiWkOkyZuTkwfr2+RERDrpedt4IBKkPbhmUFMjYQSph2ZAQDphIeDJxMiCNCsZBTJSpBy9IdbEjCQImDUABcOEF9++dunf/phoiXLGFvmCMckgYj77X55+eSkJEID3H1dOkaSEmQIsdl0MwSwaZnExIcTiIgZPSLMiE7Ahx+ZmHZ3ZSBKRiAgmJMhbu/vLU1FynJZITw1F2tr99uNEhBRVMAr6CGOhE+CwEQklW+B1TDGTsQeBX1geFZzGISXJh4yH1hNirYx57KsSCjl1ciESPfAB0RTVPP/INlMYYlMd08nFqnXXL0d9Z/wQD7CgyGz+IzD0EtH0lGZomqkrmf/yIDKh7wEMGMCYMXphAcB1csIc640I8gEEKRHXA9gXUXhGbFDunv03sq0BogRxxtyT2JAooKDoJqOCeDx4RdnEuZEZGbfePDCmApTAzxUnRbp90nadOmBDoJv7++frmeL+lEmIWDFkgYwNiaasdt+nzYYKNIyxC1KRFR0FhNFAGZGOgaUIUJQ7hkZ4cYJbuYoBFmsCB4Ze0eDD2Cx0FDdepW9h8xFZQQhIyQGCBNUTApWJEYKse2jnfUo/GFCwFnePyZlKRwQACNAECHBHTxcmibi9Hlo8FWEMCCnz3G/a+fYNpx2Xdv7lzfAmV7Bfd60ReQ+jakJU6QjEWYgkqpaRCJahoqSEhIqyxw2p60qFRYV5tBQhHrTnIZYHXGCAeHWOmOXMUJFPNwhhRA8uAuLshAlI8I+Ro55Pp9mmLst6xKRIvJxu7XeWtey1ZzPKzJqk8v16uZNpPfltt1EWaQhwbIs+xjh3pfl7ePjfD6v51NBxqKaAO4x9+HhKtqvui7dw5BEu7bWhcTDe+9NLcKUTJkv57OvCwCkoXRSbafLyYa31ixs0DxfTiwc7hDelzbG1K7rstzv28vLy/v7h6WdL+fGSkzaBM5rX9u+760pNXL39XS6b2OMTRiJUEQ7tVo0IaM1DWUWsrB+aszs00lpjsFtmWOX1srlL73hPqvTg5pU2tcGCeid14jo64IINj0CCLP1xcIt/Pz0lOljn7bfWZiZ+tKGx+vbzc0xkYjDExGUGYhv24hD0pde1UaREAAJnk4iTOwQhGROCUEJR4MY0XQDz/vH6x9X3TYgBF7073/75c+XP845M+B+3xQEpIMREgmzzSn4cPMwVfE6E6nysOluS5H/ZjNcZSEWY84MBEpAEZqenoEBYIe+032USEekkuAAIltvNquSCCAwwA/R64FXV9oNRB7ROQBJyBbBlZAhfDhPj8DGWk6AjskegdjnLOczBDLxdBOVMWdGCokQWWQB7gQARBmAQFUrk4egsUiJ8gmBuwNDQmYkluqyQJ0jyScjQ1US06cL8TRjPu4VyEREQSaowG5wC2J6qMuRvDxviPU2AhDRPUrHJHUJHy5cPszEomI+kdLD3UKUxz6IcAwv754l2JxEWqtrgeO1VjzCpKgYCR/x7YrLCMCsbqAMjPAx9kwOQGZKwjnH2Pb0WE795fPL119+j5kidZeAOUAEJKLDvu9hJgqUGB7ECIiqClFHdCDyIWsrDLUEvARuYT4R0DMpH1Kwkn0SeASaEfGjCQuISFgDMLA+dPCIoCgmBAExcH/fr5J9ZaxHiMk8HBKVSgBGFcaXiCTDZlkBCIiIPBIFYsYxKUcyYSA01UPUCWlj7BZKafvu+/b2+29M4JCI2JoesgRlQUFAZnTwwysYIaIJGNX6iCTICCDCXqU0HpDJjEw0d8s1W9eEWguFBCgpZ2D49Xoq98PMWE5935yJE9I91stapbIBsc8dmfuyZmbrwiJLLoDZVEha3X2EsCxLZee11gCBBKeZCGtXUfUIao2FpbeHKwdL45EAp5PaetRjERHL2HfU1npvmaBPvO+TANZrH2NG9+3OvS8AYGaM0nsnFGYGttP5vO+jt355usaDKCTC81lp3wGxLy0jIWFdT0vvAODuIkQknibSALOfltvt5hGZsZ5OJd2ZZgVyrmsvx+KcM8LXtZOQTfd0Ji6SU1RCIKIiK5OVGdjchEh0hQRViYw57f39Y9EOkMQ8x+jrJTJX6hYW6tv9zkJqMgeoqO0DIYlRhM0hMqaZHbHFgvVxBh5hJ1B9UIjAFgOz2h9qAI/62RNkgld3XYB9vP6OaYkZGMoo0mL6to3bPUnQnQDZLJWIiIBSm0BhK+kZZZgniMSwCrIBwGkekSRCxKwSSA4A4IDuACOrACwp0cl9N85gQgI0z8gEKQKBM8MjAJKFLcE9GBAiSQiwQk8rDKKK7bzVbGFeftVq+4qM8CzBJQJ4BCEpYAXvHSBMpjAzMYIhIyMzM4vwAfAX55t1PmemuRHKUb1XBff5iONMFK0KxQdPfpiEo0kjIvNZcqmCa/KgEymPGo+s+s6a0x8BxpgZLIqABWlVmEQRx0QoEUmFVHhUCD4iMvO+DxUloqBExMjaKI+WMOEKb/i3GwoJD8jsYSsvljoiqHikxCRCAGWOSvQGICIRDkc3325b43Dz03Vd1/7nf/zz65d/5lCCcDCEEuZzJmQAI8XBnmdmhFfmHh1M90F7PPDKukLp+NBLoF+yqgqzRKA5jYQQBeCwHYSHk2X54gMy06ZXfEqFbmYVOESoiEPuYygRQQWFVw556YYx061Wb5EoF3ZSHGaYhES3mGzIZObK4aUbK21npDCaec45tptAbLf3Sm2fYzSVI5EpOSMCAsLL3gHEUZmFLCX2jkxRsVlYGQszAs45zpczESzLMqedl/Pau3nc9+289KbCTMQa7v20JGRL3MfWe3fwpTWPNPClrUAU6egAguu52zRkWlpvoonJQkRcsVGAIFWdllEcW2+NiESFiUVatCQsJhY9XJinGQn33jyCEHtTZgpzADivZyKqLqN9H62p0EAMPJgNWZe1YNveW1j0ZSktvDVvKszo7ipsDizk4RmJzNqa2VxP69xtPXUmVm0enpnEIpTgkOiqnJlN+/B96Q0opyUTRzUgIioyE5lbIGAdhJAE/nS5zM2enq7b+KimuYAQlsR030sSWn5wYkwgSFTEp+sl3etHjr0hUZgnwNLXj/EOQOuyIqD7PvcBAZS03XYAVhHLZAxhGZEeTojCMsIToGp+AhKPmHKOx7lwPCThFftePzE47PET07S1/T4hcn+7+XZWYEgb05uoXk68KwOPKPbQmQsocE/3cBFiFYwgRlYKjOQHU0hJTLsFMQKRgZOiV+ZVJBgwCqX0tqDtGZnp1eflVp1IOcaeWLKWY1IGDEj6tg2UCwyRHNwfy01WdxYiQKWowKHYTmAUIMisGQYKKDN3YqLK7WGwaWOG+zR3Zol08EfC9tGyHkkRHqSAgOmJUjInPMDbOBqJMzMyDtUhHLYAKqkpQn67PwqVgFJmlHk5yjxRkFFknVUFvidWrMVhH0OpavXIY05PSHfv0qthN4uBcSfCfRzlNR5hZpkBQJkQmaKUAYBUmZ2H74Go/E1Q0dpZrzM8bfok7QkpTDOQEcY0A5cWEN66vr5+/Y//05//H/+3bCxuN0DMrIqDRIg5NsjsvUWOsLqHIQHMvNKZECHBkBQBicnSADLdMwMZAQORAeUIayUO94RAEjo4Fyz5Q9mmEQGoTqKyVxcrg5UNDYjUGZJFJCPTI3anRVgr8JEOdBKImMsIWtZ8j0BCRopSuhFEuBLX+o+MIBiQgEEJY9sjhzRsRPv9Bm6UuS49I2bY9KHYmKiSt8uAWMtgIrbOmVn6DURovSFCU3UPIWbifRvt1AFz38ddRYhenp8gsTKle2vCXANWAlyuK91hmEPgdH9+eTKfiLmufds3FELA9DhfThHpaYC5LMtpPXn4x/7+fHrOyKV3QEKgZenbPkWJhFprkQEI2nt6RETtB4DYmZh5mhXkyMxEFEzC4paISEwklAnCnA1EyD2Ftfdm7nPOjFRhr5UoECEvpzXCCYXM8lhMgZknWCJoExECBG0lW8J97EhILAHgYa31mBsyRwZgrOs6xiCkJm3OTVUyABEjfc7BwqrqGWVfxxAP08aVBQWZTRUI3LKQSHdLBLSjf5SBhcmnMbOP4e6VSxyBxNVkJ23tY1pfltttI0AI2O/bfr+vy3Lb5zALLKcrSVWVRg3/dHR2QFFhh8QwE9KDhBEqxSD46AjCqk1nxHm755yyoorkfVv0vP1yw9ZFBRIBSduiqhw6fdOm04ywxmI4QhBKAieCkMA0zCqRxSIU0dzNjSJZ2FG8BC/pq4gNS3AE68puGOGPujqKjKpJY5Z93wuMgW9mzjLpVlY7HGdooSKIGBA1y1bqQR5epIo0BqoakjjiFEnE3YkkPJLjCFgEtDnxwAeqSCcoMSNYBACihvoSJtJh4azTGxIqESfcs26UCtMVhoKJ4LDiPnQ9XBfDtzcOiEDIcAQkPzSlR0YoHQpUrKWGmbyUHY+shai6lfIU1L/j7vWni+iYW1ggCRE9VowymoGbH4XmmRGYEHNaRRyHG7GUJQQr6fSIn023AZkedlQHh4c5Rob5b2+/xz/9ed/308qOBEl1vUFAQgqL40g43rJHQmJrCyEmBhEgQXgCpPtgXQgw3K02k0cqN3ArilZEZkDmjLJvPEofCQ/muUQCTCxCZs5FI9WVnoCNUSnT9m2zDBZWLmARIbKwKQAKN2aBRIKK9Dp6ictS3VTRQZhZNQIcSZtiJIR1aTD26/X09dcPm0O7ITgxjWnHZc5EUYtm1t7p4Rbh6cTJ3CCzintqBGirVidzJkybcjoJcQCEw+m0QubH+wcCvHz3CWaO3Zmid9nug0FQYNo4nU5q5pHD9rHvy6mpaiJ9//l75Hz9/ZUZRTCxgq0JCUdsqu2lv7TWChPjQ3oAqizC4KVHJTqKoo56+kNikFkQXxJCAiMn5BElr0FChOTuTVRUK0pFBD2cmAWo5oDMxMjWupkRE6nMzZior0tk6GMrr8CsaVZorEMmgEO23o9wqTRtPTJ6bTThzk6Qi0gCeAaoCKtNu+/33lvxmwyEaTVs1Yuv3vYCSMLRji5vFC00MrURIabl9JFmCNFEt+kJDlxXnnrGnFkFvJXI23rLAGYR0fNFNn/fLVUJIpgj5pgRAEIi0wIRsA6lMlAd2QSJwJ5xwAgID29mXWooxOYB2zbud2zPHNDCV0x/26GzraTSEzZp3c0sDUWPltM6t4SdGQDHmMJS5ax4tGQf8vBpvi59pkJgBjZAcRdwimCEPMo4dssd0SOcsEIaEB4TUM2CEUZHTj6kBwokJAUlfrMVBAsTCQBUPoOFV1YiHKs6YXEF+UhIpvTC9xMTkomZ2dCLdxTVuU9Erk2D4IFGPGLnkA+GOI8S+SywhA45EtYrT6gwtGSmjKhVo3ChI/qh5rxI5JJ/HDRyufuIiKqD85tClDASKqe6lrlwEEQCiPBAKmduWTaiEiAivICgwlK0qVl182JkYBQQxkA1G1fY9+HUJSB31KYJadPisXXWQ0R1m4UzypiTCSrIuTz02/3OwEwaPiCLNiFlGj4h3aYV9x1ZexNDkmozmx7OTB4TieacuINIexgmMtMjPPPwyQBjWWJKBJKRiVkfRR6RKunucxqEZ2ZYVJk4C4NjLVluOe6zY2qjQDYfzkGPAC/A/EbnMDIQJBzxT8TEzIGQFS5khohhJv3kzogKmX1tRbkgcCL0RT7e32xO8fDpJFRBfEQ8bUZOBKwk9IgU1QgIc1wqdyiZqLcuLByAUFnQEpGirCzaRUSEYG67m/nYuzYWcY99jN5lui/9lJnAeV5WQPy4k4ct7QqECWCxQdDl6aJdzI0QWXBpLSBUlvBEgspYkibwLfIpKxQEM4NK5Y1HggqJIIF7FAgtosw8bU4fTMLMxYHPMYWlvmMkAlDAZGabBohEgXS0hbRFRbSS1IskP2q7A9PdI4gQoczVFQtT8pIDMY4DK0BAnHMy87SBhF17ZM4Y5rP3BbK9396QYF2XDFjbep83ZqmfoAhHBJNE2n2/tabVQY1A+7BwQ6LIaNr3sZlVdYR6CZaZ1rXjQGlyu9372rouY78ZWHiczufb63vhexX37e5mHu5zWhFLzSLShoWnQxIBAkNYWkRUDiNW6gESUYJHYiQiUoTViYVJwiqMY+6+35UIIi6940yfDpjcMRCJpWkHVh+QnEjEWo2s6D4BQFTTA5D2fUDtvATcJWYAo5KqMM70SAHESPdE5CixkUrcohExiI8hImPfVWSO0daOyOYWbsyc4XggxfXlAiEFwTeiFQHcPADcTbXF0bvxYGgjAp2OYzxLIZKH2zdFdboxsJsX5pL+beYOwppMyuiL6EcuJ37D4Lns2onID7AamNix+KGjqNGmVURmQXIVqQlZZwseciCoV0R1rxRPAJmQFa1PlT1QfoXMxDI6VElOKUFKlVVtMsU7ERMTZxXkFgKQx/xS5FGtJEdFeLhNm3O6u5u7+TRTbXNaZIgwJhyFhYSFodcnWbd1ukOECvfWwuN0Wi1jXdfIZJLDuxjGxFxTCWFhS7VJihQxw24eGebTcyICU922gQDVTFAw1OPyDKAMcA/PBCamox0BD8CEavanXopGypKrlawICSOTiZelReS+WwkSmBmTGPnI5EwgIlU9TBwAx3WbWCJj8ESHDIDAOayMORmprUnTMfPt9vH28bWCU99ff08PN5PWKmsCAKgoZWSsokoAETKfopIE6VHf3NIVAa7n07os59NZyo4I6BD7PgGAlM7P1/PT5X7b7l9v876JIDF4JDK3pmNs2rgyHpjpdF6XpZlv0rA1bBXjzdC6qPCytDGHqvTePFwal/ywtealcqvfG8OwUZfkEdjNQIyBmVQHhyQCiTjEdCfW1k/ANXGhZ0jXShlDlUQkYtEegUAMiCQVboR9XYg5IgnJI8wNWZDZPQiZiEW0yVLDl0pjFo+oJzHRLQdginBlvrZKVCVGwBlu4czSZPGIYUN7E1TBDpjT59LPWYnHLEjSWo+AcGy6HOpESEBkIlUFAJXmNg9EHo/xDhCF1SscIKH3lZBuHxsCuQWJIFLrHRG3bQNKFi4pi6r23ph534a71ZAUcchL4OEpZWYACIiADEhEwsBITzcAACJkSQB4iNOQcn58ndsY29hmGBIhzn1zc9smBeaEcR+MtC5rAdXh6RAkPCMD4eO+B+TpfF7Pa18WJoJATEEgZgq7Kx/ey4hgUUqCgCTyJE7JSWYSoAnQukbMAj8hEyL3fQeE1ntFDyBWODzW/HqAQAClicwMQDxOqqoIrhhKIEK0NDqgKy+0vAZ4yMrVCLN5wJJeCQVYQ8YBxxOVo4iOE6aGn3iM7YQIwkLImelutYx9Q/9Lwl8p/0gIEXhE93ixaAQgJFrFHhVkygSZHkcFUHjg415CKBCbEAgAxSMynZRKYEdMWdnfYVFBCATpUNmqh6AVDnSpAEFCjvCmLSEJq0oiPX3OCRl8fLhAhJBEjJFpPkW1tCZMDHPMOYSFWdlJCIfBP/+XfybA8Jjpqi3Dq7KGpMIhwtEjwj0Kh49wNyv8tJwg1cPmYYfQChwBjtm/esAAmQQSRJgSPTIjC/UKLL2HFnfp08o5PX12bj7NKd1jZm6R/dx4bZfnq1DGmMgYFihUkNcRyhLFskSlA3UBIsCMObzCO7p2c699hURmBkaKo7SW8z7BAyahjf2dhdIDoQS7joiFhWSF+Xl++xLrD3QPVUGIYpnMbdU+9/n88oQBhLj2jpFEEBb7fe/a2pWX3gj57fXjux9eGDEi+qKdGwlFZGRoV2FgOjHhx8fH88slAMoDBQCVp/Tdp09z7NrauvREkDzK54nwgIAePpx6bYdYrVixSrUEQIRKDh+2MyKXJ1Mk/Si1j8fjFSW6KPgOgx5xKbU413ehIlX1VzKsqJbpGg0f5diFGNfEFVESi2CksnOU0u3whzsAU5iXCKKW4LKJJGdkEAgLRSQTA9NjfkJmgsgASJuIiMjJiR7uTox1/FIi8rEqiXB4mJuKAiIKz31mVuNGLOuCmXNs5/M65xYZJASUxaM4OHnGsKxcE6/xl+CwQyIeOG8i4rwbMlE1ESLBNIhH2gAgUwNMc6cu4X5/ezspoqAxeITiIBGiU874+nYjlWVdaO67W4Tv4V31gHMjEeB0Xte+eiQxQSQzuQMzuydRSE+bs5+XqTKDXjfqz4QEqrI7AlJAjGlghuhKKIjCwsjvt48mdDlfEmLOMW2WDaVyeCOOkt+IiJLTQIhqrfvFBWP9D6ABZAJDRaw7U2VWUjUqzzGX00qIqu3t440quxjIo1o0ExMjwz2oLtd0ispsfFwomcLsXjUAwciVQ4qI/m+5DFzurQLcK0SoUCWiCkjCEmEGFr3P9QxGBmKC14sqsJFqLY6j6gAEmdIqhgzKZWBuFWhXkRER6WalUCygkLFa6h9JF8ezcihS8/AbgIoeGh2oAi5PyNK5C8ucg3BCulvG9PCJyTZGTKdE8NhuO5e5yYq5RyIxnH7Yk1NVHLzeVcUvleHNPeTYEshiwmQVfczKAZllGS/1Jx2rEBewVn6Mkk8hUdhBvgMmEReFg0gIwYgEsCxNKHffZZ9vbxtg6qKIVvlQNbcF1FAATOwxkR9fFyREikgSjTGQuBjm6bFeOmsjUajCJgBHnzEvgmlDObCpuwVAWrjPaoBGUcwUObSnBdpOG8tyTkhWOd6bJzGsvSOiCsWcHrEwR0RfRZBiOmOerpecNqfN6W1tFS9JxJnAwh4eM797/u7r6+8o9Px8LW6GgLhzxdkTEUDdPZlhrFKGlJoSDiooD6at8Nb0esaIiBP5MJBkqVGiSw+IGTujYFLFqVefRgGopbwCTI9EPuA3qM8kkxjTowh/wPgmEEMof+ARUVvff0Aw8MP7mgUQHxNoZEJ4JmMRKwWwgnu1pswquQU4jCMlBmMSr2e09BsgzARuCASY5latsI/bECrBu7XmZl6zC0tEDAsWIaE5rRSTjWphzelzhnFjacxeV2FxUeFukY7FggY4eIXGIkrBHcjoBkiIKgnpCJhJNYUAEaaHAwISTTMmcQNS+fr29h0icAw0RCQYbjDvkhm6sjEGOCvbnNpUpQDu9MpSNKckyBz7zszKWig2M3JrAboVjOIo1FT0vplDVBwhgEM6orFE/SDTHZDmNMf70jshbPuOmG4mLNxy7jMhs0xvmGbWtSFCjcmFvkN6pENAdXaaWYGRnmE56YgzQAY096IZzOccvi4QHsxSS1VmMhOUmSCSheqBP0SDvZlHgaH1/0YkB7hS/TB11gMgAlfhe8AR01bMZ/k3SA67a9amFoiS1f8qAlDTFXu6kELlrTIKS0LicUYAsQhSZSEkIiEgCx/nHyIzFW1VZTTVxktMogKQxKTaio/wiPKrRToxiShCUfzUlAXxWy1zyUm1NSIUkWVZSaiJHPaAsLHvjAQQCBke2jQzPLyajcOh/kVCaq1dLhdmFqnXA27BJLU7RRwJSGPfIqKI3NpFaunzCrSLAIA5bVZYJpGbM1L1wmeie2Sgz4A44r+RCJGE2PeZDj6TBPsq7mFzzjEriLCmVyLio+vHISLMHvV+wCIMJMRCIiiQUCzNfewz3DM+Pu777m1Za7vM2MftDcLnGFXrhIDKDQLhyAA5dFgleYLDrgeQKCyVbyUq8UgzF2Ykun3cmBkTzVybnE7Lelpt+tPz5el6qsOMBQmhdWHm56dnFWHmbbs/PV1JqHXtvWnTpfeyhjBhuId7iYUJKL0ihzOrybmmeyI4bJKVN5mFokaUXMAPdzogAUZGJigrJhABlyKibutSdgAe9EFBpHkouOEQRFfGutM3mXJt8ZV5nFAasANphfpDKh4fvv1RhbgSHtjEQzFWT2y9i8JSMiMggInoqPs4mrkL+Qx3IgZElXZ002bhWFSRBa21YhpKGYVc0FSqKiLOMUt/XCKQzIgqyWFEBG0SadOnp5vNeAgEqzCqsAVMLMQAGetSQwJRkaYsUoBG5cJiFmZOSAgBTQQghUlEAYiBmippJnsQzIz0aft4ejpr580mNUHCfYwD+qj2EREWkSbl3Aw/ur4THoE5mLd9BrBZLUoYABboSfswIgrD1jthiKJUBJpgWxpk1sfSVEVZRQ/6BiK/1aGZs1Rz72RiVTWzbb9nJhM/MGcv4BQOL7DXr8jdx5j1FdfN0Uu9RjBt2pzlpspj9S9TMRNhYlLlIj1gmiJaiqEociBKrZcHQH3M3PUAH8PMgzorsD8iMgiRRdpDblDmpxpn6s5GwkwgpnoNSARIIgqZFBbFuyNWij0wShTmX7kFRMIPo3MiEtXjWdrV4kzgUSNcgmuIJAILq2jnaebh8NB12RzhToBCFGZuM3xCGmFAxNxHmLnv7qPOC37o7t2nmREnYOIjxk9EzH3fNzNPqB/P4d0tqB+BRLmUBvNhS3Y/PGuP7iw4pBrfBPLFauMBnXn5gElqBK63TpRMyEhdT0mqS+tNEFCVIb/JzpIOhR0y1tpAABheKao5bG73DRCYBVPAq94GkDPcVHQfEcE5E6fnnJCGGUxECYw4fQ+IRECRSMzaCJnLMIFAqlpyrOoDrVfQmkR4U4nwMGMW8wgAy7RHSKQw3e83wLAY+74pV9U7rssCkKLMjB4WEUvrY+xMTARICegeJlr18TnNAiJKGUdUB3SJAoqXPhxHeISmVPZ93QeFtFZSWu2ZlVJGyHjIggPgaASkikGEb9q30v85HLxmIiRTsd9Rd0AJBDMiMwCDDrm415ycBfLVc5jHsxeZxChEFU1bi8tDao3HQ1IqMqpHOjzL51kTd0nZqsTPCJIoVUhZCvFnhpKQFdtVlF0i2lHBmlUPK0CNlQm7SusiTUipLU2bEFPFWy5LX9c1LHw6ZiWF1aqI1QuvKnU7xpErRomJzMhU4LanZ3odm4UbAEzCYAjGRHAPm7ZHGBJwY1derlfWti4ttpvm/PR0Svd0U2WwwAACYARiMrMxpruLcGRRIZERFj587nOzGSKdgHybZnNpiBmWftxYmAhBiBCQ7kdgV6Rqiwx3w8x0nDb2sU/zhAN2R6KkIxK7cJjpzkTruhJTIXsVtoMHu1ZrHmZhOAjMlJkExPwoODE73FdImYcHBeJwVRTViQi9d0TyutEAAI9opuO0qPLWSvfGY4DPA5auOJqyIkPyN70UlIKLsAQWBw6ZkI/rqXSPVK0DJVVFABaqYYUiDikYAIg8gumzbktLAOLDAHagFkgZUAY5zzS38KPXuE5QQqzPcWmd6ACbVCTCEkB7KyfwnGPfdqg+BMxwG/tuNm0OIYy0fdwRA6sFojrZD59bmFl59uYc23Z3d5+HtZoIAKIgBQCsv5qQ5j4JsLcGQG61yR2WN0A46n8eqqyIdI86c+j4lg/6KA6HrWdiRIYHBopIzLx/2XwbOY2O0yX4OGWAiFTamJMeomciVhVkgoTWGmPhSygq2hsCC3YYEBZHaTvy6bTOGXP3MWy38IBpsa4nFiE6FC/1K4yMoNh9EOMck5lUhYmatlIfEoIw+ZyZIU3MbN/nup56W2zEdruLYObIDBG+XE7L2vd9mDkAEMG0UX28S+sA6e7rsjIjAuzbvakqszKpCGAdcO2Q0WUAAJVN5fjfDwaSvuH1lYJSwP3xjGJtDAjl1E/wuntK3lf/ZvrDEFCLAMThA89vl0Q9Z3GonEsSFg7hkJ7h9c0fKEkGpEdYuH2z5pTpvbYMIoJDXXL8sfVmqBi/anrAhAQmFCKEgta5oEaqwyLi8ZhWMytmZMwSLQMCNl2O+THLw1lPOymriAhySRSFqRiCRJg2SXDpvS3tEUnz7VUfoBpUqR+RH7q4POQleLRQJVTqxzFFBhhT1HvIBGLOMISSfgzE3Lc7UbgHC+S85Xh/7kHbq7pJwrr0Jp2IBJmQERgCMXPuY/vYwsPNbToja2tMRw09EiMJqySXrr8IUxJmSuSg2H27755pYUBZTzERMiOLbGOYTUIsX5hUSV4c+uwCzrv2MXabcx87lIvKfYw9wmtsY2agQ6N5EAC1as9pZm6zAPN935mJibRJBlCN3UQiXOdJuT4LTJw2CcnMkcCPxIkkxG/LbCkw68+p1fYxEsHBI9eRfQhzoOI/p5u7YyBXpg8TsxSa6pF5wJLgj7PLPBOBmPFRzh4VjBzgpQegkk1VJjUXslxyNay1NNLrTZVmr7b1/HZxeYQf5ZnTJyOHJQAWdsnECJDp8Ei6IKzWlEQIQYKwjEO+YtNLPVtvXSrUISIixhxwEHgUmQGe6OVXJCJmRgJk6GsnIdH6ErkY8NL2Va0WAhBzeNjw+iLqG2WuO8UxE8GRsiZQQkJgqW2BgSrgmlIaHdN+xYxU6Pn06d56j0zA3O1mND98G5HAUqXzbm42ytISwUy9L6d1OQlybGE3Y+D7fZOu2pQRl9Oq0rKOEjhgWgIQZe1NpF0uF0Rcelu6EmJ4ebnA3EqAg5zhfj6dicjm/OXnXwBSFyEmSGqqHjZsWLgsykLcKDJVtWsjTKTwNFFuTT2OdilVLWxlzI0JVGTpi9me4Bl2nJcloWMp12H9LmtiLwl/Vl7At/9AyTpqyiZEBsCEAARCzhKupCcde8MBy8Bj2sEMiPCICIsZEAGehcoWpvpIKowjpJYIGQsfqsMQHwG8AJDpHiUYLwCp/opSW3t4nbFY53z828+LCGtEhagZ84Cd6/xNCA+ra6am9OqnQ8ayetZ9w0wqXIhVkYH10dTLgYTe2vXpuqzrmPb+8bFvWwG+XFcuQqWa1+Iyqx0Xiv3D2tFLqw6RCMkAXLn5QBgADgRMRBH1d5r5FOZlaZ1kZRGMT0/LX/58hu3vOn8fX/72/vuXDK/ymaYdgKrOATwYWJmEQAAIDk3gtBERAkSBp34SkcxYeweCfQ7hlISM4EYpmtJBFbuaeYXtatOAnFZdCMKMj5pl9nlsOZhIQFHoIkLTXso98+JFsZpIEDHA4/j6Hz1YWJcQ8BG2U+I1BDyikgveKxrgITM9qnOR0DMy08wrS9/r93H8ZAuIoSiAxYMAClXPSGY+uIUsHRZDhallHAQp1CINhFj+GERCwkKdD2tbgpDQQwN9HPLwmAnc3K0wOGhNEZGJyxQWEcfwUslxj1dxOA+4Mi78MVlFxZ6YzUyH+syjdu1JkEvvRwACwnldm5ZxypmJuO7M0MYZ7m6QEF5RRRieeSQe55zWFkUEN3P3Q7qbcGA2kUXwiohHjDHntH3f77c9AsKPagwiruyO+vAhUVTjUNEiEWdkWEb1mxTzkejmYZCGNTKCh90mYYqCIzvSmLNeDESER3pWomomEDECaWsAkRkshEwjnEWSQJuKEGSIYD+LR0zzEpNVPMb9fR97cnvC9rQPndmlPwH1TBHpop1IDsWLOyGpNETiY07kfbMyrUSa2yi1qLsty1KFUPfb9vb6ysrTzTy79vN6rhjb5bwAY0CYzWm7KBOCCh+aWsTChR5yCxI5zvfEVJUHd1JxAnXN16ZahyqEewUTFQB6DETwMPHnIUL2sKx+8sqUKH8SPGQ5ZfeAiLBjq84D5ztIuJLBFcP57dGDmsweAj7IjLqWsn7zhxWwUvOPg/SA9el41L6BtCUvgPAymNTJHlVEXoMWVicIHFBPLUJwvImDtqZak91tjowgJjM3M0B0yGkTE80twtdladoiAg+AEee0GqRU9HK6MDJUAdaRZXaIXJgxsqSb9EA7DwrkcdaVb5aQGUUCgYmRNEFRm/QFUXzfcW4ytguZjtcnscXfzvDxTz+1eP3Ft9vycp1EE+F238uPnQE23C2YqskI0oOACXnMuW2bjQmBQgoRbo7Cwweq3JIDOyAHpnHeCHZeSBcIWC/rsJFQnXcZkdOmmQGgiLib2cwiMfKYOYS1RmkEdA+VBgkiWmjz2Ef5miKiGnMJedp098I88ggTqt82tt7C/IAEEKLy5TOq+gkRhEuKwogorHX31CRdF3nmQVMVb5mZD6dtHoLR+oFlVqx/ATZQCU4lnECmR6sw1FRggYheh3Igi0hv5aUPT2RiITEPYZo+RXQbd9VOyX6sfocGTlWn7QCQ4R7BTIwc5ojklbtePWTC1egL4SLqboDAjL3rHHuph23bMowEJ3jOud9uIcvYNzEHN2EK8/RAyKaqorFTuCElYSIhOM1R/kmwmBl+hAAQAgYr59BwI626tTSbBeWYH78AEYl0AELgIloysLgXmyYikHDct0XcFa57fDvAKAGmwiKkyRhRSUoAQRB4RDAleGKNeAFAiZGcBA5mRoqi6gmNewR5sduEW5iKdAb3ieAQMz1B2Biln27v76jUnz4/21+WzozJkNv7+769vb3+JiRE6TGAItPKAZsJogxJEZieDkYihygNOCGnTW2rakPIy/MFPW+3j7W1t3z/x3/4AzFZ+LaNp37VJoghwq23fWxPT9c5x+l6TnRC5iNQFzxSdAGsWiKARFVOd2bxyMgws9YaEk03PKj0AklrKoWEPKwYjxaOutUBgqCCMQquTgYmZEsjyCOtK9M9yumODzXp44qIg8VFSrDMqCS7Y36uWALIrI8vrBg/Jam9trQcxZ3WryL90IaVbCOj+mKRjtPcM8rVoF7nR0QyOhT8C5AgpQJGAAcidCQoZ0zF3yJOnypSvvrwIKRM8EgmJsFhzk3M0QDv+8ikpn3aHplmXoUTystt3g6mkRAc6+DII4ihFhRMcCorKTNkokN6glXPd6n/0B7qMiRFxCTVdb0+vVy+++mSQ/z+3dPJ9psy4cfr+Hr/4/Pl5/c72uBLH+Q+pjRxCCae7okBEFUrFZ6eQSKiMj05hFHKtgoC+9gkV0rP8dEhWwIDGmYQ6rr++R/+vMz1X/6//69tmxV8HxHSpJqsEup6wybNASnDpvEj6gWRI80TLIKYIwOJzL0mt8qDO5Y3SsvgcCEBLAAxEZNY0jMjK1cxAUQwPBLp0F8eGjdAwMi0aViZm1SqYkk7JicStjAkNJuttUxLBGL0kYxYucZ4APkI+A3OwYdBDOqRL+qg9EJY+xyyahPpc04Scs9M1sZOkeFQIrCEZBQzW5ZTeBDT7f0dGctQjgQ+/ZsLDA5jAhVvCYxjGGQIEBKWbDAh7/cbM0XCvu9sFG63r69jToQc2wCpHarI8cJxwcO1IBeuhx9LOw9Y82PUcJIHqUGlpcBH2fGxeicyCRRbiIAM4dXKVTqZSPcHnw7lATueBMIjswmPh7yksUVpzDnNnBCAEQo8dhg2Z8Ikpq5zRhplgu2OJy6VSAUAEnFZbyy8NTaI9MIZQBBQCDKn79qkfkzTnbqZe78stzk/xq6s6+fzTz+8/C//u/8p9ltX3t5eF8r3L18Q/G9//a//+q//7X/7z//v3nvmZJzuBkSsMs1KILS07uaIZBYvT6uHq9A+hrL21vb7pksTRSWI6XPMj7fb50/P63nhPL4AxEQhUebEzOxLx8dZfDBdkczCDDMiPaqaBjCbckSYWUkfPAM9laWk9pkJUeZGSIRwU14OMLTWbwRC8Egqc0fVCYV5pbg8QJ5vas0j+QuBkaKKTg6Y9d+gppK1ZyGscYg268rKkg8BFKII4XFUhiAhBgABAYCHMWHtdEfBHiICRfh9f1dVLDMfHFFSQHzs63R4NadZYmR6JRQRJBAnpllNbnNpauaApSYiRpyHlcRZ5KibAIhMQo4IIuzaNqD1tOzbnpnpTsdugd8obKjcxqhmsPSwEuZnHmmL+9ioJDOHx94gKC1IxRKS9C//9D//wz/9xz/88Y9phk219X2f9vY67q/PP15al1+/bre3+P3LL8ui0yKJIYePCbL44WmQMM8I1ipNEtVGTAygSB7pM0gBI3POQCPfyVwNtax9mdh437aummNeni7vX197a0SQ4TXDAcDH22Byd6vY9MK08xjNAdIq2a3WoxIoIkLlAtQ0QsTuTkT4TeCedVEqAoZFeQVU1CFyJiKZTceDPc4jrQQB8PEIIAByYw8gIPfoSzcLIIRMFU6iguvLecB8KEgi/VjUABikQicO4uxB8z6YKkDJQtQQi3xN87hen8yNGXvv7jZtZrDNKQmorZsbHVhrzjmIWZXHnHVTBXhZy/Dbg5QJieEhonX4RkTBmmPumbFtW28tAD7e34GAGVmpkyLCtP4+PoCBiCpu5YiLY2AWivioMKKcZjPMiAooA8+oMF5mMkefUaX2xwunRKhxDuKIAcmcXmdUzaQVEIRA5obF7gIAgZDUx1cQbRwbjJd7yD2i+hawVjMAqpAfEGHtQgyMGe7CQszMlXlwzLDmNmOMmKwLAAlJApAkUWLlCoSriNUt20XbEq07M6DrU/v3n//xT//4R7Z5e/0CMO/T394+YO6OuH2A7fuP3/35j9//+acf/vT//E//9/v2dQ5HYnO3bVvXk017xBkdMlsIrGwyBQyPbduEaG6ThEHl5dMzuqfn11+/0ndPP37+nBnh1rUl49z3vi772BfqTZtnEiMCqMgo9jsTIuCBmSCgOzChajNzOFgrrKBEQsK6JSMrPYtFyl8S4Z5Hin0Z5evhqyJuKUVVyYEojxCWREgvH6LFJNaC8AGSkgix5NMeB3NQAp3CPIWlEJKIGt4FIMrpjVDpK5AZUudPrXWZD4g/EWmMMX0SAZOqNAIcPmtew5pH3MpmEnB46Y/dwgMfmtRK/66ZEbOEr+EWD2dEZGW0JGSCtuaVdsA44wh+AMiKIW4qmDn2vTgwH+AlsAykRCa0MDjaSBCDLXYPB3MEk6QAAIrwAEfEbGtz5B//8Of/9X/9v/z43R9v2yTKbbwqE80498VXhQU+Yrx+bLl2S0gRYBYCD4DYemuFPScGcFGREWZhBqoAjhMSqbrLkRMwbRhGMEdjUcIWKTnRQ0gmYBP59W8/7z//M+ZwTzMjRkJwj3AnoXrkiyJhYRuDEpAREyEgKQDQbCJzITYI6GYVCVMpIxaurN9mh5IhQAKEIzMiIUNg6WtRRR6ceSKxRUrVhxyTCWQGokbGtIkkkS4i5UKIjNZ07DtCRqaZibSSLB6KhkQEjIzqLSAkICi2phQQkRDhogpAWRkqmEeYFvE+/v9M/UmvbVuWHoZ9o5hzrX2KW70iIl5UGZEkMyMzmRSTJUirsGhJlg0TsAE1BAgGDNgt/wX/D8Nwzw21DNiAGlbDoGBLsCiIpskkxcxkkswiMqpX3eqcs/eacxRujLlvZC/i4b17z9l7rTnH+Ep/8eJlULx9/cY857RKwGVhpcSc08xAGMcEZW+90o5wlSANG6VDYGZ3y4VuRjpWBXtN0ZERrqLHuFTPjk1rvYnALe/u79xsHgOgMSYRS/qcs/rfLLwJ2RjIKxVGhICKJMztyjaXF8OTQaxsq+4qIlJVQFhCrmK260BPqvzlpe0OOOLDiUjMHkv/V0d25XYSMTFHppuH6jUKNyqAI9eExBmgwPFwKKDiHunAMS169yUyL0J5AbuHH8pbUhpcqXvOaaDGqgTXhKSeLs4e8tnHn9x99I1+f3Nxe3j8uqW3TTjzO7/y3fF4efjyK76M6HF/uj2f353H5ZNPvv3ib7/8b//b/8qmibjZY9+243J0bcxEFd2RQUSXy1n3jU5b0TwR0bYmSVtXIdiYm/J+22PMeYw5B3Mynax8SU23bRsDQpxRbUQU4WaFvyeQW+8Vjq9Lpc61AjdVIjK3Ms2qaC7ynmNJiCmTivJhYU6OjEoZ5zL6lviYCUgPK6D8KiKlKubMqhypBfEK+xQkeiUhllFuCf7zl2Lf0oGU0q12XiRKVI6yLACZuQJ765gww9oYqKlufZseYLjX3QMmdo+17mB5asqnGB60DHGLUSBaxRH10uU1qYHKYZBwj7LsZoZbEC3fka4MpRSR+eARwcrMXHgwC4uoVFBwMAdALJJsXjnBtTIVfVE5rCyaFDGNQK23y8gf/uhH//7f+58/vcnHx7mdtvtnNzeffUMaae8X2FnI33Z0N/dHO8Yc6s/ef/GL8fS09dZ740gPSBefa6nKSFV1QLeNWAD2BGYZ1oI4J8DKGX6Mi3tqE0pPdyDP53l/d3N+ipFokTc3N5fL4ya8bX2xMImt9/ISudtSFICEOYOcIjMrf7dCwrNyG2slxaJ23T2pXvSloEKhwViETSQaYOaRTkRzTCYW1cDBxOX7AWeZlkCUGUw8p2njkkPoSkmuk6pMJ9y1RXjtakukufgyKoVhZEbJmWp5ZS4b2qJv6hAT8YuBY+v73e1pmhHXcVOvEp/Pl613ZaErusIfdHWR4eaLD0L21se8lMFMrpF7TEwiBVkunVQW78pj5rBBxm3bgJxj9K1XLUnBLCJClfMtKm3TpulzxcR6oHpVZL0RpTpV4fq9qUyDxLBwDroOh3XS14dFyYCHe5GJ9XLXbRyICBS/fcwLVjVLRiSzimjGzMggzwhVTa4coZU4KKLkREzu3lrLMclDAYQjTDhZGEnlalqZm0nMJKKe3rQza5AbLCqOhtUyYEnULNnQP/r+r3zrL/wGndq7h8dNR3i0bE31/ubWYvQdDPryJw87+NUnzzWQ096/eRCWTZ7/1q/9jX/6z//hebxrfbM5Mn3OFCHtO5X7hhiZc65y+q1vBJpz9t6x4s+kb+3p4fHls2cMenj77tXHz5qIszOTqiSytZ6AhxFx52ZhHnPdJb2xMjx9naCoV30JV0BcDiPKIkiSEpELu6QVEhUZZYtFmf8oSblmZqpVkUDVqEyIdHyQW5f+sliFsnyu3hPU+FDkW0Qoy3JfkYPyA92VBPAKDEskywqlKEdhrpN6aX6IQESVkyHMLIpKeLIszAjFO9faVVbnrOW9iuSYCB4gyQ8EMtfTHxnp+GBuj2q8vsZQlKylbAFpuLrxRRQMTwfl48PDmKPkrhYrm4zBtP74Um3l8hoVuGqAV6/3KrJQ4uR00o++/c2/9nf/3XdP4+704ma72275xau74ziDqd/fTHXnOE95dzkHJ079Qkkf3X/0w+8+vfl6vH0Yl8kOxySpVB8kcg47bXuB6YESRCYJZ1QQZhKImrLB0kKi6u7SEYbe2rw4LnPr/Ua5Zqy4JrHxFUiJ6cLct93HgVxSsDojM0FxJYnK6hWxdI9AdcRywYAEz1CIMGcGAsSMqM+ZCeTmrCvSv9DmUvGyyMK3QbTiS5MlRRozX+/djAxmKfmZiEx3SlJuGbbgHRag4MS6jJhBuYJZJdMDURhGrG5BRVAmet+YmVnd/e3rd8J82ncvhZ2HsCBTqc7PKqsAiLkseWWXBbgsviucGuHupdv2CBUxMyREua5EmxPVurlcl2Ezeu/btjGJ6hbsIqrck5Sl1WdEyyMEzqqpKUUp+TSSD4FYFJlUYmkt1/g2VtUDtbYivTyLMStpeVuHQd3j5YWL0OryKo3mNb4to+jsqLQeDydiuSIDCWIRQhJTRiHDCI5pZiosZMmy9UAO8+1ZfyBaMlNmEho+EZQUaQR1UNYTz5uAFSNIlPtpXuKT7/3ge7/2m1+dZzymtrbJvu3y9P7tfsfTLgx/+/arU99EaT4dX79911N6k+9957Of/fQXctrv7z/+5NW3/s2fviUFRQpxExGimLP1DfBhl94oU47L2G9OkVFlIxEuFVzg3nQXkoTf3N9e3j8BYAa3tQtXWk/r6u5C6U6Z0XqL1UDtFhMAs1wlPFFHe93UokJJ5p4JYqHC9D0YAo7CGKnMNRE1LBOthNyCXzwLM5RMW9V35ZxcijwWIYurnHHFflX5LZXWWZivyl+USKak4SsXcTUp1Y9dzkaqrWWFT6xDIq8ZuhzX0zThuIoGrhTz4gLraBGWq6+nVhMvZVRy2ixU1SJdGcSbmbGS+Xr7QClK04iu6buUISxR9XbCFhYeW29vLdq2abOb+5sv3j6dL3aYgerO40yf7tUtVSdT/S7hQQX5UjjSx9BMkT5S/+rf/LvJ23Qi0C5yd9vmeBxxQPWrr382N/7aD5yaJx/hw8aM+ezZ7cftmw9f9l+MH/t5ElFXSqRuWxMcjxcQhdsYg3oPwCwTkN5YWVkjiBsn0czMxtzb1hpFEuIY50hjQYwz2WEebdNt7zaGubFQJsxsnM9dqI6j4FUftBYBgpSaa00VzEwe6WFS+QqrM6dOsmUeWbsSKD3BqM6lmquCwqcTldBllo+hlI/lnM2gaxYorcA0gqqCSFTCk0m8BkZYWEXUSWmd6w2Kq2XVPUAsUn18LkKlsExeCi9e+YUZEa334zJq7Oq7Pjw8aNOAR4ZUrhYymKm1RoQ5ByI8LCOYrwHe1WYgwrwktMxCBF4Z62uDro2IaBUXiIooIzPCAIzDpsXlmOenYZkZsOnjmBEQaWX98GnTZqQTSEiVtBItpCrLa5b08KrNNiun5rDDYVi8Ia4pSxFpjoH1CYIWZ5gf3KqZUVH1GWbLbw5i1tZUW8EXc87Szs15ADD3ZZP1rNWelXujsEGgmDUwYtVaMGWihNVIEhZBj5VAk1LC6kwfTsST6CH4W7/+m9/6td/4xeOjaw80QYtLzsOPwx/P83yMbdtuTzcZcXd/G26wxIi3X70x85u7+2nx/uHynW9/f99OyiWODo95jLPHJEKJ0cuNahbTVlJuHWSqene7e5oNayQCvTyNVx+96qfdMwnY9l6siSgxr9q1MS8FJRMRJdwsPWz6dULhzAoxL4czX7fnpXYrgAN81eIQEde5vZSVxJUrh8o6rSM5EWDHUlBWbtcCS0uPQahz7ZrljDWPcyVPXOnTgmjqeOXr9khZTQ2RBaPmgpiuf9qa1a+DHaHo5PqfkUSSlLHU4NVPJATiZSxhSl4HhHs50d2DapWgzIj6RQo4rg24jvyiDZZsallShZjTA4iaY6VJa+3p6alvfb/dHVkAVILNw9ytTPCVZ1n0dDFwHwJ2tXLZodpFGkn/zvd+8OLZJ2F4eftcEc/ue87x5eeftyZtl5vb3W1ShM3j4e37h/fvZ1q73x8vB5o+//STb//FH+bt5iJB7AltDZDeNiFeV3ZCuBFxEDwzwSBpe9+2PZEkSNHeu6Q3Cp/Tx4jpiOD0akYKD3hSZkm6bc7w2Pa99T7djnHUt0FrvshE1szKKxaNVZVrrStdCcvij0qQtNjPOjDXJlHPQYVAFBUqLL03EWUVVqlneX2RV905g5a1N4OlHhqYT/PBTEIq6GD48r9e8UYq6TMv0GedaSWmZ9WezBbl2waxMHPfN1YBoFv7rd/+rbb3ujeypjPV0l5zhtv0TGfm3rtIsfE5jiPCx5iZ0XuvV9bdPby213qN6/MhEAuVl7WUSe5LS7fsiswiV66/5KKJ1jUzykPEoMZt096kValmmRsZrKIVZHf1oyeTCOuK8JUGIN2RxFJdoI7rr+ph1f+JlYJSGa0WcLoaUlkkrBS7VGEFmellb2aYm6ezkEe0tgqqWCWZgomE4ZGHhU0lxAwWCUpI3UTrRiZi4XKXsEcVDIhwS89pxm2L7HT74rs/+s1zhLNE8N72eZ7zcXTu5gjiGeUisSgZ/zQghdCIvvj5L+YcT09PAPVte/nqZWZsXYUpzUvDM+bhYebmNsu9WmaVyDCb5n5cDmF99vx+36uJF8+f3V6O87bt2joJqwoxkUBE5rQCKra+Z8aYAwl3y6h4PnIPt+k+r8EIa/yqMbyMKNfBCktsm7FYzcohKCAFLLzaTup8BzMIzFKZ6XU4M5OwEMobBVllqlR9R+sOKNru6j5Yq0U91R4MpuSFJkXWd1fwbJ289SSscz1KPBaVYwNU5g/qVls0Ra0MtQKUCieixsLlA6gcuOvHUiQKYRWvXC7HHKMkhuOYc5qQeJ31V66CidOhqmt5Bojp6XIB88PDU+31YE7myjzyyMV6JwB2X+ldJY/muvwSXEARQNJB22/81l/jbA0tpj1/fvf4+P7Lr77qp97u9sH+9vJwsTku5/Fw2XoXYpbKJtaIAOPT7377L/zmb/WXz0JlO53mnCV8VGk16vlweH0h7JmXeXi48pZDc1Ackzx2kROReEqyVGW3D2LvJxnjKD8psmjPTEBVCDyPAWTl4+dqQ1xUv3tF6CM8VqZOpqgkyN08Q0RqN81cebGlta/AGFUlEDGb2Zwj3MwtkHM4CKV4XldNppvn1Tolqw+cmHkcw9zHnF27SitO64OwZYlIaVmURJgrnk2UeX3jvW9Yd7f0bd+2076fuvZ939Jj30+VTvbbf+Uv/+jXfw2Em7vbzJQrz1SoF1eUYD3TZcVEgpXrOgXS3OacKE+RiMgyn7k5kHOOyGBeWTE126zzOkJVkTnGSFSvEOLqx3EzIJnJzG3OigHzsMyoWKLeW7kpGOTme9+FBJFm073wvhBSIp5uIGIwBVf8WXhKKoOX5iKRGcX/FfUdUTknMc0CDmRmnC+XY4z1cjKLCFcxwIfhNMin1yfuyCBwMGaSUyW+qHAjpkB58CLCzTNgcy4EmDgBSmFqRCzazXhCfufv/NtPM4cngTMjbG7ShMUzXnz0yjkv7rK1wrVv7m65s6Vd5tPN7daaRsyEBXxGfOMb36yWUGa01qTUZ+s+kow1dQIcHvu+3d7dCIsNe/fuYVzGtHG62ZnFPV69eHmcLxmx75u5aWMgzEdrTVjK+Cck1fZT3vflGcyoIBwgVRdbGYjIbFpuYSzDZKLO3UgvxIXBTCsmjkVAyHIyUJWwRcU9gMiz0uKIWHL5Ucq0X4lXQGGthKtErqQwdczWxVOCP7ryCAHK8oAXklOkca6Rf3VRgUoLUPglJRU6xEUtC5gSXJM7koDGWlfJPJaZiImbNiKW9SNCiNd9HDMzuza5aqIryheE07ZvralI195EzaablQa0RkO3HMe8vbt9+dGr1tvt/a0hzBLEKQTmmTFqN0QF3NLiG5PTPMwinAMMxHTQ9uLjz25ungv4xHwjfJzPxzie5niY44IcTI9m6Kq9M9FpO3XdOSgvwcS6bfvt/TGjP3v+67/zO88+/djShcSnHZcLaUGCy4vqBpVd2q6qBOQcnJ4ryN4RU3I2wjhsPl6YmJNg5I5+07lE/naZx4EMxBQKIQs/MK2JZFjTSqJGRiLXfRDhyhrhNiczFynKzDYGL7F7JqEsuzP8EmPAZ0QAx5yFsezbiUla65lAhToAtCKKMyvL9mogmHN6+NPxAKIKxNx65WlmRF7m02U+Cfiqk05aMc5ESWEOBtdVXV9cIlJA0tre+973XVXrMU5gzslM0+a/+Oe/9/hwPsYxxoXlesZKVdX+Uhkd7j7GEBESFlabtuoLInvrfrW6mXtEVvAFEvt2SrB7jGHHnHPOugNqGK87lJjAFeq41PxEue1t2qi+78ggTodF5eyU0sMcKxUA1724wkAaEuG5tc0jEMu8HhFZpHRmlZ0wcTHv/KHvwj0sWLSu5Nqp1yx51ZOISGsaEdVbsu07q4DJ3AOr0IaIwgIgUtW+hTfut6qNgrQ8fBG44gArZ4882UkYXF1dVbTQkuSTb3+v3z2bKCuK+eWii/Gn89NFiZXEpz0+HmOmz+itd92ZWJQjpyqfz48EO+16OT/1vgEQ0SV0I8Ri/qx4RnOvEC73cI9K6+t7i/AxzWaCaT/tERXOEe5WVKSZX6XAmQllrSVPRc2nh5vNMQ+bs4jSrbfK7s7FCdUpjKumoo7iisAsnIQLtHVbPjEW8YgrxEdXWVVFlV1RHCplZC7QtpxliQKscsXJlZMrF71cfy2xrBhE4gULF8JZm6GWYw4LfS3ycKVO1aa77hUAFZ1GWdpsBokoU5WkBhObTSa03kRla12YV9hctSqFzzkRQUQiWlRcRLqbqBCRe5jnMLscRzVjWKw80QRI2M3HMRNJTCLydD4/PD29e//g7oVdgbhqv4BCsDVWezciw+eEr2gLNwP8dLMPx6ff/X62LcGc2ZgJ6YhUyE0/+3zz/rHfnkZGJo3hc5ow37RdvOhvr9OTNuTGn/zge7OLU4ioiKbjw1KCTFVVVjOf0xW078y7v/jsBd/v41bGrpetP1kMT0gnEMiYLIZTYFyGz6kirfemsu/7cTxdzk8+jFl764g1wFdSU5E8GdDWkHEVN+a0Wadqa22FMsf6lxeLXk9pRZwJE1PYeuZLGAJKN6/KVV5jxfIQ1PmiqpTYWs9IM8PKIZ4eRpQqvUlbOlVmMEfC8xqyQxRJFvBA7/t3vvt9afvp5lbblkk24xgzk9Lh0z8M40i8e/+2d332/N7MfYaPodXdHbWLlzKD+MN6otKOywUreqlFhGdUDlFrrUqR6goKJBaLGNqquy2KKKhoM1BW3kBaMKh3sTnCTZnTk4lEdYwBYM6Z4XRNrYsIEQYFEfXWkJg2PEaEZQZIIiggCarYkkpLL5CXktMrOXE5XohYqDG0gE8hoVxr+HKG0JUMF6l0yBWBVyMqc+POkDlmRuTVPhaRQW4xmCkAkqQ0v8wqQAbxikCgqCxWSHgkEbknWLR1gFP6D3/915+m09YAcKRkZM5pB3Pe7Kd5mbCMEXNC242bwOVuvwVohAfx5XLJmEJQJiZh6PPnzx3h4aKyFGi4XslXO+gxRiLHGKWEMPckzqCHh8ucYJXt1EGZ6WB3G10bIUU3pY3KfESImBGeXsGopNIaa1NpXQqaE67b2aPqJeAeUaCqyFrXSshfL0wloSTiwz2zVpfSnbFcD2gREuVOpJHwBHMDKbFEpLlrV/dyyROTEHOUuKbq8yJK6c9E1TBcKR0VL5ERBOcMrsybCCJUbmC9BXSNaSQCE9dODSQibJqbm09EMIjByozMrr367DippHdZauaCfJYpF+vCSrAsji0cFd+dmQyqzBWiaoCWKv8xM2JOWq2HTw/n9NjapqLEGkiPNA8Hyvt6zOERZbZv2hsJI4WIkAISlkyyDLndvvOrP7BI6R0BRIwxjjkuPvrdbpkjfFION5fstxuapFAgZkxc4y1Y4+ZmMzLsN5/96l8YjMONIASq4NLWZWuqFOzjrm/P7+62rQ3LwxGC/dROp366250QIpdBmElMaUNoCEVOJ8A8kzTLmmfZdI/M8xwelit71cuHUd/maicDecQcc1lnzPGB0axoaFkT62Jlis+V+s6ZsCCd3tocQ3jlcC7LekReZdDLz75wBAFoxgwEPsSUZCGSyGURqRVFIFw1PiSMImtZifXVJ5/8W3/td/btBuDl2evatH04zcc4ph1OLp3/5e//y5/+7Gfv3r67nC9FT7pVq+4KZKQPdscri0t920QkAm6x7acllwa5p3u4VVgK9b5Nmyw8PTIrYqJYuGxNt960tXoFq3xw2Kws/svlMsdRHFht7pVhm0D5XyLC5mAiFWVaqrgmGktvsXqaEGiiRIWEkqpWoFAh/0RoWrH+lehdETS0LnYmAF7R+ZkJ1GYDVMybinDTNs3SER7h3rQBnFlFnVRf+JyWc8Cw3d5o78VJijSGCItWgDXS/PCYjCSktgakDZOmIfLs00+yEW1MDHhu23a+DN2bZbx/eLi9PVFyb/3pfAFxk8bgph2Oefjj0+W036bHOJ7GPBPBPW9vXgh1ghSwuFgVUYKAGouKiFscxyHMXJBCa8LSuraux3HMYWZ2ut1Pp64iZrP4sYzaBKw1TSzRGwuZuYcnwiqeyb2UzshYesWKQmI2n/RLzKVgMq9/pfgbrAT2KGeZrEa9Gk5qHOeIchHUkV3Y0GKWkUvdL6ssO81nVlonVzJXwe6rEwJAERhXTrIw+l/GqhSf84H3W48fs4ryOvpLCrGeqQJtkCspaLlB1ifhQPr0rEBKFIpldegLr43BzOrzodIaCNfo6pGtb6IMQr2ItV6zsNmshLJ3b9+7+9777e2p0kYzK+Ozfutlp1ni6Yhwv1wOGx4eDApfrYdJ9PzVR00bi8zpp9Ouuk5CR7TeM6O15p5BPDwucz4dl9PzEyJVW2a2rnMc6WF2DJvRsz+/d20jHGHpPse08IB7Vgj83Pt+s92F8AG8P+zzX3wVY+4H7Z5keYS8uzggCHZ3IGRDkpuZqAhxRIzL4T5bk23bbm9OEWkrvp+uox59sIb4tTG44AUuyXIsIVftfUXoF0Rcz5e7r53YfYzJRCJaq2T5AJYgmZY8ojaPCjV3CyTq31fRklaXKrSkAZVbUMc/CTFLZELYLJLIPZv27bRPy+MSw020AXpdMJYnq2YRUNplpDsrMvz88ESUVVTj0ypifVXBAPCIOQYSFXBKLIB4JK41xJXQ4F59meQRSLhH5lK/ojQbVPokM5/uVnRDWdKF5MNvu219P229LUaBmMoRUy+LrzGwclDdw90sPDLRRCu6v96fCuLhDyl1mUhOkEhjVpUm0kUa0/KQV9Z6fbtuiVzdv/VTLXkoE13z4Bki6CUDjPBqN0vKY07SZc+kTKnUATfJ0EhZfWzljsD0iASjdd2JkOHFT4flHA7pSRLwpOhbJ24OptaHuXlUnXeNnNNG5bOP4zidboDKFRZRDU83H8fYtt0zPv3k226EwJxWJARTC+dwHMdwDxaqD9bMrlIZBsE9hNjnCLOM0h5Ia11I6ubkjIyJcAY3VSYSletwU7UN60ht2s09HelgEhJKBJU4pwJz19ZVgbiZqJqU+odYqkxG5jUkp7gMXm8mCbFWXzcz8wd0HgxQ0QdytfAsiT0trecKkAOoLgYGB7zqleqZirhCO4RrRA+VEjzyWjTBBMppc8asKS7Sq4BY65tfACODslirRe2BiDhjBToCSZzgjKjFsWSpQYCwrEA6sLISQRuX71kWYrkuLaqEy+n73m9vT8L8/t17m/M61y0Wnq7BYZRwczOfNo55tjCiFFmzi3DL5O//yg9t2r7vvfGmElbirnj+7G7bNs/kppd5gCIZEPg8TnuzMRgRYUzk5q21mn5nZPb2w9/5K3k6lUKKoUgJ4iNyEkajC8hoG8fMeVZzjuZTx5GqbdvEEg9PE4dluNll+jwfc5i5z4wJqumNMjFtIrGm2AqLzQikw6tLwNJrlSwLRpThiogIItUs8eE8yVITlKx5SUVYamkjQgK1gUX9Fe5rzVxZIjUw1Lf6IbkMXTtnEaVVElTkBAgspKINxJHwiJXgqV11p76nNr09DfcvXr/pNzcgalsXlTnMplH1RAoiRthhlzNy+jE///kvRImJbBxpdpWAgOu3YKGIqHVm693dwjPcaqxxDxDMPVd+FIs0EFjE3DJDhfXqyPX0dBflCD/GBZnTjBmqlPDwcDMPb9qO8yAiFV4+z6psqMxoKjQ8Enm+nBPBuvLdVLne65rUmihW5HsuoepVqaespU2q46nUq/hz9uwaP+vPYq1YimKnYw7LjK1vIlp0ecBVualcS1k5QMMSwnra00VpVxIcs3l2CCzCzGz1YIGIDOwMC6KMtCRjJSLetm3rnRg2Bgf61ucxKYKFWVGBx6IVS0BUjGhY61ovc3jVeKgoAla7jVBj1jJYuPmqDyQhZlUFoeLDW3UJmLvn5ZhMLEytkwoi59bUzbSJSoENJfQcIiQM88uKazWvCCkRIeLW3qWMrwABAABJREFUem9NWM29oAwQwj09iBhlrcIvF2QWWURr1BIWq7C0jCmoszjXALvOvCw0vtzIpXtmIlkYdYkyrFzrjNqDQKBCb4oqKMsYM2fxOkSgMhPVD0bMdevwqoqgtVgwsRCvRT3W/VV3hYhmVrtk1uBfG0p4KIuQlBW5JgyuiZFKQXf1GSxNndRcFZEsUihQRiWWUjlaaopcyDYRcTKzCLn71lu4w6NrY+IVlkX8oQFNpLLKSgeNpQRVpSo5SAqw9tOLVx+rNpjd7H0cA5nTDg+/v7vnirZOhHmFKtUQUYW5TNRYq6ir996UVardNfTFy/7RJ9R3Ea3+Go8cmRCdniNwmA2bMH/ee4cEumXTrZ06dsLl/Rlbp6AMpzBOF6ky4RhzlLyWhea0ZIhI3zqzRMLdSwl9HSCImFcBCCqcN3MVta9NL6Koo0L7aZ2tH5jTqN5Hqhl6zpG1yvr127/6h9ceee0tBZNVQQ0SgLlXS1iBHCXCLL2Bu7s5wNOytb1vp7Y1EI3hM9KR++nG3I+nS4Y1EVXK9OO4HMc53MJMGAx4jMhJ118J61EPZPL6vZdZvRZSqGzMpCLKilhOzoror3SJ0rdEusiVLIn6fBHTKssTGb3p6WbXxsw5j+HTC+qpsao1JaZwk2sAdWbO4TZMW3NPZlFVJsmEXkG3UjOHV2o/mGU12F0bp5qqrrbMzChTYwk0sH5VWmsgC+UaFVsJI1eNKicrIiI8PMrrTwE3HEX0BcDapyOEBuYIz6BI4a7Keausful5aXlsSkAkpQr3UjhWSIiEYc4553B25dTe+kfPXvjZNGgj7uCGbCAJGpdLEy012/lxEAuEnKJvfT1/lL31aTMjfM7wiMgmnSDuUQ+wuwWCiIRVtbfWwOyZqkrMxd+WwLlEkMd5tCZ18hJBhMIt4aCow9Rsmo3IaNKWD+QKsUdhQ0LSNBNEaWElTEpAS6JTM/+HrPWi+K9Kyszl4C84NGItmpGOTM61PaxJJYMoiTPTY7UdID1iljS6/DSoXkBeF2Sh/SvAHRQ1VNAVJKyfhGr0BxNRTXZ8PbQprqM1X10ITCoSiA+W9ExYeCnQwVE/AErKlEm0JLDhWXIDZi2grO7JFQPsqDBcIRJhpaYsKPE7UDYdZhaSYt1s2HgaPqz31rsWcFHIFJdmuqCgBXUHlhgEAQRRZM7wFL17+ZFIoySygHs1yG97Hz77vtfwa2aRnpEMcptNW+NWU8WHluVENuROuSufTttk/vQH35+UDlQadJUVNOGNd8k2LPTuWeg2HIxd5BbKN92aP23cxxGw4PBO3hohUrmJdqoqR1ASRLR+creF961XOK7KGqyzubbV0ngWFFR8TFUZ0noK1vhRj2hEYXTT06dbZGhTES2BJOO6ly0NKD64LZBhMRfPDMBK6J8kdaejiXZVppSSuKSziLQWSa11kuYh5WMESyCGmWq5+5NAZscYx5yH24xpStSYlSndT7uKJlFUkBqpRF6n4uoFrdosWr+eq7CIlopFhFrXqBGIIU2I6zqlOScTZImeS9sIFp42375/8/T0+Pbd2y+++nzaSEKU8aF0yCxVqrw1RcY8jjnNI3VrsikxqzZh7ts2xhxzIrPKmmsld/fwXEhOZAaVs6+C29xWrAqtaX8Fwbj7VQJOWIXGzYaZWWaAkeu7jcr9F2IPf3p8AIXWtCV82GX6iIynMV3UWBw8HewulLRtG8vu0LPdz7yJDItxGJwIvMw6VO45ZpEMikBCG3cfGYa93UgoB8WYcZ45jQkxXSDKLTzN3cICabB+6qLCxAjs+xYUyZlhEXlMO53uSkA4zereynCp1X9MdydKEbkcw9zmmKqiKkiI8n7q2libaGN383B3q+O9yEkhUtGmramySGvaW1fVyj2v4/KYE6DWhEW2tjXt5TlYFaFVu7X87St1laX4WF7xDeWKSmQpAjLTsmAelLHPw9MKOS2JPQARlrKTJWgdtshEBsJi7drA0oBnEpGbi2ixzYw1By/BLkhECYt2NjdwVjggai7i689Ydlr3qyR/rZlWxotIokqSyjVapJvPLL0Yqi1gCdLCkUnC2ttGkN56k7a3fW83DEZyGihpbcIllSZChNl49dGzJnR7u59OvUAHCwdgY+qixFnlGko6hruDQMQzfLoRQ0Qs+cXHnxKJme9dFdxbA2iGy6Ys+vj0FNeMTHdnZXffWrFTSolWrhHKDDSSTbmr9LZBcfvqhbFQa8F0mREBP1JcG8RnBbHsQbeXpyTonO1I3m8f2m6P3v7oJ+/AG8WY48HdWLScpxXIVP4SK12sVHWXTffMqPr1xC+njQLbmAS/TOagcqzg6t3CVfqVWFM/MyEg2or/b61ntbARhbsIa2sfGAUi+BUEWqgFsiJEtNIHMpv0MY5cDb/OTMxSQ1XrG0i77Hu76X3ftr31lhFNGcCbN1/bnJfzGYh0y/BxPB3HOeHaasufoFThyLD0aRfzY84ZHqIiwlkhuG5u04ihrSkrSsTpnqXxyXSzppu25pHubtOLaO6tIytfhcvbIipMmMeIGXPY+/cPb9+8eXj7wKiP+JrRlhnhIq23DZa3pxtmJdFxttN+EtFxTLAkiFm2beOVFIHIHDZXX9NSAZZgn6uFpsxK0ywTTF10y8LuqsFxSbw1SiyY1GRrujXplNS0VXosQO5eaQ2n0y2Baxp1y8qazoQjreVADE+bqeeplHRqfDx+a9s/a/efyIvf+JXf+sbHP3h29y2+3PDR8uCYkuAET8SKk4Nza5dhp9PNmNa0U1DMVKhSU1IlSU9urKoZFJZzWl16p9s9Y8XIiDIopo2IubVmFvvpVOZmz2y9E3PFqdYmKyLK4jYj3T2qwPkqIWBh3N2dmKltWyKJPMMrnLYqN0REpQlrAufjPL0G/KwvqDBDYvHIaSs+ha505DoT6/2iX45JFayJqG9szfHH05MWwD+T4ipaLhtHwSKFtefy9VAx/oRIYybRBfhnxvXND1CsEISMUlhDMuAEEtYr01u2Xqq1CbxK5LZ2YnRhHdNLorco3qCy+F45hqwAH1R3cen6SIi5ZLCla1JuIg3MmenpkSncVLTIjNKnJjISDpoRHjiG+4RkF1LUZ4AAIeDMPI95/+x22zVhyUEN3Eg6W1rbmodRKWQzhFiJS5vnERbBrE33mBGRoe3VN76ZzKeb0xyDhR4v5wCckMpQmhGi7Xy5kDKUknC+nNvexzhENANYzY50zPH26RHaJ+hpDBaB6je//z1nno5+2pn67enF3m7u9xcM2fpJ9S7oTraP4LslLvz43W+nz/ePl/b+EsjY8txxkcwiYN2iDA2sbGNUbYlbgFhUVRuI3QKxRLrIFBJcG4TqgVmIfxTSiCv6v64DWtBx+ur5yaqFIaKMHNOCMiugP0vctaDJ4rJiqXyk5p6COjk5PS1ce09i7VsEheeYR2Te3N4RN+HedacUJCGyoTorZhyjMX/08cvWNMPHuJSGHkgzczMkiVSBgWeEXiUvJY1G3ZkB9ggwxbVG6moUzKVGWzXSXI16dXCwwCOOY3pUaCiAYEF6lN0JCVHZT9vt6dR7ZyWPZZ4iiJuHW2ZmFMGot3c30+Lm9u6b3/n0k29++v1f/cHp7o6I3Fet8gJeVZi5fEw1Yy0eg3kpxUE1gtXuNm26FSSHEh0ufO5K7bhNXI8HhmSCpS5g8TKOL2oxkSREXRsL1w7PXZ7GfMo8iN6/f48vH3PO57/+Pddpr7/61PI7gdPnb+9+8fDRu8t3kr8V/An4eeDWcptxAqmRBCQhrQUzc3/+/PnT+cnSIGweQRTBEXR+POBQuebNcqoqIlprxOi9j8tlTlPtSUuMzEyt9yVTCi+eUK+V9xFZnUfuwczSuVAgItImWOZdXC5nEWraCtUQ5uLwi6HxNV25tiol9hWUlh9olmWa94xpNqchaeu7qqhqASjMDKGKKYuEW4DIpguzNqmEbWQex3E5Lsg6vbOAnRqXqqeQ8pfkQM22JY0jXilyRX2C6luVej/rJyBmgYaHrybodcfUuijMdSpovU5ID582WmsELQFo3alLVydSGRYioiraVi9r3WJZP3xmGQSHewYpt63tXU9deylUVbYum0gHkWirnvCmbeudmbV1YgoPWn7zq0iRYtt0jktv2Dv3TbX/UtjiZbqudyeZktJXhB4RF5TgbsLcdTvd3N3c3Nbu1VtDZu9tzDHDTrc39T6CYOGBlK4Wk5UXm8pUC7M2bV2JwSrB5BLb/XZcLgBc2pNnSgs0P3qX55m3T2fSdnMcmFNIb0NupuCyX/j+8ju/8a395u4Pfnp+/9UFdMHxFdk5fWHuUbXC7kk53Qi09rArtbO0WVhzX7l/S/u/KjkXOb+W0dpsVgxEuVWYRXlJ1AgZ0XtHhpuZWT1ZC2OIkngtpwgzrzOVFyTBVV3pTkxNm80hqiR02FFxJ61txDosLEh0G+dZPbXpTp4U3kgYiDkp3Wx4OAic0LLu5kqcrattzGk200OIldtS2bi5FX1b1XDhmVL+/IIjEaEqmRR1fCwjVt1jrEoi2lTdwsKvzAkRSQaJaMDC6nLI1oQR7pOoLuqCL0u2h5gh3G5v7/uJ9tMNMlX7s+cv7fw+ExGOsL434syJjNSmSCdFRlZQI4Mjs9IEWZi5wcx8ClEdQMpKxIlgSN3aJcZgVW1CxETSNxlzsGiFj1brZnkCm7YQcTdVVLCEhTemQflA8eUx3r9/PX/8x9uL73//P/obco+f/9M/eP1HP314fHN6bzcmdNoffByP+fpyxN3pi/fnvD+dJ0+eDfberLNKa3Yeotx7t2MQRybPzM6ibTueLIKYCk8nFiohIROUJWd5pym8dFxyuruh47JtmzBX7NicI0G3JwXBzPomysJMQmLmoawiKuLTwdx2BmI/bUQRPlWa6JYw1B0u1QobCRDg4eTXDp6r0DCZGFojdyAYXE6NBJlbEjGERUtPVRYbWlavIvFW+QSRsDCIb2/uAIBJkoU4VzJr5bgVenuFkoQzK680PBxW9QQCklLWWc70Sr6La+wgkBU3ROGrH6o0fPU7EZGCqQIqwgAoN/Ml7FgCb2RGFVEUi7C8gCVeWv9hVkJRNZMoUQgWLelRwRKo1shEQrKkY1KxxWkR7Jbc1COKUSiUE5aZq4EECGacTt2zzSfe3jdkpDkS5QjDkkSl9HZczkACUd9+TvP0yui9vb/btJGnRHaRggQsnYT6tpUitpDWiJTE5Xyott4bC2U4LZUJRGQeU3RPUBd2t5uuTXHz0cu4uffBYbGfbsB3KW0icpfpkae7pNvR45Jv8Iz+1/+b/9X3f+WT197/4T/7MaZv/s4vX9Sy3hjhdTlFU+GkbduJEpWLSR0NcRwRVwk4ZeVUFppPvBSx+BAhRfBYcfFEVE6iJTIkgFBM/ocsqMxgJqE2bO59owSzRAlYgjJSRcoEW1NIeACUnklklom57TcesOE3+4kBULbWHx/tdHP7eJ7SSuIVx3H0rukO93kcKnx+fPrJjy/jciFg23TOKMv49WnI+hsFHJyeTilNWOg61mQCqGTxENVcyyyYKZbs5fqRZF79JmXUValptD6Sq+xmGacJYEKQewQC16wxn26WIAWRCB/H8ex5JyHPBMnt/e3dy5PNx3mMd6/P+027ff6Mf6FN1WxOmyIUEeZOlQND6VTW5JUnQMwijTkjEsjSrUR6k772/SBUwEDp/Vs1P6hUbL1IXJAUwlrmYSSYSbWtY1e5YqAAkiaGnIw3037u+cXl8is//pP2SfNvbR//O3/123/9R+2Lt3h4ogl/E29//ubp8Wm/u3/9+Ph2Hj/+/PXn54c//vLN2wk7H6/vt/MxewDTad+kt6fHx9bacT4kdgNYeY6co8S8mGZ7aniQcOs9PH2Ye/Qm23a6zKN05AC0qWrL0MzJwhVQX1QGCGMMwt6aslBGciNacypvW//o45f7tou4+aAR265gLQaoTDUAtybEpELE7JmsVCZ7EMqwdc3zpgJYs2BWYQ9U/2/hINpbqRgBZLCIRLqZL3vVmmViTq/uiRJlEXPKgnGXNB8AIWP5BnDt4qpWR3evGsjaOkBU3o9KnmOW6fPKO5TFF0tdeRWhrt+GORyZybzqzJb+tc55hrJe5eNRgdeRdF0nfvnOsBCcIXD3um6Y+ZoVXecRgSMybSZzEW9IIDKaKhDmkwlKkgFIehyZATjCwaGNGYYIFe5dLzaJazNcBdEW6VHTLCD1gRQXwW64Od354Zs2JYJ7P21PYxCTCN8+uz3cU/k4jkJaEzTNIRyRPsw8ANKmPiYRIp1ZjzH3m5Ons0jXRv2k9y/pwppI9EdjOvW383AZ8mw7KO8/vv/4Zvsf/Xv/4d/669+97cfR5ff+pP+Tf/I5VDe8s/F1I1Aks1QTRImOmiiAOYaKDisLWFntSESKdIrq473GT2HdirQUyUtcTmugINT7krliLpMIweWlIuaCjMa0bduSImeEL+siM0daHZBZvlGktlaLJ4mO6fvpZDP67VZFLkTcupjBnNp2+uzjz15/+WU7UbolYowhSuO4sCkxkbA0QSYYY8zioeYIFgr3VREcBUWysjLYzeu3qq8yHCVSQXhohTdhta6jWJXCCzNqz1QV0Y5rNVh40LUkrzIeqnAgvEo5KH29/ypqw9yMm7BywhEwG+/fv1e9c8Sb1w/mdnPDRFryT3e/nI+9sbYW5uV63Np2vjyhIWGJjJie0aTPMTs1itrvfEmtmQSytA5rLSq5IfJqXKizg0hsOpM4gsDXok1ahZEAiDMpAkkSaeHGCWZ5FPzU8Lu/+Oo7/+qPn73c2Z7Prb15PHpwYNuZWh4vbm5f9e5jPD9p7O23n7882wy0x5lvzud/MS//SOPm3TsGAyzaEOTTGhonM1i1t77V58jE4RZGjbhvvTG0SSojKDwUjSElJlTREWjaj0sQSwZUGpJUGgWQLJJhnhplcF1JxGa09/TsXYmTCB6pGpGhrElRGblxhVt+qSXxTNTVjkyYhyRxE8uQpUCv6FwqpVBB4RXpw8SZDiIKAiWLVFj9hy8o3KiMkCV6wWpSXa7DNWv+uUE8o7Ja625Yt8TVUpDEC/HFUnrWaXCdoBc0RRkgjtoSKrXoigp9SHJb+T9LJQL6ENqTmcgKYPsg8mas+ShADAaiSqkYHBRUuqmS1MUVPVu+AUT9Z1SqX48MKaqSyv/DSKfILLS3sY+B9AxjSs6U1UGPTGcQRfqaZODpTTf3akdNBEjVQc+ePfcZ26kjQpUZqSqHTSf0fTsuBxjncdRrBsAjb243EprDAikifWvzGL338/kAA0LaKSY36Y54/vKTX/2tO0yiTe/vnn/2jU9Pd3L77HR3Ey9e3PX759LkbiPkJH13GfwHr7f//P/2+/DnHG/l4SuJS5gJEVC+RGtdqVxsy6OaIvKBYcrw6SG0DESVgbEMusDSJWNhf9W9WKFMSKp5ItJK/eVXeHP9CSiFMdwDBRaWyIeqx7FYIKKVCMAAqtUrI2VvH33y6ePTk3FSIAjU1D1F+suPXn7yre989K1Pf/pnP1EtsUtMM/FwH+ETzGOMbT+J8kLriTJWR1gmSJBOQNmiMigrEKnsPkRc0j5lIuk67dqZWQdlgpVVxMMjwcRzXGxaInImU1W7lGJSkiLhPoJBUqJu5sxUbYmZCRCPaesFzWCG29hvb7TRvrc3b95CGkCX8wwQ0llojPH48AhGwMJmb12FjZZ41GJIrR1cjevee3Pz60/Fa8tL1NNeKH4dEVE8PlMkWusRCYRqcw8SSc/iBgFilgivX8rNc90aJKrpM8KnxNj0F0a/P8bzP/hXz57dfvr5w36zi0MojmHZpIVgBs5TjkMwoA026Tho2HPdXgzrd/fzxd3nx4VPt0/Hse06bdxttzlzXAarsHomEOinbtZ9ejqCIpMCefvs2dcPl8xEhXZYMi+ccTyN5y9evH//dQLn83F7q27uYZ03AnrvAM0x+eZGGgO57zshe2/b1t19pm33JxbixgGrkMMkgoBVmTmKXC/HojAVxVLtLDVpzWTl6r0qju2qpycmivX20TSXSnlQmE2kMC2mnYnzCsII81UvxIFIWnUD+OWJvF6zcA/49bheM03ZQzxA1T+ciEheFwHcndcZS9VSnZUXdh3dr1dJ1pJR/+BKEC54ee0MWXvvsvZQChEC8SEYpkKHMpGzqruEAK+EBySxFEk4PZgqpyiJBJkAVddpRtaUXZLuGsWwADBiItiizm7uTiyUSG3igXmM9ZNmWDqJcoN0pYmYFmtJEt1Ot8+eq7RT3ziSCebGzCDstzfTzckdxTkmhIsXEVICi/C0pd0loqAkpUi0rbnZuJhuPTL/1t/6zd/5y7+2NbwzPDgOoDWTsJN5RD41jYxLjqfIIc/+8e9+9V/+V3/49CWQrT18+e6rHz/zh8bpw4qoT2RSJiM55xy994wAC7JkTpOZwkoOSB9UmkScmaJSLaCEkq1WvQ/4mvZhBhamgmVr8I3ISGlaKVbIbKqHTWbihOq1wRSlOwdRLv6HIKxNVVp/PE9V3e/uP/n2Z//sn/+L0+0pxjQrWruR9jfvnn74G69efvLKH9/Mcy6NJSdRmAWCWCl89r5HEDHFlcOoF6Fyapc+WMTck2HhiBAVpFfDgObVsVIfzBhTRUrmsTK8QAD61vulT5vIZJUYUYQDMeCxJpvKW7+ijCI8B4gpDHBq2jLCM2ycWw/k5Xh6wzSaJpjcDw9/8/Zp33Xr3ecRkaoaHjZH0+YefWuPD4NBSkIZQREOYclwYWXRhU0Q4YMruhYUztVHRkwIEaWMiGwqZjXGBpCtd78ksWSCABFOK+kQ3B2SgIs0ygqDiCR5nD61Bfnjl19/+V//o3/729/8zW99cs9yAt0Ij+nHeQoEDoId79/100lub/zweH+WOJ+2/W73j4i/Op5I+un+xXFceu/uyIA07b2ViSmmCXcVscORGpHHZSB52zZukgfcozVtqnMO92xbH9O5wtpE6gBioYxIvuZ+VKMDIhwW6WbBfByX7dU9M2da6w1LI0fL2MI8M3spCanWVhHREu7EkiStg1hYmdm9Ch1r21pLQzqgXJtl1peGdDgJU1UEXxFYIopcrkxc09DrWC4lqQqvU3rVuucVfgFRVfngQ+BK/dK4YjfXxQFrer+uvAX911+14M1cmsFISir5URRYVOsvY/0X+QFZ9gIYasLk6orBWp6v2FElwyYluKiTSsHIQKtOLEhZDcoTUFaWq/DUQaBkFZo5Rbg+R/dgQmvchE+nvu2N3o7iQRdLAyQjPURk6xsRTZ+16lerSDvdsDYiUlA1vppNNyTj7v42PDwxpkUmQRKYY1ZyCoPColjvjZsrFeHNhL61eRnaVLfTcbl89vwVvbucMd+jfcHyKGThe+8cmJO/esLxhK9/QX/0p1//4b/+U3xh0Oft/F5e/8R/8rt7/oL9nUj5FhjEwfBkojx8ctPMTHLiZCg1smOgsEqAr5bsuqtLkw2gEidZFse7tsJIJnF4JirmGgkGgZfzlDgJ6VXnCYhwYxUSBglTAXPCV5qIGSy5nEjZ91327YsvX//Nv/t3/uTHP5UmT/bYmo5hJP3Fx598/vrt+6eH7//q9/75f/czGxdt0phjTEJKRnjovgmXOvrKbmdmeqIqwzJQoUQsLB+ef2ISkaXXINLwrL3czYk5I6ACUAWRR4aqeHg9Om4lm0sizHFQr8yEqLUjwt0i6igJb5v23s2NVbmgAWRvKkyRJkxPj69/8qf/ureX55FjJpha53B/eHj/8Pb1/Q2KaGChy3Fm4n3vramZcWIOY6He2zyGitRY5u6iXEG9Yw5RERZVNbcwq5wf0WW0662XgAgrmo1EtLVc71tmeDCJlTeKUhjpeYULa2dMMM7s0XBOnM9vfvJ7v/iVf9M/6/sr7rf91Fu7v7slkjAi5C1Rzkd/vNze7DbnjewN/WsXefbMyT2OZ7fdz701wZHa5LCSsg1CPj4+nm5bRRIhqRofT3tvp41FttM2xwFg3/bjeCpGZ992t2ithQcRjzl628dhm+xNVZokEJnHmNz7vm/b1vethZubN5WtbxHou/SuXI5WYVatDDOPoLa42zr6c6mMVgWje1GUrEpW/dJlOqNMEJNUOAcIDkJQInAtfqlgpkrirCjQXOJFVKbs+j+l+8pr++6fA9orxa8iuyqDmpBYoawemZSQIlUAXO8hvkr3r3dFTXxU6XHr8K6rIsnda9eum2YlxlBk8Kp9j7jC/rnwmusugevFU/X0oBrrl2wjr9eouzOziLjXsuLhuW/78GMFEyktSz+xEE/LRLTeLscZmcKsTKUZrzK0ulyZqeKgpb4w0sOPygtpqpNoO91mUJPmc55ubkiqbvEgxmnbH49DbrudRwDchJWOY7behNek2bQTSAvKi+CEEMEpPbQ3s9GafOvTZzvR+7z58j1+/+38+dPl0Y7zZY4n+eLnb97/PGENx9cgYBCB8os/k6ev7Kt/zU9/KvNJBGmmotMCFNzEw0E6LmNr+xiDOPa2uXsiRNTmiIqgT6sblFmX/bWOzYInltnrmuVJSzTLTJ6B4AJPP0BA6zbNdLMl1+XM1TVE5UmujgoPA6GJamvr9MhoogZYpLTtcrmcbm5OfdtvqO83L14+//r9+cf/5scvn+93z+7PD/Tw8I73ZuOgyt5E1MYWXuXtWEYTXnKGaVk6YwubZXWiFaJutj4EgJSYmCUJIjLmsfZzrhuL0tPDiWleLDNrdD4uXqb/3rTSZCAKSgapcKi01myCs0zPuVwnmeM4cqaHRRyZQaE/+5N/czp93G+fsWznswVhPB1JQWHjMpvS+3cX4WASrisHGeZBUdRCuJOwhTlBqAPwjCpz6K3VK1Sq0Hpn6wr0CJsme3f3BDpLEoO4vsXet2lG4MrGEFaPSevrTPfBqRFMaFwu6EhLOmv7ounboX9MchfYLpf+dOY0+TwlUkko8pakSydiQdz39vL08sWr/cunePHyY3r57OFnb7/BYGrSu10uzFV3GG4mzCrorSPjIcLTQVoloa03twqqJWYoERObhQha285P725v79+/m8JqM236Td9qmlVuQkmEXJnDHJE2/Pmzm/PT5c3rt9/+zjfa1gOzHolyt0YmiyYo2JlSaNksCvVIQtJyxidgbsoUFkkISgJ7BAkJswhX7m7tIKCS4TNADidizyDgqigo7o0CZaYVrG3gmulfYNSarq/1Ah4LQarhntYpWKKBapgpsT//OScjACol65U9oFL9XV/4+sap6pHXXpFM7HBUvA88r7FxzGVAdU/PSCFeOwMTECCKiGTJRNR6sNYIBsAinJIB80hQ2zdBZPq0wSyr7vgqXVRSSxNmZQFFSSf2vR9ud7c3TZ/UgUQwzznAkh5Cots2DoucFYFQDa+0ae/bftqvccI+Jo8xlOVxnIVo2/pD5DEGmBJZmiUtZxBR6+1yOXrvww9EZEAgrW2kolsPECW6IuL8YPv/78fn/9P/9b+e27fMCE+PSAZvTaxdQH5IPMKe7OuHPt7nw3u5fC3xZYu3Sk4ZDk5mtCrOdSE0liACk1OeWmeRcJ9zOCEBhyOit14nZilHVNSLf8I6QEW43IluVtE4EbU/BrGAqCKvI5Jy9aPYMF5dYaWPP6ZND5cVKeZEVJFVmTTGPN3c7KcTOydoO20P78+/+Vt/+Xd/93f303Z5uHz8zY+Pi73+6g1TPL19982Xty9evXz7+o0Ku1tvzWPM6SxcfSytaaWzlSKLkzIgunKrChgsFol5hVrnInqdmRRACT3HGNLUzatzSltzs6VXWDXuHBYEklYi4grstNY7QCLNzYilDBdmI8FzWgKXy0XbVvy5KGdWikOI5PnhDZM6TPc7n2mZRHTaNjcf4+Lh29aY5OnprE3C/Oa0i0i4ERMimCUsUDaL8ufDl49/+byvag2ql9/hTEBTJVTvsUS1RRLc5rRgVSLa2ubu4FRSD5fWxjyEiAJVZFcZjOAE3OZI4YvKJfmR8IZIlRvqCS3hMSUlWwocI/bWEfY85zfuTr/y2z96+OjZN/7Cd8e//NNb4i/NVRqr22HVS8y9AxDVOeY4RjrcYox50zefdtKmTfwYYc4AklQ3YTE7WuvhYGmZsDBm5UbBSUKefowRnMRErTPp8TRefeN+F3n+/BlZXanITIpqIxIPt0gV9N6hbD5FGlU7tjuvZl2q8YcIwqLaZ8zinDKSNNeQFeujAZFcxaA1eRHxNBfSQupxJZvLx1e5b7W60oJBeBERuP4BIAInkllrhUWuCtZr+j1KlhoRV8lmrhTFGitw1WsToWJ9VryolJG3LtsMr2unBOCJYsKLsWAGytjFFS4rxNor5cLLBbwE+VdMOUG1oGQtJDRnlHAWYGGe006nLVKEKOBM5DGT1uMdiXC7Eg9BVMqrZM7WJLME7/W9cDCVuS0smNjDw4JQt3uzRGsNkdpFVfu2eayIymfPn7PyGBds7cOcWxWt+81NKXrLV9z3lp6tqT2NJlo6VAZubk5PT7jrbSOaIB9xfvP+5vkLezMJg8dT2JjHe4ncaJ4f3gvOcnmK492Wxw4nuRBnzDwOT2VeTBCZBRAU2E8ns6HazCPTius6X4Yjq2dwjcl0RcpFfFrJ38yKZCyQMFh4yVsokaiNARUVB7Sm5k5MY4zpU0RBxEwZOc1BKyAhPFjgZqrNi6eoyIoAMd3d3r55/fDw8PTt73z3T/7kz9yOu/tnLz96Kdz+2T/5/dPd/vWXn/c8900JFjalb/PyyIzOgtK1X7EK7ZpYlsOCdwu7JhFltjRKqFYDBDXVCFfVDJTvkUQkfD1O4VFl6HVNiuo4DgBzWgaAXKgCs7AYeZgzi+X0OSNizrnioDIbq6WzSolwq5aEKMnDLx54ujm1y+PX+XS+fRnbdnN7uvniZ1/7uKg6Iy7vn4SgnXrvQIqud5wgKHFdfZdB7tGEiFiYps01fFV9VAl+uCQ0IUKRIaoghCdxRIJ8DXxNtfQnhRv4MGJMG1tvTI0ILCtyJjPhBIvI4W6U4jVDEtxciKR0laoJmNOIJBWh3nvzIH1+9+qv/9Vnf+V3fuN/+rd+/vnXLz77mBuOpyeWHMeFpjGxClQlmDIxLsc4tdZa1z4P21trwpRBFKfTdslpA0y+NXn/EON86afuCZWurYN42/ZxHDbG7fPT6dQocT6/O714/uLZ83EewjqmzeGnkxLjdNPnuDw9PTxrd6pdVWuZLDrSg5Skty6iYz5lBpMUnh7pDESd5uSRTmDLISTXzEWv/KhxHFvvYEaaSIuwEuYkOREbDEnKQpmcBEb4Qt+mmaoscXKJFkmsYG1SdydUFLsLsQeEBIy4Zl2tc57qrioYGJSl0aYl0sSSvxXuVNMhX9GAumgywSwIy2vCWmYBTUgkEwWKqEakE68zPTLcfdpceWT1l0WGZ8W6koitjHhiJKeYp7ZWEMXlbL1LBpTFKQhXZr1AKwEFleJV6g30BGLfe+Yk0oKfSKrwElFHm0j4zHB4ZKLytG5vb9cvGdlEj/OZScz92d0NKR1PIzax9LpPI+MY4/bZvVzD+yiTiec89m1PugiggYSJ0k3vPPD9Tz+zx3k8nr/Z293jz19ujDdv1Abnoz2+pnhij0Z+Yhb2yAN6dntK4WmmiQGjvVWIUcIBFt2ZWbBt3M/jQVtjUbMpoCqHKO0vJdzHNeb56iAholXYspxcxFT8v8OuGgAscqB0xiKZKSwVjKbSQDTnFC3qEbSwgSAKSm6qHtUil9pb39t+u1tombPevX3X99cg/eijZ4/v3p/PB+VTjPPTm4ed7d2XPzeLeT57jAhpquHOKh7pAUpEJJg8ouJvr/FuUGkVzkkltyv9tIequtvyQADs5jXFtKaRIbLC1Zi4tUYr6y4qnDYzpS0ew8zMbSleVbW11rfiuAsAjXCUf6K0xiQs4te4PCL4nJSuPGO+f3r71cObL8blzb7HvtGL57e3t00ELOIzlzxLyMxZVFQRqFQkBoP+XDcsyQf/Z10IsghJVpXrN1e7a06ba4fOvLJBlWxRQ7CLirnrMhxJeK0WWRW0XA2hBFEKhLlB4ZyTY3KayAX8eOR5YCSbtKfQx2jHdvPU7n5m22d/4++2H/zFnwUut/z1+VH27TgGM0lvZXQ1m4hARsAfz5fXX7/e9809I1JYfVZWR4iwmSGy6ipVtDAus4AIUWt98wSLEPHd3d3T0yUiTqeTEIfZ7c1tIl+8eG7mZuP8eL45nT759OOtdwBzjGoDNQvVJqL1EdcU3lrf++3WdtWmokzikSIiLB4x3TKjtw5i7XKd0TMzefkBffWUlW4XJTz1gnVKFFPjLVasDFiuttf4EAq0tBlYovZKV+YCZ83M3Yv4KmfCgnY+EMt5fURLGP6hpnIdZgupL70cE3NZ4z+cCAtCXimdV0y52ukyqdJy4G7HvEwbSDRtwiuQlEtuetWNE4ghTKwqLByZvMzbKtIgytLSkbHCTzMRsUKwmSQ8SoR3XXwjfN7fnQhl8uTi1esdZFDTyg9xVN49mLXd3N6oaLl/VapwFq214bPd9KfjolsP4HIMViZZSvnK1yai1hoTqbA2nTZLyrH1LixKfNIeT08//NZn4/HYd2U+v3rJT69/2uxt4wfyd3f8cBvvN3+94z3On8t8T/aAuDDH8ItyuE8HSlOYHuZeLKf7bJLhQ1RsTrOJCl0oWdSaZ0NUr8mwJVaM6l8rMJ+WwieYVmiNh6/UQK8yZwJyTkMiIlpr1QHDDBFJIMwzkbFaCBczlLk2VtDlOC7jUhVs79+/ZfiXX3yemdtpe/f2/X67ffPbH/38z36ScYyn9z7eXx7fjfMjZ+xNwybW2gplblLR+lm6o8VJRHUvGV1DFGqMWSNQ0d2lsLBYxk4iiog5LcwjqiAlza0swZkhqq2yXZPCC1ChSpEUlnrUw3IOG+O4HJcyWBMoIhIVEE916TTtSCERYlZhhMOPBmMb4/3bxy+/ON5+vtH58fUvvvjxj09NegHtllHVETVlUJUWcUYViLNoX8GfRb/4ei0rgniRe5FUnQ9rzIumUrmAla2buMbEJwjUtFVTM1/dAz7D3GaGCXljgxvMKSEMSEmvfDoRm+dhaUkpIr1VMkmT9vGnn3jyyPj7/8nfp97opv/jf/oHIyMlP/nuR28evj49u3MQ732midBxvszLxc2Y+ObmRlvrvSGRAbcQICc+evWqN/nWt7/xzW9/qiyiQiC3dI/WO4iThFtPlRlxe/e8t73JCVYZCVBuNkKlZeZp25nk5uZ0e3/DojU3eXiEizKEAEjVauYcdk4QCWdRZFSsEdZalhRh5qP+f8Y6XBmkxK0W6TLkp1UaERXGU8hOaXaxVPTMFVNUPW5U+V1AhclZxUmAKmzvOhYXP1erSaUEXrNOo+IDKiIBWSFE6264mkMq+x3Lt5W/pPyuK0DU41WpuIkrbwyiJCpI6poJWnK6DF7aoCVUr84LUWGSdErL8Cwle0xnLDx62jBfgdUe2XpHpRgRXa8iZhIGV2w3MldOKJw5Tze9dblGb1DAF/ZR5ePTc1RgahJ420+t76La+9a0td4jXYgFIl1Z+XwcIbjMQcIVKHKMoxo6MmPOChkkZe2tJ8EyDJiU5hnmd7rJcXz72fPz09MQ/GK8+42/91far968fX7+ant9vJDoPMwt9TyJ2s1IAZ9UnyVuWO6SelLL1geSJQDnxk5ucGox7GI+lLSpcLHqVwBNVphrXLW2xMIebquqKGkZwZZSvIjPuo9pTfXXB4SoNIb1TyoAJDNFOSO5klJYiJCJylCvZ74yR0Fpbq9fvzmfHyWQx3h6/2a/k9b5dHfz5vXbf/n7f/DVV5/P8xOZp1ukIdOnZXBEznDLCASEPBBhJb7idcitqSWRFhYJC09kifMXxoq18Sz2KCrulhnkNTJHvVVzElNrmqCKurY5mZOFK8thaZbNWCTSmUgaY0Jbm2aiSgwfFpkkMX2C1M1kp8yyZUObVN+ysAgPzvTzIYl3X5yJ5Pnt6esvv1AFcUj58ViYKSzTs26mWr4Li1RJAO6RUacUVgjcgsSQUdn6hUdzERurDnejTqqSSJK21aRJq+MJLFxduKqUiCQ2BwVJ3evVMaICSpgLEwlxwiPdpkgA1E/NL16J7duLlz/60W/8tb/9N//oizdvz0fb79t20zf+9re/8Yd/8OMyNDAjkokqsLONmE11204+A8npCIsAeu8dqDyZL798fTy8EdHjfLTePZIoWVRaI1ZVmj7HnPMyRXSchwJ0Izd3d+/evNlELufHj57fz2OcXj1LRO+9d430tjVuXCmmM0yrVIIKekeEH+4skpErNIrU01m4CtqIyMas70CqFPPDFVvQPCKsrCbIBIIKSQBl2SyxfBlF1a5z2Cuqt1p5s8z9FdCf5Yi5IjhFuNIHOceiFK707pKOLuQXld+AD4d51sISBM6SAq2f3osMTsc18qBuDqq7qixcpccovR0zCauFZWUjESUgLBaGYAZXVF89XiqkrfVti6TjsOMYDotgCI959N4QRKyVd56JjKJ1SUhxDaOjDAZU5bTpad+eLpZVwkKUEdpajIhp43yEeb1aM0i0HWZV1C4iTGzTpg2G3NzfUVMkk8rT4yNVJEliRUipguFzooQjKK0juKlsGgCpMs+Hh3c3XU4dw+Z8fHzz8Ppv/53f+rd+59e//Pzxv/wH/48/+2/+scy4vdntMpXb+8t7FpYgEomsflcjStamibQLMsrU13sLTwhNN2IpulGbMPE43OcMn5FRYEiRuuUoZOZps9gfBpe2KgE4wAwQE8KdWaqGtFA0ZmKQJ8xMXTPCPKRxReinBwVVAjnXyVMPWyI9RJmAy/np7qb1RtNGHpd/84e/9/bdg4+gtF/85Gd5uXRpzHRMo0y43fXtCDMb0rRpP8ZcgVPUIjwSLkBAyzOGXDxovQeUZhO53L/MUhonFY4sJ7AHCxMoOS1Mu/oxVWVRRkCGq3LrbVwO9xAWVrE6awWcDGKzWW+Y2URm7aFxVT4TQVXhznUqW+W9gJgyGErM2TLIi4fh89N72L5vnSVEMOIwcjNjksyKaQdx2UrB3GIlf0NU3KxcoEQQVfe43o3VDpLaGhOPMYZN1S6iVegzzYmEs6t2swnCnAcyJRnpCC+zf02mhGwizIIkVhkzwoJTwjPMQdR7O57O0ts0P44Z0G27fX/Ov/v3/+Mf/MZv/dlXDzPbu3f+l370K8/uUnD55scf//f/3e/+8LST1EMTmehdPaI3deB4vLy4uZnnue3djinbVjNpuCMxzkO1ffd73/vq3ftIeExpyiTMKk21q/gws2H+4v7+wEUQl/OB5Jv9LuYxxkQmge/v7iq6Pz0zoxLqidndRDuLlowqIirxASJEDMm4Stxb6wIK8jEHQ5r20l4KMVZd6JqmCas0o/aDadm7xIrs+CVYAyLPCK9wZ+A6ewH1bXAio2pxFkQXdL1Cr+DQ+hvrb63RANc6sPUT1fVS830hOQAyCGUwr3seV/L2Clpdtf/Xv2gFHwFZHXErooK4SEUS+EqTjUwI6bAAOCMpOZFNSHvvW2t987iS5MzHMBGewz04Mz7Mp0GRVMGFFLbuv1gAKDGjqT57dvv67VsicBNyibSoHdmRw1sQiWaQEZsnqxKJgODZWUru8HicP/7GS+0tJ5NIpVWUiolBLKxKEVnrtYrWL51OnZsmXaap9r7txHnqfR4HVD9//dWv/eiHY57/+ve/+eUmv/m//U//+G/+nf/8//h/Hl/PEEw79tNuc2rvFpPLYWQMCk1mCEGJSRDFXiMlkoil9X55OgOxt5ZEUoA+gCp3yNqYeOnHVv0niKDCFpVSzEKNQOZWZrGkWFDHWvLIwpYvBJkMZfEIAiFKs1CoGtZnUo8LJyg83AZ2bZQ+Lu83bsf7N//m936P+3Y8jGfPThLORDFn+cWRICEPE4JIN3cRCLclR5Ym0sKNiVPgWckFFUMLJoAEVGE8KIE7EZkbg6PErmDKjDmsNWVhIKeZx2SlBMacyFDVwrm0OFKqZE4pm4/X48hUVaX1ka2wMC4etHrkAUr3YCYVBsJsUJkkhe0Y8zh8ms+p8Gd3O6b5XDWt2huzcv65LT9Q+68wK5ESm1mFmRA4s7T8yALvqr3pmj0f4W5eqELNOCpMVYAjPI7D3dyNmXprquoWc4zpw8zdEQkhUmH3cHcEYKm4ArWs3JpFWvrp7uRhjgpv18fL7C9fffNHv/0V+O3EcDr1m5/+0c8fXl/m07zZb9xhPheAwGSe58Miw80o0qa/efuWiZq2moQI1Hq7f34vIrf3d6z97bv3yuxzEki5kOvKgGfWJq29fvPWzI8xPXI/3bx/eDjmkYgmjIhXL1+ocu/tfLkAqU3zqgvu21arJZNURKyyEjjCLeeHg5mY10ZGctpOW9sKIBRhc19dwaC8NoVVnn6B84Wbh1+rQKsTmKhg/YrkxJXDrWfMLcIXEIM1iIOIqzwHC8ahXHBP1D+j6zR35QKu2v8Cpa6mg5qvr5t+iURzwUHIuCo3P/xpkb7kLsU90ZU4TFQ8dEE8mRW6Mc2Gl8M2gSC6OgUK5H14/87mhThI0mMoYxyX1pq5Ccus2F3QhyW49q8a/MwCzExRQQldlci10AiuKjFWkWpyRPk3CxOP7DcbmCjitG3K7GYkkrxOg2pBGXO2rovDuMrafQxQeriooqI0IzsrkirHVx3nh4f7++2YT3rTz8exqZ64/es//Nf/6vf+h69+8pP/6H/8O9/8lV817ZNg4BmeSsYRROYBJtYe0HCnrEKPziRNWpiB8nKcPYNYqqGFWMJTRAo1ZULly2ZUNcjKp6qPO6+rYzW2F3AvK79hIft10zNXuSzKXrOu/erzEbZplaRAmelZlNUiHEhKo4aotC7nTEKqIG0cD+9OnY/3D34ZnKRgZHZSROWIcrplmFaNZSkOiEQ4EiTiFa8Z6ebInHMCOC7TP8TvL2IqbM7rkl1zuVT2jkcknEQ13BPqw8CCa2DW5TzSs0JWHS6N3dzNVZpZJYgHGEykqgGOcd3is84ziQgPAyMySMpqAIuZIZfz40l6IMvM4xHKopxNZGaMkWEuikyChRBXmQwyhTwyMgwRygClzSHCxNVViQIHQKUQyg+Xk/nQ1oQUlVJ5HQO1KTsJZzKY4BnuM9P3bQcAz+Qwc/5wBXFdv9JUA+mW5OZg7aRa7rjmwX2/Ae+3H3/jP/5P/5Ofvn198/GrIH3x/Nkv3nzxg+996+Hdo97T3v10sz89PW7aj8sTUTRthdxaeHiM8+Xl82f9Th4fv5a08D5H+jRGttaHO0QfLwcCQjLdKsRCmxIJISgZxGPO3vejDRayTJGmlM9OJzsOpGunCtHsuknTy3G+v70PFKBBbpbAJGNlASclC6v2BZwHCDD36yHLRDJzautEXPHNtFpTXFgI7GFAtOiUhHRlJS95aNG/cc0az4LyfonBRz2YCQJJFlcsJGWOrTb5OuA9vdhW1NW1+ijXWkDXXeBDqNGH9WIZ6z/IgDIzo2iQyCgTQ6JOXgFTFS1A+MojFa0gCDRpQAKeRKSMiARJsJkHxRiH6lZ/bfnXYDFwpsjwwWgxprBODwXFnFA+bPbekV6pqAQmxHBj0CZ70EEkkUFErcl55osXzwi/CPeRmJkjXdCJyG0iUxjjGBZ59/zTI3B3s3k4ZXShWqadkrreP78dYdLaJHi6UCvOkIS0qwidfUrbPKM3RoVXcDYlgiKDOe/a6e3786vPngthDu/QHvHm/ds//R++vnv+jc9/9vNf/ezc72/O4Q26n+RiTxEGWIbd3m6UcI8Rrk39PFoXEfbLYOEY0bWVqsemuwcxWUQBvwmAFwZY8TZELKL1/ChrfVaRkZXmHUtnzOB0r5AeD6ucHS/5ERMRR2R54p28FAe98hGoOuBWsGj5SjJJufP6niVZSOVyGYTEjJum3aa7T6TbBUxNRDy78CyvPEsSgqTwtNJJGyIjVLTQKSZKDgKd9pPNcTptYC47YaWeM5FX0hlfg2mr1b5VbWNGRqgKkNu2E5OoZsLdt31bomyCKDFBq0SMSVurJIpwLwoBVcBm6eYVvV12cGFJwHxVqV3hV6dIMyPQvm3aNJLm4ZgRwyAEYVZt2qu2TFaCu5BwKZSZobJuxWsrdCatGPYSMXPpLkAlhllwHjHWv1cEzi+HORDmGCxc1okxbZrN8ABpa+XNMY/LcSSTJ8yjupBEeTXEIdMdAQJPS2j/d/+D//CHf/XX26vbd+fxNOYf/cmP7+5v313ePR6XYxpRfPTxq6+/eH1/e9OEOUlJuOo2qtGy0PB0JAm3sGAWUk7Km/vbp8tl2BxjbtsuxMAanhGkoqJNRHrfIuMYk0UtVh+quROoNf3o1cuXL56raN+3YdPMW+sRGMOOY2SgcattG0St9YqMreNURXprorqfbrZ2UtHMnG6tdSDNrQTUmUlCLB+qaWp2LHtXMnNWl0MN7O7hDvcK2CnRLy8H/y+VM8vEX2AQVZcXMj80QVZPnNW4nEgv8jU8r3tBXgljrFuDeAlGFykdq40S6elWWyZdSYKMMICqoReZKyIvyn4UXCEX6TZHmJkZJZTXCqWsXVv9XFHrUWTppxFR36IwhYWAmjZUa5j2kuEzV1sZFxkpRaQkrthg5Ubkqxf3Itm3xiLadLHjCxOLaS6qfdulNWbppy2QtfiqiIqSUiCkS0Sw8DFGLhiwakQX9NG1C4lXYjDcM0kkkfVLzbOp7k1PL54/J8L5mMcx/tK3v/Gr3/uuH/2//4e/f3d//+zZ6YuvX/O+bdt+voz03LaTUutbHxGPaRdF3N347clvt0fFa5q+9+yNlD2sqSoJMnpraU6Rbl6bWykbw8L/XMnVegoQzOQRJY8x80DMcHOLTFFGFttR4FHVPaP2AGZu2sxnIbYsXAkptPp+qBjEWlhXXQQRMppKTA/3dGOCJhrTuJxb4623SktEIszTA8QVYpqBrC0zUopfmqYkbq6iS1gGqmbKJt2mzTEy093NfY5p1ZRjnqgpHJXWYkJCRNq0Br0l+gzMmMpSRjb3+MDCZfg6aSMqgb3irT68T3VIr+ZJUCKERUWj5PtJK1kty4AXunUb4T5L1dz65jM8c8xgZa10lYCbWxgxhMQilGVOy6uui0BEbFG+pEiwe4joh/5uUWYmz2zapkVvXLIiFJ/TSqVKXK63cCYSksygK3bt7iM8Izg7srwATMQ+o06fygoGYqa11hKwiO20n4/xjW9/9/f++b/aP/k0b/TN2y/u727evP76uy++cYyHsGTMZ7396ddv/uJf+NH5zTva2uXNU9OWzJ4uzBT59O6xddhlbnubbhZdiI45+n7ypGnYts48KoQyJTk5L9RaH37uN6d5HMfD8XS5bK1xas26Rb/2rlw9a2HIspJb31rhNjfb7uaqDSlgVm0AqSiAMHME0Eoc21jANIuRCSejioZ2pAgrN2TZpmL42PsOsPnY+kl5S8I0jzlZCh6idK/agnA3DICEOhEqFTwAISgzIpjJbIoI6sg2LzU+mCIdIEZaBqFm7LpLKoyIrigRPsD/UVpfcF23uVgEut4YiIzCDysYiokLAk6Qw64cRlJEWYLcfTUAJ4CooiMmeGaEF8BCJEKc6TOSnFk0kx6fLpXTmEkULNzcZ8liy0dAREBkOiVdCwrK3zQBFDfWG4sExyyAlJJFyC4WwyLz8Nn7npBr3qgws4pWKZm5efp26mB6PJ+1nSws0rue4jKukDiLqLaECoOVW3gEqSdSGABFv7+/+exb333z+dcfP/+U0N4+HS8/ffXV4xe/dvvJ/X/w1//x/1fvby7f6Pjkmx//5A9/Zm5NtDR1mUkb7/cv/p3/2d+7jKef/eyLP/7JT29Vf/CXvh+RP/lHv/v+x3+y965ulqYpCAehsaQ7CRElEe3bPhLBnhUVXOMAJTG8ylsAFq7sKWay8AQ7Rdry/RGicMD1mV9HgGMcmamNfVYLtQlnMg0zEQ0KXBVckQE3ZkJijgsg+347GPOYqlumUkcQRWA73YQHs4Z7ANSUMhmlXuIsx30J3Oo7E0TC09ODF2IFSsrVCRNS/xUByDquAaq7UFVgvtwkFV7lHq21x6dza01bj/A5JjyYYbNilVmEbVp6EsMz0lePq5tpa56z3pmCDkTVLcHmboX2VruOeSn8KDKneQJIT/OdBePcdo1AWik9woIqyJtX+Ffa9AxnkYL1i5AAQZhZhKJ6+IJYq201V8+4uDkxhPUKWC/qEFjifvol7ry6I7Ds4EnMpbfIzNO+k1QbOIwsV0tlgXbkSXNaht7sp/O4DJdXn74ii7ecM7DtHek+x4v7u/vTfuJ5c6t/42/+1j/7v/wXAjRtF39UVS1OHFIQtYpS2L5tNg8JEtImeQG0dd16wG/v7n725deUrNqQGn7xmAAhOTzu7u8fZr57fP/ZN785z08Ans7nZ6eTe+532/Nnd0RorQy05SpkcxepLTBUqBaviEymWvgIJXpjEMA8wylLIAJSNrdOy2CVuaJaPaEoq0nlh8NtTkxmYVBrfcbkaxMTgPRY0h7CnLPIg4pxCWHJjHSGMJHZQC59F8q2U7vzNS+owHtcBUG/hIIi8aES5EPKNYJBnn4lEkoIRMV0INcfVTMdA2aTiR3GrAwiZMA/MMq5/MSokseoJuMKYFh/TnEWVQo4y2wyvJZZca8oKgZRSW+KLQj4+lkr3iuIAsLssvIsmNEaPb+/PX99qei0fd/8sLRgImJqfSNpwm2MwdvOwsKNiVV1TgtPC2vUq115tb4liBGIipERlkiEI2IS0HdBMoPdrSkjyEfuW7vZerrf3pyexsUzt/58HPz/+ek//ZUXv/rv/bu/Hfrzx/FehCNijtmTup7mOPetPfrl3/9f/E/+s//sf/lmXm7a/l/8v//JX/zhr373O/fHuPR34//wv/vf2xdfJ2DTqJFyJYUkC41jPF0usKXlR2Ss45gXgX/1chCvZ+IDd1n/ggib15Wfwijm4IMSkhoD1KRRkookiV0OCHuEtJbmEa7KBT0QMtyQLNoA6n0TFfMM4uLShSndhDMPuyc9Pzzut3qxfDqOrhyekOV8rk02E9x+mWxYAVQgMLG7lURBhCNSVIpXc3PtDcBCSok5Yt3gFY1Sq6+qIqs4WFWbCBNxnZjlE8MKhwCVKtGDCO6hrakIJdnwsGXDYZKmrdZCJLB6YVPAAu5NVVdcXBe+b/snre/DJVhSKCktkOkxLSYxem+JKH1r0krcoqvVz83LfFEmvxVVlFRHVXimh1Qe9OLlIjMzUOo5Fo50t9IHxxhzcVzXQjcqMpw53YCYx9nnOC7H+fJ0Pp/Np6ooS5fWdGNtJHS5PCmDk/6bf/D/+vjV3d6OV/fto5f3HvMHP/zs9de/uL3Xz7778nJ+3Dbqp+JDU7iS2xOBNPfpFLAxKUVIbdqcNuaMhHBzRN+2afl4uZSxxSMvx9i2fd9umLW3zUnQGokMHzPnMUdl2ggJg5/d359Oe2tKSHfTppfzMW0MswrkyaRpMWfNFlV6ygCFE6cwCUGRTBAiVhEwkTCLGtwpqtVq2DHsAsrWBAUjIIikjves5Kb0xfy6VZBqZjQROGpgxjq/k6n+yXq9KcvVnXEdZ5AZbqXcqUm8RN1JlYywcoGABJVmv2KEFnccazq4Vg4sNrhOj+r5iyIm3C0r+4VSWYgiqZpjUSH9tWld8Sikg7NSC5ODBNyEidJjVHxwqdXNgkiTNFlBnChXFgkE9csV/MIoC/DV1lAcRmRmRApob/rxy+dIA5DpIsIQn2HTkvTZi4+37ZZY+7Zt2y6kwizMTTWDRFWp3ew3INq2U3imo+6GOdw9I6J3rcBMT+cm4WCWMQ4has4aFD5uNp3jzGKB+f78/u3j168+uv/6zfsvH+znZ38SnqSv37794s9+lu8f0i+NshO9Ot2ewJxx+9HL10hprMAf/+KL//v/8x/87N2bL77+4rDD4mjC43zee28ivauIlKuJMsOnDX94/xizgnGosoBqyFuo9lWpI8SZYW4109BSDOCqMogFgETUTVChs0klt8e0qb1v+86qhQo2UYAKzIkIIgkgUAVwqtm2rYmSpxMTOTqkgZ5R+wb00yQdISlClWCRWG6bJYKr77qiStILDxQRIbCSXt2uybV0RKJajEpbcY3RZmFS1XBDJguPyyh5bN92JOY45jzqUZrTrirpmmcyr3kgWKowjsQc1rf+3e999+WrV9/5znf+0l/6i73p3d3t/bN7ZtXWWlNYwgs2beTQJDrPF5CPn+Tl5/np5/7Z0BtSWGxCvTER3LN86mUDI8qmcj21haWowAWDmY0yeciV2Kjvrgyrqq33TVXKtFK/DlF56KorIyI8AeJ1CriZarXUWj0BVw8OXc4XN+utaWOLGHOaZfz/qfqTmN2yNb8Terq1dvM2X3ea6CNun+nMezPttA22BdiukgVYgIBZiREThIQQA2Y1QEglRE2YoJogkGgkJhQSYlIUsiybtF12lZ3ldObNzNs30Zw47de8zd57radhsPZ3KSYxuBH3RJzzfu/eaz3P///7GXgQtJQWM4GNY/rX//k/3yQSnblOQ6Kh3yylXF7uj4fT29tjG5lut9vbh7tx6NUqMSChWl0fLoRlmcIUAVqa3Nyrau67ZSnjOOY+C0vOHSIJdyn1bo5AEciSa/FwJElTKdVqGrJ6GzbiMAzb7ZaRCbGhrNQsdZkl5dSxSAAiC7GkviNmQDQIYJGUJeXU9YEUzCQZmYHZEUmERUg45ZRyFhZKhNKWb75o01e1/mQAgki7eIWpNktre6n7Y/+qJVWwweZWDgi0OXyLQFC71SETcLViVqMlQVsYIAI8mtDR3aEF1ZrAYw3+QGPqwOMYE1uwp33zw9syes3er8HBRIgIIcSNUg7gQOAQZl5VzcwczNfCqrW9bRtHojUOnrdqWLRdQgA4NjdUInW1UAurLeKG0DBm2ArAbQNJnEgogIkRmg6AkNAfWYHg5laGPpmVVloJiFqVEzNL13UffPQREgEQSQKkRjBmpGgatcQoMG6GUkojTpdSJaV2KUICDJAkSykoXGthAC9LwkgOT3eXA3AKECr7XX64u2sUpfuHu3k6feOD3WcffvTH/+qH//JPfv4vf/rmR6/PcxrJE1NmtxSeKUOFkZIE7S8uH8o5IDvAfF7+9X/6R2kciLLXGg5MuN2Obqa1Ni5TzpmzUOZh3AKicGpbxoiV6ACPOhBEeHRDMz5ugVa/wqMAtQXiIUCI8NEHgI9JWzXTlipERMRSVdXWc2grHbgTozC3Q5GrEkbX5VbzQm9mPoQCSXG/0OVd+V1IP0jjDaZYvJ3717U0QHtqR0usMBFCYsZHJLmbRxgSuAc+ag3bvbalGlqlAdYGG5Crx+MhGjzaYTwiwkOrAgACC4vk1Bgs7YtKzGu2ck3ki1u0M07us5qfztM0zQ8PhxcvXtaqyzwhkSSpRc2MiIXlsYaJUGwkvEH+1pT+ne//jf/Z3/5v/Jehf3L0TWB2TIGJuEH5q1at1dXAofUaEBu3x91bGpXcgVFEEvEqQmvZHwDwpo5eVdLtOwkIBCs5mMKhPWZaSIqQCSnAJaW2NWw1wFasW+aial2fkaC4qhkQBIGqu6OWoJBwFxGI8DK//PKXX/7ip588f/rw6oVOJ0F8eJhPZ3u4nV/8+t00u5XYby5O52POXc59rRYAj4VPhHXuzMI51km3Q2ASYWAmFoTT4egRjXqB0axliSiZo2BGT123XV2AgFVV3UwNATpJtcyutq5nArEtxBxNYZprVV9Knaby8DAvxdShWKiTGlaHamEBxd0ALVANwymcAjiA1CMIiAlWXuOjd6gBj9an1aPXPaitrRg5AMKjNTb5EUvQtj0Qbbfa/Etobe0I8cj4bck8R0QhlvbdcHWr1nyt0YzF67rkN1Oadm5ukeXHiFDrI+Pj/qs1nBwCORIhm63fII8AIDAMheaSMXAFDyQnLKHV7DeFAaRADFnrbS3g7CxIAixBEmal1mpqDuEYalbdAcgd3FdVLQCubzFY8e6AK4YKoNF+movA3nt6nTMKr1QDNSOU43T+/u/9/uuXbwGAOAegmnF75gEQoqmVpTrCsB3naQZsgyYQaY9FinAWJAxCZuKcMpj3TFLrN5+/96333//Bb/9WzHUj6fnV5bu7V9ttNtQ396+/+ekHb14clq9f/b2//vtxevjZj3705Vevbo/z82fv7cfNbhytlvl8ZiTQwIiPP3hadTnDPAMk7uFsb16dhn6bJPf9YIa11CQM7taeWkQA5I7cpTwkziSdtMcfIbp5qYtVI1iJqo9LxmjP2fZIMW/g4aaDjhZjbjOiZnBoi/v2LsFA4eRr9BAQWmYa15jvaqIJWj8Rr/OstbpXcCOAcpo7psHj5qz/w7/zX/tf/Dv//f/ut76xf3faBkispI2WIGgl+nV6GYCryS4ef0pbfaW9MVqDx92dHpNA0X56VkkyUSvPSJJSqpoSYSml/UCnLOEhzJLYbV1IELOqAwAJtXPZI/IMI0KEI8Bq08WQqi1LOZ1Px9P57Zs3p+OhQXQYGQwxiIAjKOecEHYlvtF3/62//29/77/yt/7aex89X2ALyRet8xJm3IBGhIDNs4ym5qYRES2L8pszEVPbbK8jWqYmYGto9XbqxMCUpH02SCiJEdr2C1gkVoM5eDg1wIMZrhkhXNMkDo/xobZYQ0AKDBJOKRFSJ71bg84TRDDFxdj/X/4P/7tye/z2x98o84lTAOWf/vzl3b3e3dW3r+fjqTx5/ux8Pm+3W2juM1VAqLqY1UZp1arzPCOQB6iHmp/Pp37T9X3qu3Q6PIhI0LquBJDG7DSzvh9Nab+/TtIfjgcWkMQ5Z3MXls04ikitVVLKqTsfp1LUA6qaRSzq57kYYYmYai0K1cCDjGjBOExL0SgGS/W5hIdI6gHZFIQ76XrOXTVXdURUbyk0BmwkCG8oKUROkpiTaUNdukeoWUAQcJiptvlze8I9fqnaEz9Aay1lWTvm0eJ8ZGq1LmZ1HfeuUSJYm5+N0RtrZCbaWH0ltD1GFWJ9DeDaFQZCJGBG8bBqtaohsFp4gPm6l12XIkAQ5AGtJ9EA1EjQvi8tzpYSpyySBShYUDJyoja8yl2L2UWEEYYkAvBAZxEEYhJmAQSHqFrW26tH61cz87rSN4NQwtgM3dgllvXVQMLVVLr89PmTu9tb4S4COKWu67LkLMKEXRZh0aqcKQ8JBDhJNQsIJFRzEQYDRKhlSSK1VELcDr146OH8jZubPOvVdlfnssm46WSeT+N2tLAIOz+cyep3P3r+t3/wg7/63W9ubOHb4nf64x/9+e7J1hCCSARP80Mloz5d7y/0OCegBPDxR8+B8If/5mdhyJm5z5gTpaSlDH0mZg2f3ao7AtRSUuqIiJjbg56IAIlFqhV3a9+ONi5zN1jlMGuPpDaxcAvbNhJQy9dKcnUAUNM2iy5akMjUGmjD3AmJWVQbgqIhPkzVsEE+rM1zGIkoqP1qPeLTLf69f+sPLi7yJfvzlEcSilZrJeHk5mGOAUSoqmYeAKa+RuAImSjsEWi7Jp2jzQdb4iZ+05j3QARioQBoD5qWr8hdVtfWswUEa2bLiNapW83IsVYLCOmRxMABrWlGADAvSzVtryGzIOaUc9dnEUFqhWQANzAF9ww0mIwFP7m4kYunQOO26688pQKZJLO0+BQhtxt71dLYzr7eIBAQgYAYA73VgQIiPKpVrRUe38br03+d9YeZtic6IUXz2Zg1DQCu1KDWGUEAUNVYLU6tzgxNfrmiRohg3c+0XT154Ga4IMiApKDhuuOuvjv/X//3/+fD2/vtdgPmpvi93/7tbneVhr1benhYxmHz8uUrSVlSahFPNUXiAHTwahVFmFNzsJSyuBsGJpIyLxzx9OYqDaI2d5KTpHlehBMCQqtKak5piyHmFcBTFmrbbrMwbVtOx1jmZegGkW5ealU7nObTXG7vz4fzUi0cuJg7sgHfPpzuj9O52GEqh8O0FHOApdbD4VSrErGZaalh1nWDSCKivstd3/dDzzmRCK4DJS+ui6uG5T7n1KWUg6L64hjYXFfUpt665iXXag4iYLhjYOLcrt1MRNSAXC1BGqqqpTZb7JpLg7Zd+c2PciBQewa02yTAY9i0BXVc23ofIzzM1iJOuAcBCSdCFkrxeH1slC1GIoBWxUakCNDwholuBXkncEZqCCcRZDY3ZhKR32C/0M1qKaWYmWpVNSAp6tpo08AMjIBtwu0RiGzmEEggGIjhagthXG53VpYs3HV9S8p+8P5HP/rRT7vNCMS57729niG6JFoWMA2rzBDiU52Dca4l0IGwVJunSatFRJczMzO4IJiWsCoQ25S/8+n7FwP2lM7H8/V+QJvP5/npzfPTdGh4qg8/vKblfPvFL77/4fZ/8N/8L/3173zjB9/95MnlTVm0LDOFeiz9ZuB+gJT3++z1nEEHgKj30MPbd2+l64bri26/q9AE93Q6zcdlOZbScJCMzCBm5u2oTth+wknImvys0SMez9RIRNIGPpJYCBrwn+NxTgCB6maubt4orUycclYzIAqElDI+JoUaZqjdzJiEgFpgX92qabWyCk89IqzrE4BT4PVud/XkPUtPTWmbRysG7m5tn6Htg2tFNsTHUDy3yEZAu7VjrCT5eJTnrcklbGHmNikJwAgUrZqEPMJCmVlNU0rzvPAj5AARTM09kNHdyMnNpW+4UGmnDDdDcohQM1PjxGaGQUm4G/qu7yWJSCrzpKoALWkaSVKYMZBNNWFOJuzJnj0v2eo20azMLh1aNPTNmvG3qmEB5NiKxgTu8Kihb9rvIGzfbXi0GXpE2HoPQq0aANQaGwjmPi8LMZmuomOh1JK8ZZlTEqGspuBmjw2jNqE2D21JGAJiZhEiTIkzCzol792hlNpvhovhcjqX5Th55J/86U/+zWd/vP/409vjDDT+p7/+o29854NdR32fum6AwzzP9Xw+bTfjdHdkoEUrMyameSnAAo4aRtCoXwgB81KRSQh/7/d/7+PPPvrjf/Wnr9+8A6Jpmfqxn+pJkmAlB0bqrPIw7tzfBQQInY5TzkPfdykTJwoCVetyF8HH4/L13avXt7dp7NIwIMlwPKS+3+x3KeXDuZhFgCNTVSPhLIlwSXlmZAJwq13KXdd1Cbs+L0X7Pq27lWg1K0HiVm0NDw5ECDU1cPc1gp0wtdE8gJsFETW8YFtAIQJRqloCnFHCgZEdzEzbAV9VkUCtAuBaI3NzXG1lwE3Y0rjI0UY9reHVPtH/Pxd8eLvjrutfcI8GA2YLD1/DbL5mMeJxi4DMYo6O4QGSBkFQreGNUMSLVXeQJEmoVRW6LiNgEKpa7glY7LTMVd0wwJCpujNTSgnAzOu8zMSRJPcEakszVbYnXsPNNaXRvJz2m7HLs1qUWpgQkH/3d3/wwz/7MyFpeBsiGXfbJEwAY9+1mLcIDft9MaXc/CqPEgKPxucLQCZZloklMQuFg9uTq+vDqzditSyl77uP3n9vmU8WBJR6SaE2QfyrH/7ie0+3z59+WJcaAPtx++7dIdyPp2PHjKaYxRi9449+67tJ8mYcxaxn+PZnH6ecP//iq9ntXGq37Yhp6AedNQlzJzWAELVY36UQPNalLXaYKQwiIgknkapzAD/2r9dTf7srNvVQWwioWtsUtyp1RgCmsJXGAe26JmkxVTN0yF2CR3UFIak5BJhbALcPPTGllHQ2UwUHQabVeIWUEDlmzTJ+OGye1dOPOUPXg2qbADd/EgI1tzkBRKnKwiuV0F2YEVZoRctCMPF63mlQ8nUpFpIEAQUAAShaeHnNuv2GQtHixxStaUPcdnttDdbwpqtFAYGFV14qklZzd5EMAF3Xm0UtFrZyEutSkrDXmYeOFRgJ3Qi9Y4moyxbOY1iPQ0oCJRrBn0hbyMFbCc8ACTDCQc2wHcsQ3FyYiBsIEFhWAB8ANBTwGgxsbwRstZh8nqeI4NStWeBo/RBrK3VgeFRchYchcJuzIePqXGYmobmYeRERIjycz7txG4Dm1g9bSjyVqRu7+TAjMVU/3t3dfPJbZTqX5bzZDncvb2HfjfvujHG4nZdTSZJULSJEUIzaXbUtSKfTsd/0IoOVxY0Q87BNTy4294d3t7eHn//yD4nk448+vD+c7x7ux3GwoAncte4uL0sRN+nH69P5dHt/Hp7sDYokWVuL4WFIIqe51Lp8/vLlm8MbZ6ZpGi+064dDmTcAntL53V3qEgkjUiAO45CIzvOsi0pOXc7cPoJJN6N3jF3RYRznc0lICQGAsmQzDQ9XY2ZDByQ3S9yDY7gBQngDsYVrMKG3jhg+BiDCwtHRG7G1bYhI2j0M4rHgAxDU+ln0CIFb74ftgouI6L46w6pqhLUUHQp6eDtVYUCssLrHNjIQhjEhAFnDfQG2FV+tdeXjglPTdoCXokJUwSmoNoQEU6NmAaCqArJBq86Aqmk1ZHJArTWlBMTa3JiG52V+eDgM4yZn6ruUOwzTpU7uihAiudriASwpZlBTcgzzlNLV5T5+/soVzBpF3t978uRf3J3SMFZHJAmHfujrUjfbAU2n8/lid/WuHjx0sTlYAqDNzFtaIgAkJ2Lx9Y2oiNh3Q0zHv/HX//K+6OXY//pwfO+9q17y7emkTuNmN50OLHxx841//pNf/MnPpr/1l//g/ctxOp2P1SOP3XZIndiDU/CCWXLXj8Plx++9nc6HuVzArJfdQAmClFlJoFNMuBTlpfqy9FkIIyFllkCNsMDgnKoWNxMCBCPCtkNPnAD+C/I3QEIKDHfDR8sp0BruJoyWnuHEzfygqjl3BABhRCJAZka/cW8SuaoTEK7/y5ozZgQkd8ypI8R2Dm0/y5mBEQQ8GGoap4VS6gzP1ZrrER3QPVBWHZUTmnrqcG25EwFoy4ZlkVqKCAc0RYRzkvBoxgI3ExFTA0BpN19iJBGHkCTEzMIRkSSpaTTQHVBEpJTCg1f/b4tFh6QEDhHWDtFdzktJAJBzUo0s3UIVmJjodDp1fWZOFphTYgAGFJKUGQpAUHIVOHesDQwn0l7IrZXmyNCA/mpgbkGt7WjktHKC27FwvcIj88oNQsSUGAC0kdCJJTXLlbtb2623QDoiajUWQOb2zF3mqnVhJsBABgtrq0CrLaAPHhiOItxL1489BpSYq1qgbrabcj5O06xeEcgtMsFyPrO5KHkFNJyO89X1FQXoWeeY3//w/dt395uhE8IIc29RGCZBVEtE0UyKSBGxlMK011qmuZTJfvX2y+3l5vNffaWqpdbtdlAtWTJj6vtxXhwhpbQzV8ZhmU+n85GteFiXU6hLl+alMpNVePX69vMvvjLyfDm61fObW5EjJsa3DwkRkYJwd3Wx3e8pyf3tqRWjRGQpdbMZETF3qcvdNNex7+hhdjjsd6MgSMTlxc67ltYntWAEkVS1tLCvGXQ5I1G4IRK4M9MacIRGkcKGcgKApc5CCZi9xXs0AMNwLfW0d0aT94I9bs2aWB4CLPwxSOfewsHgHgba2gUtWr3WatcJQGgoQDNRcBC4m3uDi0VEqCoTMompoUc1MzUURkjLootVLZWIJeWlmGnDhFsQCTIxt621e7TZ8VJr+96WatAuLgC7zbZ2nXoUjVKmCE05+ty5ohuUsmAgEXtFCBIW18IEtdSb/V7ABTG8WrX9cPnmy1cQwMyNJ5dyXubKmxQIVev1fj9N0/5qPwuR8KmWYm4WLWzt1vikqU99mObUHacTiXiAu374/Bm9vR93w4++/olbefr05vO3b7nviukwdLevbj/7zg2/vf3VF69f/OM//Nt/8/c++ei9n/708zQq78eiusu5nmYj4tSfbP7mtz57mLVGGvshVf/oyfVuO5Rap0Vh5GAk5oiSUyIMsGAOKLUXcTckXOYSBquY1gEZ1oBuQLihsLvnlNuPSNsmEj++71vPvLGnzKBp4cDDmzfNUXglRCKwCHurrQQhBpGZCzM9mn9a6tQbNQTb5cMgEBxCAzOiE1UX0mouThxABBYGzNCMj9QeRGRqgNwiObVWoJVuEIGMyMyUiRlrVQBIKbUDurkjRBKJcCJ0ByGmAI3WmFXzdcq/rgsQ0dzJwKq22LSHEXGYE5JbuEeUKinXomCGFB7R98N0nhB4GJIk7vt+Oi+APowDEBCTOlCicGxmQAqgINCQMPGJiFgdGsEGIgxUDRnW3FHjM1dlkZXLCIDEWlVE1oQWcnhYNaRVFtKmN62xzY/L3PCoWqCVczzWjTkERQiyuWqtgJGICKno1EY9DQ4RAciYRCKo1CV1PRGbQpjPakLY9507LMtStHRjp2DAEBQiMPYd6FKOh368VNDz6Zion5GXd/OzS7jYbrwUkri82r364nU3ZHNrXqkAVw3VykmAU8ACDBBxfCg5D6ZWZgeQlIiZS4Wq1UATJbQl9d377316c/3e55//5PYtJZJpXi6TqNftxSYQwoFZ3PEwHV+8ealh59N01MK9aNF+7Ib9htDv7+5JuNuN7+ry9VdfI2Lf9ymlaV76oR/G0WuttW524/XTqzCYF0cLRHxblkZPfTgeNkO/2fR9n7ouY4BOhYUQIyWp7TfY2E8IFmGmTCBJIqJJtRAxgiPA1JEV0MEf1V0EvjZjVjMXAUEAMXLLSyC3J2lrdYY7BSCttvlYXxEIEQ153q7MK5YukGIdijbWW+O7VXVAoKBwWzxKrUUrIboBeLy7f80pQ9MZE0/L2cyBCYHUIlgAQQQh9HyelmnJXc/CyFBN+7GXnBnJ1qYkLFqWpUxmQhxqCNEDei3CgECuoApa3CxY2J3npRIzOuw2m/1+c77Tel7A6YOnz88PD0M3VgvpOnUAYey4hi51ubh5/r1PP/v5z786Rf3kk0++ur9FMNPKxLVFvgMalsNd53LepGHMgxGEByOeDsdnXUKNIXdDl8fNUNWGYUNB5TSJw7aXyydbp/ffHG7/j//Rf3R1efHZb/3WB5eX+XpLQwd3h4HlYLHddMdy/zf/8vdfTKdfvPjq+9fXm8TXwj3Tu3k+z7NDbxAGmrskamymswG5dFnBg8BB1+aRR0Qw85rnAoywxjZutzwHiDDEFUhOQEDgjkEQHq2U2X5mGBkYMIKYYUVlgbu3bnw7Cq/zhhXVtAJHW2LG3QlRvXIgAKpaTo3K3MARAbGwGBEwBgMScwu4rdFzZEAydHMlyEIcHI4oTKrq4dWUncNDNVp3UhjU1AGb9cTWyzQBhKwJOIB2sJIsqtoQr4/7VVDVFfuH7h4pSStAMLOGPbqxWjuMMEBdw2GzHd1BFyNiJMpdF1yWZW7/MlOHsEB2NS2KmAHDI9fIGMCYyIE0mDGYsL0z2xyr1Z8ZW3mHmDSCmBMEBJg5t7ypKiIRkrm19j4iR7NHtSa0GyJAIJEEgmRRizDPXWIWIkBmc0ICLbVqaS+8lJq+Ax7BCQ4AiRM4lLl0XROXsEeYzWaoViFCtYSHUOqykJX9fmu6dEQ2T/22C9O5LogCtV4v5z7B4Xi3322+/OXneRBsFUGIrhvAXPoEAI24koim+RRaxERSns71PD0Ute12c3q4nc5Tv5PlPJsjRBr7fdcN4XF5eXP37snp9HU121yN8/HY9cnMTsfSbTbzXH78s5++evMWRaZ54RDhvkNe7s71VNR80/F8PoUVJ/JAToJ1OVaVlCjzw6tXX55Om80W9ebXP/5pPwyEnHMSScN2vLy8FAYheHg47vebnFBS2u+GLNxlSYnMApFZMPEjXCEiaF3htCMOUuMhQ3iwiHkJIwokbqyOAETTWFNq8NgVBIoAdUNyt2jhbnAgQlit9v6YBPEWAnZ3RDIPJFoRodGqAOss1WJNmjE1i4aq11LseD5XtVLV1E7HiTmVcoyAaqbmBmTqeejOp4VzVxQwJV2WcRw2242Mea4KxTmTA83nxQ5TWOQ+AQAFn+4PggQpSRIvNp8O5vO2T11OhJXQIsIUCMWdICilXMoCgX3mnpNOByGa1a92+5dfvUFAZPIwSXmpVaJjZEcYx+F4PHS9HOblPM21auqSz3M3dss0q1UCcCJAsPCxH32ZkdmAIks5x/3xvM8pSXp4uN/vLh4ezvO0MGO4910vTlejkNVfvPj89elUUrfZX/r28oiMm92kdsE0HY8ld6+PD9/77ifXF/sv3+hXrx8CWKeyGzeX++1Xr2/P53ly7Mdt1erOaupmSVILyxW3yeckDBZhDcuB2EAIrU7oICwBnlKqtRKxqTEJrnwwtDXsj0Hu5pKkHRGafrnhDtVrg6clYVVrxARzM/cwa6fWx4I5EAMCNLiOY3gEESO2IiVZ9eiASMI8SOco7kYeFBAaJNRWUl495RwRwtxeJ+Ht7wYGMmHmPolM9SwoSEi5I8Ro8DeEhkwmJC2VRcQ1iCBlaZHS1qhyja7PZanMJEzVor2jmDgcVJtHDYGBg1SV1zI9qNaqSoxd13ddD0BlKYy4oIYHIKYuuRm1pAwytZciEEGQGwQT9AoLIpI5e7NwA1EDCjJzmFkbgGhdwlGo6YdBqxKTg5rCSsImbt9btYrEhNGgxG0BBwDuLsK4Uv6Zm2e4xWmjmdEwScYg1yryWL8sGgG5S24hKZmaBSCwh5WyNISs1bqoijBxZMFQyzkHQtECBPv9/m5eEIOtUkWG3quXgCEPX/z81xnicH978/zp0w+uX/zq69u39+MwPHn6/P7ufky9mgN5SmIeNdQdEnOipMsSQSySMMqsQz/c393GIlE9URo6ef70/f3ushaDSM/f/+zli0J4PJ3n3szBzHAY+gC6u7t9uH9AwJSTtezBaYqAMefMyUAHosgcWqdSRTLU6vO82w3dQGhTh/Hs0/ccLeB0scc84N2bh1okIo7H7tXXL83q5cW+6yS/5u2mH8fh9laGnDZj1/d56PuWZ8uSiDkCcpbEjWlu7kbMRNgoINHaHYFu4e5ogIQI0PYM0Eqaj1sgJowAQUYSYGhlFML1EQbtbqG28raa6PiRANL4nbjSHdxVWcQCllrqUgOjjf5rqafzSaudlyWQSvW7+8M8L/M0cxJGLmoWQLlDwLsvXw7DKLnUoKLe53Qs6u/ud5cXeejKXOqDBkQ/DshYi/l0Rqa+64bt5uHdvZ5mrTofT+A1JTyEudnFts8d5C6Q2BzWYSEyswTgUqbL/YbgNQWG6yeffPCjH/6k219i4qKxaOU8BvD24vLhcDR0zvzk+U2csyIstQALMZmFWxBAljS5BwUBRwQ1aDjz7HW73f0nf/Sv5TvfHrs+zHPOv/7VV69evtq89+lxOtJ2N46jL0a1bjbjy2XaXt7sPnz+RufT4QCXFzb0mo7YJ6DsKf2tv/NfnRd9fcJfvDgtJsW1B3jv6cVP788vv373rU/ev3r6NKUuTrW5M83UA7SYoWkttgRbypS4I9dm8GkEydbrbzbMFvJZBV6OrfnaRsrr3yVuQyN015Rze5ohASMl4arugA6WJYmwl6rMrSzbwoetFr6m9xkQVqw0IHnUcAoMBgLzDCkBLVBLnaVhVzxYhAVWERYGERMFIlnVJg31AFMzUxEyN0IiYDMTSu3yqqoWIUna9r7pvBBROImrmrlwyn1npkRsUVsw1NwkMTEDhQjP59q6MESgZvO8MKfmiBeRCK9mfT8Swel8Xpaac26pWxEmhloqMUlKxQERHEPDOJglxVzJHHURXxxJNRAIOdxcPahjAkAKsPYGNkIiSroG94AMU8pEQIrBXrUiUESoWhJmEQQkoMdcv4azJEICD4jqKOCq4c5JzFwkwhv7G7UWRkDmR5Af5JzDg5AltTshCVMgmkJ4tCdT7nKXmBHNs0OAW4NqkSBy5pzns7o7GUJROxcKWqrVJc7v3gbEw/3DN779Se4+vr66fP7k+T/5x//89va27/rzNHNKF4MgOBEHYLUyjIMvpqqSs7m5WU6ScjILs2hh0DLb977zvZcvH9yMMT17+sFXn/9Uhm5Zjjf7wVwVUbLMRT//9ZeS5OLm4s2bd/tth+6Xu00vfZf7YdxqKWGz4zLPZUpMkjjLPC+kZb49TVM1j/vXL1KfTue56wQQp8nUcFHt+t4DiPnu3bvcyf5it9+Nm83QZ8GwoUt9lzebsev6rst913Vdxyy1IDMBQhKGdWqn7UnUKgCJGcKA1nAyIEQjyCGaGyMnZovQlpwjjDbGDii1IkJzt1Zv4oaWpHTwFiMDFl4XA97WdeBhzFzrMs+LuUPAeZnvbw8RYapLrefjXEyP53NRq+oPh1M/9ncv7tyCRNTjcJrnqVxcXtZiue9B8uEwmdrF1ZXkBC/eIPPuYguObpZyToNobfVvs1LGvgP3w8N5Ps+uBcOIoEs89Gk5n1wXlnp1MyAtAZUoCLlWZUqS6MnVxfxwYtpkkd1u6wDjMM51IWI3FwFOhAmr2y++/nq/2853t+eoF8ONHJM1tnpmKuiLtTQiEAQFMKKllNPZqhbNkn/6xRfv7bY3F/vN1fbr+7ff/uZf+o//2T//+IM4nE712W6q5ctXX2wuOvtct/1mqYGpXxCqLunqSWDy66tn7314X0r/9OJv/I3f42zG/YvD8ct3ry63Wwl/7/p629/e3R44fZO6HghYWIv1Yx+GYCGZpuXcj6OVkihZqc3fwEIRbtEWB2Tgrd4THu243IL2IKvIs10rf9OvinCRZNUAESnmpY6bLbGg1SQSGmv+3qOqSlsxIxKCBTRLpJsjoBNKSm3LYOaGDhA5o7vHoljDVBEjE1oxJAmIluOndpKNaGeUVkUqtZIIAOSc3Y0IPQyJSDg8zJ2F2xuMiALbiHPd4wqEt+dXhK+ELbNWFG6Tbq3mHm1Ztd6+AVu+TViEpVXDzc3VwkPBhYg5ubqTh4MkIqTEGETLMllVZAxEScmKBUO4A2HukiCBuyFPOiNTNCwtRA1H8LBAQEYxqLUsucvtzUpMAV5KGcZe3QhJWFSNkCIqkACEqplFTrkl+dUrELiaEABieOSMvsrlgYXcACLQokvdPE9M4rQSOVjY1OZScmZGsSihiiSCBNjuE+iIgVijOlF4IJMbmZmFQr9R4LOWAiFgPi+1LsXfFZdqcTmSLkbAqv7nP/rJxX7z/e//zvd///t/9J/9iZZIaQi0qgunztxrrfMUF5sxAjitxqIAKLXuLi9T7oXxyc3VOCwv37xrCeAEycDOp+Xm+r3j/a+sVLjoVTUP+4f743ReCChT1lmvdxd9z9ux3439RvpabOhTv98yW4DOpcy1PBxP6jr0uZrez/Plpi/Vbm/vOtxeDmJaD8ezSH88noLZEzwcTuF0Os/jZlDVcdMNfd5ux83Qd1mePX9yebWrpSSWi4v9djvmnFPKGNAPOXe5eRcAQP03o1WMsHDDFeDmRC0lhNYakOGAK/PbASyciVzN3No/Z2CBGNa8khgRYSs8kJndUTXUdKVHmAU6AKhWrbpUPR2nw+kYQctSb+/uA+H4cEDCu/uH82l++eZt3w+3dw/NynE6naXLjT7w8uuXEXB5fZ2G8eLqOnebNy9fb/b7cbO5e/tQznPX96UUMM9D6rrEjNN0Wqbl3I1uVmaVTKUs58OpllkIxkHGjjMGg0Y5P3m67SS5u0XjMZLrcjEOHJUIhOTVy7chsphVC2CQnIstowzH04RD/+uH++3bd0khb5Iz5L5TcDufmaBYxQYAJwyMUosHd0SLRTj2fa/F8zhOTO9/91v/8B/84eu7d5/+zrfTdlCrrx4OZ7jJ+82f//zPtx8/O50ect5M1c9L6a43b76+v778YPf9H2yWcz2f4u3r//bf/zvPkkOW24fbLOnXX3313d/6HmP87re/+X//p3+kWjPkPI7TctpW7EQkZRrheDwn4KrVAZOkZZrRI6wykhbDABGJNREAjU6GRO4hwq2QRUTuK7zQwVu7vPEaIoyTuAcCsmDVRoEMbPyuVWLh65BhnV42Kq0TkLRiMIB5BY/ElJgcHQK9IUAi0IwpUMJsSZk5cdS1h/vYToE21KxaKVGEuXqjdxCiewizuj5WksHcqaWRTHGlKkTjpIqaCoXw2vUNDIRoIsF5mlhYhFUdQdYycawuRgB0B8cg5hacpsRVgxDdgomBOeVUl4oEuUuulYC6Lrc/d3dzMwEAN4jACFNzyDVyZOZRAlyLOxsQhjpyAKGgGPjSJioeTCuWjzOzc7gnkVo0p9zo2GvLv4X/EDwcg4CDSZZ5cXfO4dZazYgEKNT4Oe7akqMsnFNCJBesxdy1SW45cVVFCggLD3clkaYVbjS5ucmegEgkPByCJXnwMO6K+rwUzmmZzxuhUh5evX5VVLa7/cWz9145MBMgPX3v+diPf/THf/qjP/8JY1/mJW06TilQueOYFZGLegATec4JsU20o7285/P52fUzcBrH3e/94BNdLMy1maQCu9zfl0goQpJTUtWepZbDxW5v4cIIGEKQGXpmsNoLb7IwhSRaSgwp7cbxen+BhHd3t5zp/mFzd3cfVZ9fXRyOB5twGPvr3Xg4zFfbbol4++qVdN1SahYuy3mey1JOb6p2fWaCzXb4+tWr7bi5uBg3283D4ZBTHvqOiBhpsxn7vhuHgZPknJBoHDfhrmZ9Tm3M0XY7TCTCVa2hXoGomLZZazv+mOuKq4vmklSt2hBDGGBVTRUIIkCXVQzbkIJavWqtVkuZq9bj4XQ8TXMph+P5eJyWqvO5vrl9J4TH42FZVLUiy8sXr5dqXZ/NLHd5mSb3cAOWlFI+3N3meXn79aubp0+Es52mN3f3F7uLmJayVHMdx6EejvOd9l3KfUam0+2dENdSloNJgrHHilSmZTlVX2BINCQ+3S8JYrdPLBbM6BxqjrEdN2gaYGPen6eZcyJOFAhMbtr1khIBQt6Op7m+PB6ejdtx6GLVXiMSL6rVaiKel2oCgMAofddDre7ed32E1WVKOb95OPzZT37x6vbdsw/ff3t3n3L39RcvAeyf/md/lHN68e7w8bOL/ZDv50WDPaAap358e/9aO9h+8p3p5YsL0b/6g++M4A/mt/enajwjzxHzPH/nGx+TISa+Bdg8fbrbXwyHylHO0wRGXT8MLIrbh/sHZ0g51aUQC4AjYph7o9KuwB/AcGI0Ww/5TKTuicXXyG9LEkILA7RbAgD4b1Ci0PD9K2BqJQsRtfcChK+h+bZYimDECBCWaqWBDIilsSkp4TBsmIU5UU6LKVBE651RexVBBBJLe0U1hQkxgTe/sUrrBODKAUVCwLb15QY+oBXcG8TkBsLCVgtZWwQHIKzScJM2PG05GzNd0Z5EqeGdo6GDuS6l1tp1XYSbOYt4mJmJCASqGjKnlIo5IOScmdiRhAQAhAkihDALihMyAYijBQMypsSMpBBJ2lrV1A3YoWXRXBuBxFTbqkDVeEX6uDsgRoNAtEO9MNeiiKBqBCi9oGEgenhiaVdsNHcFUw0zAGASpmbkUasGDokhkEzDqg5jF+aBSRJGe9ersjAAOQQaE3IgM0jRojUQAiVL3tR2yghUi4rL8f7tcnx3eJjLcfuCl0x4fHc4H6ZQ3GwvX7695TRwMIpqSzIgGoCwuHHDqgoDsuUsS5lqqY6QSPbbPQaXqWISIjkeTyKCGW3SUnXox8Q92hyBfT/6XE/nqc6177ucU1mK1ZIBN70k5L5nL4ZWp5NuLoahG86HMwpGNQPfDpsApy3tx/F8ns7z1CEuZa7TpGZUwQlBbd/naV50WixgcQ+IWqOqzfOMCA+H07u3d8wkhJdXF7vNNonsdturq/3+YnueT0Pfdzn1XZeSJJH723c5J2GeAcdxdMkO5uCpkekDiZmEqntAMAMiuWqLPBAAMAaB/0Z9jNEAgmVe3FfyuzCf5hkdqup0PEWABRyOp4fzQcPnqVatr16/ezicD8fj11+/SZSBcOg6Zja33G9efP21VuMst7e3AGBmTA2rlVOilPBivzmezlf7DetsZdruL3fbfjkfILAF4HKHXqZEWA+n89uy22+++d7z82meEFSXUucyzxIerggowHW2epq3Y7qtp2WR7T619yWDmfum7xJCdRz6LgiXWgXXKHwEMKJWowEeyrTb717PD9eXl2kzHh+O5bzQmCmRmgIhMpJRIuKO1TQcTFsLyRAczGxZ3OnHP/tl2gz358PrHx+q6+k43Ty9+umvfv2d3/7u/ubmi199EdMiTuGkSgQjiezG+e//9/7u+ST/4D/8ix988OzD7Yi2VN/87M3bp9/95Jdv7327BTjvRDZd9+5w98XprVyMpzLvnEI9d2KEgR5oXd93VefpnJOAhdUID06sZgguJGEYEImQmNxCpAFjmqoEiAXMHMPVENcJ41KWsR8IcZ7n3PUrbHylBjRaaFsVhbu1AMKazVxbYKSqQMJMHuGA7tgImVCdAQmBkriFlmUpS9d1oWdbPKdMBGHmEcgECESkHpIFsClHIcKJ1nQ1UKx9sVhnJNjS2xgWFvaoNCMSbiSfCGhkSAw3J2KESJwCo2o105U0gYiN/dD+C6qlzAHtCYRmjTgNKbGqqalEanzpNrE1c3okqkYEM3g4Alv1cAS1pCZ1rg6IWM2ReA1fNNw5rq19ZgYwQgjzVXQv7OYB3nB1LT9vbVUg1Mr7wSsci7yRJsVNkdYE7Zp5tehy17I9iChZIoBITvNJOg5w7lMAmRUUQCZAokAmLkXDQnLz5ToRE2VCVovFnFD6zK6mhmM/umpoTT0POYsu7169qOUcRRXg4e07M4ygi+3ucCq3b+4O98cu97evbsd+VHf0yJRKMUBAJi1F1TsW4OatIGZMkiCizrNc77PIeHlj6h5AkKTrHGxejkDAzTjqq3jIqzK13Xg0pwK4ElCdapRKSF2CzWZo+eDtdneeZ1UFCGIQpjwMJLEZevf9tExv374tpZ7ms84nm6tVQ0I2vdz194epxuowlYQNmDjN81Iwp5SYjuevCXC/3yeW/X57cbEdh24zDrvNuNtu3J1FLi8uupwkcUpUlqUfx5TYIbxZWHE9uQMhEOoqL0MIJ8I2qYRG7gEMt2Wp4BpmuhQidLd5LkVL1/eEeP/u9nw8LrW+fXf/8vXb6v7u/qAKx+k4z1qWJYBvbp6EY9d3X33x5fF8uj+chLgdCmlGb2Y4IEQYupREBGE5nh5KHTbDQDifzjc31+fb25N5l3thrrV2negBLjbDMAyHg3e7setzOTyQ+T4TdsNcYCEouhg5SooAIDclVQ/301FTpj5lRglTSYwcw5CXY9Vaqxt3XTVrPQDJIgRg3qVc1TzT3d2xSmh4l1K4mnOpNdg9dFZbVFPOiZI1/qmwVickMBf0jDxPCzI9HM7b6+3h4VhLbRPjYTMqwK8//9ITJq08btDFzMxpqUr14f0nH36FUKl89sEnIyFAOhf46vblH3z/b0w/+7EK3N7df3g53jy5fvP2rhpsN3sSCfY6Wyml346AMOmSc86JXXOd51o0tacdYJbUhFeETapD7fEiLAH66DRsfXQDjwaPaTvcse/bPFBE3I1zRoIArFpzzoir97Oh9R8xw9QGA8yCuPqRWoJIBMDWoQ0hIZNDeEBVd/OepNYAkYCGt0NwIkJOXJfKKUfTrsSaUm2n8xaQNPNHJTa18L7jijhseHsE8OpIKKZK4IDUNlosDBBMCAimCo0uxBzc7jsICG7OxKtXOkCYRTgCmUlAwFajArUCNXODFrEIK9W6eMtjIbEI1kc8b6tFuHJCisY4DK1KQwsxe4vwt/yOEwGF1rXTEwHuzXTT3sPeahYUGOC11LUiAQCISdZNKQEhEAGqWxurISBYEDIxRnvDLUrMiJLTkJidHNTDoEsjs5xO567rEMCqCzFwsnBdKnIQoKoxtlcBL/OcOiGUMkfXb7a7zeYYh2W5PxxyPHioaSUwYQOviTuCYmV+8eXn9++Om6sL99BSjaohoHOfevPiATl1p2UGb0xNHsfhcDyER5gx8tXFJYMkyVZj3O9ev7lHwsR5t7s4z4dSli73rklSCsCGwGaRhpJPOXEWqFoXAw3XuLzcLdWWZe76vlQV8pyHlPJ0Poc6JdZq5EFI7bLV5aRmWuvXX78+L/PD6Xw8nk1jKSf26Bgc0RavVc1RkjRJwzyVCQwDmPh4fLkdtw/3h6+/ou2mH4f++vJyv9vmXrbbbZkLBHR92m7Goe+m85QyOwATjZtd1+WUMycBRBRKKRHTOsOHNQQYEd5eAe7hqmVZ5mk5z+FRSyylnM+zB5yOh3e3t6fjcVnqu/sHR1zUj+eZpTNVEX76/OPDw+nu/u7h4XA6n8s0ExGEODgSCrNqcXNmjtA85OV0GnYXmyxdRN+l3diHlZttZpsuho6FEqUwGy4uyjIn9Gy13M+jcNS5lFkwZ2a3EmEj4zB2SwHr6HiYkbhWI0CtFhzAoA5aK3mljAyEFFeX28NZATAPQzcMioiBBBRuAkRIYcAi56VgpormHFpKIlQCAyWM1NFs1nMPDqAREbUUa+MJcwIniE5Yi3bbTsMCw8K11L4bw/y9j96f68KSI8xrtXmK3KmWHunh7v6bn+w+HbZ+A5cX6a//4Ns9uTtjD+F2PhfX84uH0+8OG4Xy5Gbzqy++XIo+v74GtPaKzV1ujz01iKJWAy1y14E5uJmpY3CbEIB7K5wHR+OLQACSmzbgfjTIw6oPakJTcKeccqmlhU+s1l46xCafA2i6GGowKAz3thJud4NqmqnDll/gGITJoM6zcNKIThIihUHO0u13pE0IDuGQUl4ATJuNrgF9giCa3SRoNZoTkboxOAauxpOAFQyHCAHNY+HrwAqY2R0EEJlIGywTqQVfrQWW3YSEhNU1Vn5yMKGqQaCH4WpCaACSNiYDWE0ajpJqqW2j0rh1gYhA7UpC0GQsEBDS+hQMhcWRMKU8bAixjWmx2T8ICKiV60O1dflal4GJGr6hpaw8oil7EMEcGvAPcb3lIQEYIKIwuRJEIDWnJJpqC4YhpVqrrCWgcPN+GHVRJEkElGiei4Nvx61qYRYDC6AIEBFoxCRuV+uIiKDIY08EEYR93DzbdVI7miZYyvzm1de/zlBylqk6gE/nuydPns+n6ed/9uM6n2qdO3mCQUehupypT+FR6tIuYwHOyGGOAO0RAxAilCjlnN57/70vfv358w/eW8qyz1e1lnHsck6AUebFXBtBDwCWec6BkmQ+nZGRhL0JtRUFaD7PQ9e5clVjRAQxUwDvpZun02mZt9uBORFQcABEWSpAEEhm6VP/3lNc6nI9LW9u333x1UsEzDm9ur2jnDIFODWoB0CYrm1dJKrViFhVPXCeTbWez9PD/XEchyR0dXH55Ml1zqnLqUwLYuScc5Z+0283u4ij++AACYBWS2tYI/mGY1P5tp2POSI2++75dL579xYszqfZ1EspS7HjNN2+u11qjfCHw2mpevvwoAqb/dbCStFS5l9/8fnxdGZi92gtXEJUqzn1HmGl5MTEbObb7QYDUurYzM7n3TgiYDkdLy4vhpSHcQyFnHJKKdTqskDAyOLVGEDnpXnoEJ1CoDHs1FmII4REdrvj8bzUKiIIzhAENB3OKfJunxHAIzjs5ubyl5+/wLCcUwCoqZMwBhEzEQSFBzEvc9306e2bN9969nQUvrx89qNXL8nCdBGMy832dCyAaK6uSp0YQJiDO0PbMCUzVLWbZzf3xwdGEmEGON0ft1c3h8MDcYLq7Q8q6uK6CBKqfvrkYgs6qrz/9OabH75nU6F+8+b2+NHzp6AFEO8fDvnDa4v6O9/93j/9i784Ht5dfvqZCLtXgChqCRDMuSNVa2/oshQSjnZKa+/9dSwD4YHtkMCyzijc1xXR49Os9TwaTgpXjAwI8WKVsFVzAVDWmEAENe45cazoWXBzFGpl9naKZRatGm21gNGaqi6BCOgB0wI5O5BBeDgRg4a1yVQLn8KqGGq/QJsutt2we7TBfZuKr6vo35jSw1vP0T0cDYBEhK1W1ZpzZlkrZy0pxJKj0eTMgaHFJwCgkZlNDXIkkVLD1SKC2v6tVAR0BwQ0s5SSuWnV3OUs2VrKvl1KyMNXSIuQeHhBwCSLVhBkwpw5rKBg22YQAQBqeDvCEbBZoBATAUagq9YW7iYmUyORsKUlmlqZs10+mBmQ2yaodT3D0cKYhZG0esqcEraWIAtXMFMDUEkJAt29S7TUUuqp3fkgEElUTWS42G20ESs5tpsxd72ZDkPHmXSuFzdXf+k7N+9f1HKqN5Ce5Ztf2rvT8VCKz7F4rcN23G070OXnP/zhO60/+L0/GMbNdJwGoaksBJyZEDysOSLaZ6/uCaPRSSEcii5LnTb7DRFL4nlRBGJiYpznmQRx7dBx6obEKcxrjUwASFUrGyxlAW+4O80kpvRwPzfuYK1TytkpjnEijLHbhEdZLMIoKOWcEgGhtXufR84dYoTZh+8/u9jvvvr663eHh2eX45ev3mDKOSUEUtWlaEueBbiaCXKETnMVIQistSSWceiXUiliPpW7t3fDMGw2/dXNxTB0XS4ifD7Ny64O29GatpSZiKy6R2kOeAAIZABAWdv74eauqnU+nk73h7A4Hk7zsixLneZyOE0Px3Opjctg01wIkMJP9w/vbu8XtaqmpgmbChzcNCCqOosQaBZuqjyI2OSs5/N2HMdMFPr8+ooRc9c/vXmylLrdbE7HcxYR9FiKLmXoOkiixZBwmid1a+hZ9aV5ivquAwhwYoAwqMsydtKnbakFACM8A0K4VnWXxGjmZZp3m41bQYjU9xdPnrx68xARbUrqngMiyBmxCXe81jJNH7//vFhdHk69cOrTq7vbPO6L+MPhkIj22w0TOWKtKojs4O4MuNSCuQNHVZeEWuvhfCIieBWLG3OG6gHBxFUXQe+Ir6/Gwc5bmHIZPri62Ih0nb06HB7u5ygP823fz/D1i5fHy3wxyPe/993EiCVG6m+ur5d3nxOQEDJiBJaldjlLn8+no3plIERysDYFbudlV214njU+3B6uRK7e2LOtLtsOc0zUqPq11jCHTOjIJCuiA5s2DmiVFHoAECEGha3YCWEhplgpc4jAgW5ACJSJ0YM8AAPdQLjW6mBNVmG22pHA19sEc2q+F2bCZjmHZih7fD+Eq2nDwOFKvnBomoRYpb4RQQSiVRkg5bQsS+u7rLN+wjVDipy7XL20PzUAcAtOKIk9Wp3NWbDF6iCgjbq6nBvknZnIybOLCAJ6KY3AhasgNpAQIkqtxJ2b+Twj8DzNtmh0CQia0t4lAlxD2zmohXyYiIi1rnyedopp7NeI1TDIzEDo6uvn1NJ/DoGxFuSivY8ciCOi63oAYGK3SJKIRZcCHJv9dtGy2W6fP332yacf7Xfb6yfXjLzbbru+7/sRkQKo61NKkrqUu5EJiJAYDg8PecxDl7UqCZ/m5bt/KWtgPcOrl99fJt1cXP7Tf/wvfvmLXyDa+XAMx3mZheXNy68++CB7LRguiRrWGcyJWN2CKUmKAAIABg8TJmZUs/O0dBdd6pJ5SBJ1bUcJxWqBLGk5ma+vUlxKyc7qzYYFpmpFMRANMKgEkpFGofU4XcgLYZBCn3skYAitllhq8WpLBIowAAOBhw+bDc08jJt5mR3gs08/Ht++eTgdck4P0/Tu4aQaDZ8dFkVrN3QesCwzEKCgA3cpubpCg3UHepxxbpX3UpfTdMpJLq8ux7Ef+6ExTwCgLPXy+nK/33HOGCshionVjYjRIjwYqBXRp2k5nc7LXJZpqkuZzvNxmk/HaV7KNC+OYEWnucxVSy1qvpSyFEVmYSbGeVoCorkGiSll4SxaFluAEVu1wVV3V5c3l/uU5MnVVbjllCWlOi25yzrX3TAS8VKWWlyYSlkaSLI0BDSjh2stOSWvhoyn8zlWgQmTUJ+yuwMqgZdSiaMs6oslHpe5dENGBAS/ublijM04HI7H/dXlz3799eX1BdUiRE5iyCidMxMqeRRd+px7wZdffb1P3Xh9cfNsfHZ/c1BhnsLcbXGrbob9AGqgJoxGgkDCSAiu3jrThNhOgfNcqZNEsuBarusyC4bXJSGK+ggSy3zR9yOlNw9vnj17///0j/5fv/3bH//V3/nLzwH+8B/9s2maB6InF/tPP3x2fjj1gB99+umPfvTLAaUuC6dc0ZyoeqnzKSK0KjQbQJvpuLd1KCMFrl1f1SqSGv+tWUPa+6ApV2qtmLKHN6JwBLQl03ofMENmV2/Po3B3d1NtOTSER7c1AiEFtd81tvsoITWgtC/VPXKf0B2WWQ1doSyGAy3LQn2PxA2CoKrEwiSuLWfYhgHQTrcBYfab1BZabf+Mx2qkWVNA2JDVgBIBDlFLyV1PSMuyDMMACdszFAAiHBldvY1DtBq1squ6CGhVQnBr0UP0iFoWyAkcqaOAMLXVKLCOU8y9gWqVhNciVUdkJsRdYt50aWhjNw+P1KfiRRI5GD5K0YSlaPVm8ram2l7vcet6hyTI6uOCof3O2yypGWCg+QUJmGit/MG6HXEHV4cIZomwZarELIM41Jub7b/3v/5fXVxcIUNdptu7O3A4n5ZGGy9lrobzcZ6PR0SfF3WzWqqaVnOLOJ8OQRCEqd9p6p5/+P7l5uqP/+SHX/7qRakxneYu5XmpRHiaNBDIndEIVQT6oSsPVQi1LtkSk5Ak01J0mRaaU3TiIjSMeTqerfrxPG2347jbnqcpbcaqysxB4ehuzRzNFCmlfnex74YhjqWpzpbzlCBhELdTEGAAVnNhcY/crroGgTEvi3XBRCk3z1IQcfsiReDpeOqGHkimqo6CjJhws80Px/uLqye5H4ZxSQ/3OXXnWU+ncxJ3iMj9XA0AhzxqKCY2s1IMItxixiLETFTNSHWa59MsQ5+7nFg6kRQ2pS4HQcrk4adjy1csm+0OAIi4peIDQIgXrW1LVUzneT5P58PhMB1P5/N0f/sgXWfqd3d3FiApnw/n8zwrALEc7x+arIYTASKod4mbUgLWJ4yhloSRszDTtk+dyO7JxdD1WeT66jJz6vuN1TBzBInZS9WTn1OXWqe+aCNLWLus+SqZR8q5YlR1Rmx0eDfzgD4nq+ah5kYIXebqFSKkAdsDajESjIj3nj/VsgDEr3/91fjeB+dSdwECQYAlvDhmACDkQAxw8CTywdP3P3j+7KuH86++/Oq3P/20Cv4//uM/rBYdi1N0QoC8hA85t2i5AzogEggCityrgkDRYuH9OJ7n0+Xm8jyXzFTmIkMCs6vNcHy4nc/3vTzLAMth/vTZB8f5oRu6rxe4/vTmX/z5z+5eHo9fvd5HOXz65MOr5wbwjecf3N/f14BvfffbP/x//wOkNPSZPJKwIwN4EJZqjIJIYS6cai3CycyESEHdDRxamJCZA1yrYbsjt/lJYDj2Xd/4Hw7edoXrcyYQIwiQEJ0ZEGrVlFiEWbghZ4AwzAjQVI21DTxUi6SOKbVnY7i36bhpSBLY5DwBWE1MTJg71sZ2bg97pvbSas9hCI/w9iw1azEIiggEdDVijmiC9PYjFAGwhp4RHEhSYgggHszdQVOWaOO8dm9p77kG0G0vg7a8bZhbxCYQb3cGN/cIESGmUrWUEgBu0dIW4aG1qFrLtDKytMJVBAG7a3UzQFjUvAOkRAIeYU7SwJCICEysqhCAgESiakBIjIFtueckhABm5mERwMKqJsT/hWXIqslxj1o1p+Rq0icKDI+ub5u9bpln1+A+YZuKuix6+nf/l/9u9fIf/G//gzevX+cOpUt1KeAskpoEhlN2DTRlwpQ6RnGnaVrSkCWnalVBc7cdYfen/+bHvxPdN//WX/rk489++qNfEAxdHghxv788LeeAKomq6vnhzp48n09l2PQPx1M0iU6pKNndItq9ChAhzPo+xx0iUb8dzufpeD4jcwSknMPXv0b4sixEVEv18FpqEkGGgGZdFnckJsVYzrWFyAAJArJnQgZ0VQUJAEucFg10U4N+yBZRy0REHjMQ5C6fi1U3YGek+XSqpTATp24QIWLEyR263D0cjqh6nhZ1d/COqFkXzEBrZaZQl0RezbQCOTDPs3vYOA4pCRA54Wme5MgXFzt1tZMyYz9iRJzP53E7LvMkObWrZ0rZTKtqg7mpViaqqsuyAMG8LEspp+mMSymqyKjzcj5PVRUQwELdcpdU1d0FeSmFEFJKWrTB8FsTEBEYaDN0Q9/tN9u+7/e7HQLe3FwllixJSJRtqZq4O89zOOVMD4fDUgsJIyOgqHlZKqcMCJI4AtEwwM0dPFwVI8Ct5Z2SKDX6LbY6ICKi1hIuEOQaLIjo2+04jgMSHqdzNuv2XbGSoCkl24WCzAMjKCETQ5KL65t3b152zLuu09P5XqfD3T0Om+vr69N0KuWMiCRs6ggYAB6wmHFwnaaE2cpiQcJJREuZtcygFTQgQpCWsqRhKItK5ovhwosS0Iuv33z3m882KR9meHs/BQx/8Ff+5s9/+eV2/2RPen9cjtMSafr45umPf/YXxeHphx8WggAq89I1nSUYAC6lNqezYHOkRTtBErYjPAhLWxBCc01HQIC7IXCrDQU9+gIQHsOUzSwHj0BRDG9H88eVAa6KWmqbBsQWGSLidgBvUp22GzczAFzqkkmSMBMFS709BY8Nx+K6ENIjmAIatcYdWlHZG+OyPZ9bRgZaYd6A1rQPi5hqaxETsZm3UVKDYwoT1WKB1uVOVSOi1sLAKadaqoP/5jaEj392REhAiBgYnCgaUpF+c8IPdCQCYqqlKbeobV+XaY1pQl2lrO3o3VSUtP73ZyOQTmL9tNDtMbgU0Tp53gAZAZISEWi1dlNr1NbAcDBz8wiKNglmj6bzpRXmBUhETdsHDOv9iKBqgaB2jEVsexAKpKL63e//1mff+ta//+/9b549e/Jv/9f/Tp84S4foENSAgm6Yu2E6njZjPh1PiftaKgAPw25apmLLzbNrDduN27zf/k//5//+qxfvTgc7HSYOQeAIPB4PkqhtmhjQ3ev5HNWYuUbImF2dWaxWsOhyPp4WRq6lCI3T6TRsduN283B3cnAANIdhGB5evu7224a5L1MBAgLIuTsAZGa12hG5FlUFolIXETTTstRaDJDcHcDcfHYjpMUU0DAwd0MwelVwzwTLaWZhAOiT3D/cExHO6GF3d/fEKJnHvneH4/Hcd8nBzKIbt1uSri591/cpPzwcp2U5Hs9mkXKalqnRXymnCEdv62onYg8LiKIQE3SRe84EbOCL1cM0UaLry52bMSFCdDk1c3q710eAu7q7mUr71KBywtPxsCwlIo7HEyJKyveHgxnOSyllWZZqEYCkDqWWALASmVNEtL1iOc/CxN4mCUSJUkq7zfbm+rLjtOnHzTi6+9XNtRbLXd+ljA458UXK59Oy2V0cj8elLptNjBLH0+SA9/cPARBIWqtFUPuwxg4CTauIRNvJexCiR1sEIXFEWNclADTX1Cc1V3MmAUdiBPfddnt8ONrV5TQvH37joy9//vnl/nLdwAMQIaVEgUgMoO4aAj/+yY+6cf/B86dM8OaLV7t+gL4fMhN2M9hpUQJM0vRLDMychEp0KXd5SMDmyMgURBGbJFF1mVURL3YXd3d3tBvOs793/ex0emekv3p4+fr27V8bv6Nl3u7Gw4vzSaGe5xnsw/c/fvUXPzp+zDJebjh//9PP/m//9P8zV7j44Pkx6nUWMoEgCy9WEJlFyJDICNpJubXC0QzaG71JDCMCGSEAHWldGAITuwZAqBZEJEJra5g2GWqGyDaT4GjhUUT0Ri9kRkIMdNDwaDBnxLbDB2QCwt94GQFs6DoOdHMSzrlPwwCVesm+GHfUKNNqFaBtjBEJkDAcAgLXWAuYWjvnC7FwDgQLNVPE1EKk6+Mxot2GVxx0KQpurUifUjpP5yRiqmTUspHhELAacZkl3Fq8GhnDPeds6qXW0BDmlNP8cAIMt8gNdweUMoeFVmNhbdyuiHY3QQAAUtMAZKTkBl6RRIgi1jd1e0tAS/0AQoCk1Ob+7Y3sXgGQBAJBq5kp8QpjBQCRJmit4YgJm04TANUMqTnZwNr7OVCEwpGJmMSBqhoEokAA/M73vo8BX7149T/+n/yPDu9uCdWqtgRqhMG6BSLOgWRdR5tejufFLEq53+0H5+F0fLfZjce710+G/uZqfz4ca/Xt7qJY6bkvdU5ZWp9wHPpSFiYmpDIvaRzOp4mYzqc5sQ9dfqRxcClnoLCw3PUNa84iQMbGpl7dtvudqW923fl+QUKUlAh1noXRQxPGJidy3+42tajV2R3qXALQEcxd1YmpOrACYcynOWfs03guFRVclRDuThOxu9lSlzD3sHEzRMR2t+33u4DYbjcPt3cX+10aNssyuxkwS9/v+/F0PG52u3EcLi92S5lu7w6HaX44nseOm2us1MoitZZhGODRasdMFqqzWphqdXNhGXabIfdd7vf7HSIkSfM0s8iT7bj2LZHMnR6BiIgNAUhuFhFIdDqfpUvzvMzLDAS1VCDkJCnAa23p566TWhU7QQxVhzAEyEwNOJIzDTlvtuPV9XWXuiySJG2HTdd3OWVE2l9uW+M+p+TmGm7gYQUZNuO4eElddzpNZV66nJaqHq5WPaKYV/MKa79fzQkwSNDbUa+p6w09sshSDMAdKkrHTC0N4mouxOAX+81ygIsnN29O5z/4u3/txedfUGJ1QERCEKFalQWE2OaHxPjyzZtzVTvNv/j1H1++N0rXjV2uEH4+Q60p4nqzeftwvrjY1FMBQGJeltIZljAQKqUoBQKmhLUsLF6qInZEcjgfxt32jcOk7hi1+pK7tH/yk6//ye7ib7EShvbCt19/Ve/TQPQv/tEffvz08ovbu5en8vFozy9SRjmey/PrG7m61Jl33eBLNddAWrR0LAaoVVvzqA0JWsO/rX7NFLid6tvlh1bDaGMorBpwBvxNogcBGmOQWuizPY/XpOf6ngFza/+HBulveVBzY0ODhpATIjTzFhpt92/O7Bb1fAYtYT06atUYqFaNtdYODTlFklfZJLcGsbepUFsItAemuQECk6wh+IhWDmhT98eAK0p4MHNLyUZETqmWSsyl1pQTNCOah+kqzGs6Ywsw1ZR6N4cABpYs7c+37/oAN9MwYGKtJsJMXKuae1lKm9fz43AJmdorKczJKpCiU8xL26k+XhIiKFoyF6DJb1hRzQ2BECAwzNuKR90NmZjIbR31NOAjybqHaIxIjNYV5TZdFWYApEBzD1Ak4UANRpKccgV67/2PDoeSc9aqwjGdD0Q+DL0aICFzcgiAqFYr1rQhlsq1SmYioHxsIBERzRva7Oj5zebHv3jBUJ9eXRIiM7pbbRMP8FqUmWuZLeJ0Pt7st5LFVFlQtcw1uroYAhEJydCxkISV+bwEQs75PE0WISmfHh4uL/eQUyeJgVhSJXeP4+GgZdJp7tyGzJlwSBmDhHIFR2FXn2tVNTVgkVIUtApJtSoLSS1V6zwvbpWFzKowbcbNBx+9FxAsMgxd1Qrgu/0OIFDwu599jISAXJYFwmpZKLwuU+qzm+act5tNKfPQjXeHw+Xl7tXrNx6QGfuEqpE6MZ0BSZIEhpbgJChSS+lTCrN5mo8Px3TNebjgJBiQJLXquLo1n5GFezj6GkBut1t3q2UBDGI0d3OfpnmaZ3PQqoHoAMiUQJwjLMxBzYXQAVyVAIiwy13KHGrb3fb66gKRu27IIkPXszCxUOrOizLHsJPlqBbqhIAB1RXMdfHwcl6G3FUr+812t/Na6u3hGBhUQ6vVAAt3rYQUDmEegZ6AERnI1ZkQOITIMcIs0HIvRCQdB7pbBDoGLdP0/MnNT375k+034Tyd6lIkJYPWCEQEIqCEJADoGMuSw37+05/lLn315YuidVPHr75+setG6frT6QTLRABQ46rvvNaiS3WjLIC+GYZEjMwBIJKKVgDPuTv7edFSFalnBYCcz6ApyfFw+97Tq5Knr5fSb7ZCMNVy2e96nn//e994c3iXhvGvffMbv/zpT//lD3/42ScffPrRs+tent9cvLt/+/FHFxfPntmXD/O5ZuRwTolVvWqN0Bb9jLDWlYQGFQd0ABJyD+Y2RmlnOIf16YlqKjmFk1AKiOBHPSICeqNGeZOJR1isvzQSobZibQAAMPPqMG39sjB0ZAZVM7OO8vpzaeZtUgcBpYB3WmrfZULzljCNaCd/aGab1mJxx1U30xa/jNDEQW7gDG3ohEFo5khIyAGrvQAdEUmg4SmSmKoWTcLtR9ZLhWjKw1A1WIeNAIDErXvwm3hp65hBE8YwMyCpNqg6dF1uhpqcc5RSTYGg67PXWRq7BiMlxuqOUEVK7q2NXwIbeBYbgeP/F9gKD6fGyI/AAGI2t4YpYhQRAYq26oTm7Q5s5Hc3hSDkWK+6RE0unkREpB0M3QwBGQGIAtE8ajFl3V7s52WpugxDb+V+GKXWxaJYuFdEL4DU5W7cD7WezvNcl/Ncl34YOfMwZK12PB82tE0ipc43N1f+488P795dP9leXO9vX58dIudUtapWyXlZpnbcnaaJEHOXlzb8RUDmGpGZMMAgSi3neX52c1FevUt9Fjl4mKoGxuFwQKgfffPjeTlsx656lDAk1FpblJc5GCJzctOcOBEHsqNbaA0t7lWDCQ2jlkJS57JkS+eHk5VKhBeXO8npyfXTvs/naVkcpOvG3XZRxU4A4mFRrVOpyy+/+HJRvbi+AoM3r9588sn749Dtthcgcj4cA805uk2W3AdhhF3td3f3D8fjCYhq6OF4DiAzR3dkaK66ZqX2WrnvMPx8OG3Gfpln4dR1qR/6UioCLXPphh6JtEXCABFDhDysYTSmYzHVlh+WJFVL1+dpKu6mGg0PVZYCCKHuFoSOgbWqMEDgMPY5ybAZ+64f+oEJkaTr+pw6QALmEnE+TtdPn6YkX765311sr66udammpcyF+2QayLwbxmlayHJOcTg/BMHVxf7heNhthvN5HnNO83w6Ta0O33pX7oCIDJQEIxAIIdxqNEV9G8oRQ05civYdh3p4+eDJk6H7VSa43G9tWZ49f3J+d+9BrSkE6FG9xVf2/fDBe8/+2T/+F9/5rW/94K/8/p/9+V9gTpcX1xd9v91tp/P+s88+nrX+w3/yz3LfLwg29rGU1HVRVSS7m2mtZjmYkeqyUI/HqfS7TlKuZpNrnc+07cPK4c2L3/3w5sPd5u7d9Nnzm6S2LIdbi066P/hL33L9oGM6nI7fe+/3/uij9z5/c3+/66+urz57+sHd67f9N26+9Z3f+vEX/8mYc51LM/Xg+jRvEl0MDXUDBCRuVnRAA0Bus8LHGbOZCQuufi0kIEdvoQnV8lgOwHbwRcDGAiPk5q5gbitaak//FnNaH9+ARM2iDMysZowkjECchJnF1BywGxKMHZw5oFmBNPc8eeSc6qOgzsNYRNpkiZBRAMPNVv45AiAKireReXPMEQM1xyoS8cq9J5ZGSkMgRglUjyBA12ZSpQhgokVVkuQuL/cTJeJOIiBJxvZYbp179vCotWrRrs8IUEuh1WTm7ZJFyH2Xy1I6NG713QCKQHdyB6ghAL04ezd2rSMU4ZyoRmsXt8sCWKzA6wBroHjix9FcYndtMyMiDIBWEbSIzOxqEQYkEYHEjwkq9SCtaO4e2vdDBFkEqAaxO6QuB+HFxeZ8PooghqsvESWwhvt+N57OBYDV/HSaxs2oqhdXu3cvX5v50I/qNi0TUxo3IyIVrdM0X+z3h/uHaZ6YLze73f3tAs4BEexttVsWECRIOJ9OOclkZej6JS+11kAotfBCu2FQZLOlaGn5XTMdx+Hu/t5r298GoYOfBd0xCSevoOrqQWGIJhR9l4SQSepimciJXKRUBUJDMwQH1TBIMMdSsWIYgl9c7De7DTHmLnPqJovt9Y1h/MVPf1Zqffnq5XQ6H48HAMeoqstSS3XI40CSySmj1+k8pu75k8sPPnz/vWdP95eX/ZBVYX91c7h9t+m6fC3bYby9v09Iw013PE32OKsBxCydA2JrpYRzAAIw0nSc5nm+vr5KXe6G0SDscSYLhhYhTBS0Hs+oBfLUXIkw5ZxySZLLcnIzaB2Yqi0VFt6mkC5MgNABsVDOebvd5NynnEmYkREl5f48L6/fHupS3rx7N83z/encjVszXZZlM+Qyz4nk+sll3/c5d12XmVPfD7vNfr/f9F0eeIvzNJ3PLWy924wWTkOfkOZpru7mHhZt5RCBgGgOgUzMicHDGCl1kjP1mblFusMFmQHef/oUQ+08kcu7l69243Y6PFStGXtq8BWLTcpbSR9fXvaUIiJLJsCr62sDv7t7853ffv/FF1+5ef/ZJ59/+XVCzJyc/LQUM2P3JBze2MaFCCkQHDACIkyDWazU6kE5BSA7XHbdd59d/e5legpzT/HtoXuqEShfvX532220wnz39rOPn32wvfrl6e3Rwc2LWrL46PLmi1evGH7r937/r/6bf/TPjmpc6yhsZaEIJwGEwHXcHxEazt50nuiEbs6rg5PbtQCBAkCY20Mz2oHRw6HRhQMBzZVp9cuFNyEhRyBQaGm10+Y7ATCEVgcFj5XZA8xcytJJb6bCpNXCyB1Zcs4M5lDVEIbtRkulER6Lxm0pyY/J9TYjXymW7tEQZA19+ZsSG7XfwqPuFCIotZkXWnh4SFt6hDsxUZAwRqBXSykx01KWAE9JgAADcpdj7SO3uWOUok0jTEiOjkgpibmLCCAwc+vfaq0BmHK6uLrc7vY8PUi4mq/NCfPwYA+qRaaFiyyHmSUZxVIVWjG1vb4IGcU0iABNo02ugBmphcQJUGttdCAADIfqSghMFOYt3d+mQK3L3UJQABgQOaV5MTdHRq0FQlbKELhDDEM2r4Awlznc3Hyz7R/e3ZdatNp2f5UpTfMc7YxOLLlLjqrVwPvUnc/T7urS3Kazq+r19TUSzNMJIp7ePPn687eUOADBEAOXZRGmusw5dea2LEvL+aYuqRohq1aMvgG+2xlnKYWF5zLlnMuyMMPpcH+aHi62l+X+/vrm+vVpQg5XX5aFOJZjCS8yShImgCRkU+XAPmd2VVeeGQwhgjtaouZOlsnTkLLI/vKi78YsstTiIr/86qvXD+/evHp7nGZgvL8/9GN/Ppy3u0GX2pFaDQ2Qoedhd3s8jv3u7uFwffXk7ng4vXr3+dt3Vuf33n/+yaefXGw371/vDfMyL7txRBYZ8sPDoapfXuRSq5qVUsIjiRC3+CkzIXrMx9M8bZ4/vZ7OyzTN48UWga0uQATMgEwC0Q51QGFOid3UtJZaW4SuqSNSTnZvqkrN2WGN5Rq1lJzTCllkSn3uchq346YfESR3XQAVt6++fHV7d0CCd7cPEOYBRY274dWrW0wpdenu7oxgm45f/PSXIuThSNS+uBQ8jl24PX1yud/uPv7ww4vLvauqWlmWhnpPKbkvxKReMVoZHhARyD0UgJhJWHJHIpRTQoAI7XKuy0LMXT+kod/2qRzuA7rbL+L5Z58ct+M8Har7ZhisVg7adGjz4e/93f/On/7wP//Gtz999+bNuNmOQx72u1/89FdfvnoJwvcPhx//4pcv3r3ZXu2P8zJHbanxMs39OLpW6bplmom5CdZrUaA6z/MVCXIYQTHFlAdJ+67fdSmbDpBf/PrXzy42Sc+18gff/OZ/+P/8h/Rks9/mH/7ZT7/7nU9fffUK+svTw+LMs9ari/HV8W05Tt/71rdLhAuxkCMOqZ/PZwt310QtXdNijGpqQeCKiMRCTdDYEv2EHNEGgRSNo+YBAURUaxGSRvf3BhNr2wMEbIl1B3pcDLQsECAGRCMmIHKEWbibM4WqoiMAGYSpE4bX4IESsgBDyqFgHkyEGGUpQaw1nFEAiWjV14UTSBPerW4rQMRVyIjcEvBtbQyA2ITq7l5KaRVRaUa0Zhgw1bDm4cYkUrWaAWIgknA6T6elLKuO1Vw9TI36laTSAqYYkEjUtfUOhFirm1pEiCTVarX2Q3998/Tu8wezIEro7TWGHg5mbEpo4Al1pTjm3C3RYJ/qbrUd9iOsVmRkTIQULIAhGDWUgoSFiNW0amWinLO5mmqE1KopdeGtr8/MQAwt1ZpTblW4pSpZ9KlPOc1qASG0LiS3mw0xN4n3vJhaubs7df1wOs5qcvPkycVuO/RdeAcgfb9LLPM0O8D+yWUgl0VN68X+MiI9f/JsN47Hw0PV8uTptYe6AnHqUgZTzqy1IAYzarXj/UF2e3AkJBGGiBb1pcbAUF9KVXcmDHXHOgzD/XxQ1d/7K797fvfi/ae77dB98csvaXupwcN2c3zzKrREGBNYad457fpkamYYFolo6ASon6vNWlKmeZ4vLvfSMUUa+tHRX9/d/vxnv7g93B7PZwUH4HnR7dUOunwqijmVgOPxtOGQhBFeSp30dthe3D8c7t581Y+5ms512W5yDf/69vVXr19fXz25HvvvfuubttjL1y+2277fdNuL/e3tgTA64ljKbte5uRZlICbGoCSCRJvdts/Zw7uhm6bZNIhcOKUsEaFmxLHeJLHF35r2F5r9jZkQsc9ptx3Px0Mt+nB/anlrhGCkzWajYRZOQpxksxmHvu+6HoEifPby9YvbWevrl/fH0wwJiFNZijV3lNuC8eLF1/1mt5yPn33y0a9fv9lu8mmZPbzf9HW2rh+PD0fejEux+dV9/erVD3/yi/1+HIfh4w8+6HMe+gxuiQlzrlq3Q16WUA/Apgp0YQHGEBi2XZdaMXl2ppyzVRVOSKSmYOXJxfbr+7fUXXz+y19vunEzdvdyroGUujLPggTn03c/+WS337989eaDzUfQ80effvQnf/pnL198PW43X9zdV1UmfPOrXxZ3g7h5+syPVVKSUsO9Y9alIOPhfGhOXdUajvM0D0l6YWCu0yyI6FjNFrdfvHzz4c1lhutf3taPL3oSzIN8OevxYjOn7ud30/3p+BP79fTq1fe+/QHxqTJSt1GV9977UI/lyf5yvNrW10dOUC3EIpDIrT3EHcERmOT/y9SfPd2WpPd52Dtl5lp77288U81VPY/VE9AY2CBBgAZJEQRp2oaDloOyLIcc4X/AEfaNr3xBh2TZwQhd+IKOkBUKDyIlU5QpUaRMmAJBEOhGoyd0Vw/VNZ068zftvddame/gi9ynwbqqqDpxzvmmtTLf9/d7HnAXJiIy1/6UIBJkAUT11jOhh8NzBBP2GU6YJxJEUNNEuXMQ+hUSgxDI7UCQ7cN/OPwG4AcdvHOS3otIklJKgmLNAdwjkASJULqrLMICpsU812W/GVNCT8KORAjVzVXNwx0lZ+qj8d5OIOzxSGEmZEc7NM8Qw00kR0StCyJKSsMwIKKHa1WxCIIQkdY0epWAKMIQkLoDMdDDJXMuyd2YD4KCDqTubzkidHNw73vziD5UO3wyzSMR5iLb6yklZmLoGjbsyDkDJhR0E+ehSVFKkiTCXYEGjG5wBYwIEXYMAup7DkftIRxiREBhgYie540AxuevaCThrrFmONzbnBAjEB2oU5CQmFOtlktaloo5iKNQqep5yGnFHqFq6/UmItwDkJn56OR0XI1Iu5yyNt3u9nWRq6ub/X6ZdlMSOT7ZeIOLy+ulzVWXzLIs1dzv3r6biadpak2HvinGbooIQp6XSZiZSFVTKrUtCR2xV8ix1irM035ajyWXstcpAByAmXv6VrhfkPQzb37qv/xPvv7KUf7Spz/++NmT+xf78FW1VtuC4ZwY0YY0bAYExDAYiuz3M7oDGDNIQEaWYVW9F0RceCAp7z3+8Hvf+8Fuv7VwFJqbIrMB8Gq4WVpIunPv7PWXX/vR938w3GPc3nCCZu3yZvrUF778T3/3v/7pu0+/98ff+N/+r/83PBbQ9vTh49Pbm2AHkn21RP6dH7z92qsvDydnD589uXrn3bOzk9PzE1taa20YxjYvCLheDx1tYu45y+b4OCBKyeHeU3rhAYzCHI6cUkRE0AHwFdgzGAHIIoEoOSPCarW6aTqMQ5JMNI/j6BDhEIEsDkR1buq2Wg2b46PVaiXMTLmZXVztnzy9vLreAZIiYy5X+61DPd6sW60U9OzJxX/4d//uyQsvDZvxb//v/vc/+JNvvf7661eXz7QqJXRKm1vnv/GX/vKPf/j2W299F1JewsvxqS3LTfPr+fqDx49Hzndvn79w585qXBm6BgYGCRchRJznqRQpgxCGMLOQuYK31ZgliZl3IoC2fvzc3719ev/pE8J8d3Nyef/hGz/3uQ8eXXigOR8frcbFxgZ/47d+8//19/+fxycnz25uzo42jx4/evTg4bTUm2WpJV0ve9c6DmPOZSxDGgfY7+Zlbk2P1ivozCIioGhqmVKrypJanU9Oj601TpEzIw0TQgiqhqe0DOkBxI+eXn70pRckg4FX1yfbi9lXBrg3f/L4SnYzqzJYN6hvqzeh86PNEH7n7u0HDy8zeTiZB5OYVgrUDrAF7BvKTgRJKXc6t/qhMPSn3H8kPChuD5TgDqhytyQJEYVZuxbF3MmJKZHMrSKCsHQKMzgA9ay99wB609ZTQ4AIQCmnuU5gyiiAkDKHu6HnPMLqWCwPq6HWCuJDSbW6akOmwBAR1cPbR9WI+zwGuzGx99cYxXpgBwJZzAwARIR6GBrgoI1kEGRyM+sIT4RAdAdGQkYkb9URca5z3yv2q1J4QES4QzghsiQKCHS3Xp3r9CA1Q+acS2pN1QzDkwgyOkTAodPLiMJkc+1zTCewQIvoz3uCAAMIQO4uB1LzntYgYkBwNwDvrpt+WUGkiEbIiA5OZtbzukGgbsLJwLiHfSHMjCgBRG2NKTFzTwWICAu11hwxjyskdHVXF5F5nt2h1bjZT2MIOpqFerD79dW2LgvEgJGWXbUeSN2TNq/aAuDqaiucjpdkQS/ffcGs7fbzbprHzSqVFIe6a2+RBAkHhLZlyBuPWOoCJCWXZV76IWLa79QsMXqYNq3NRhESCcUxD8/wYp7qzfXN8dnxkwf3C/7cGy/fee/DPz4+Pn+2q9FUl5rFOFCQx5wCo9UWHEmAWCitYce23am2cbXZiDTV7X778MmjH7/z/pNnz+ZFAWMYCxAGI6d0enb+8huvf+zTn8559eorLxWi/+C7f5uBTk+O67JnhtMz/ta3vv61r31NZPPqndvvvP3Wx157rU67V168My2zgZRx88bHPv70ww+ul/b9t9+7d/v87t0Xzl944eH799/6wXvHm3UpKYKS5A42EUKUAKRS8jAMtbVDn/NQkzEIHkppZoRoflBkACB22x12ECwFwLAab64aYIzrIZe8u5lyLo8fXUzz3FwRnYU1fHO8yiUPq2G12sy7RXLZt3rx7Oatt356fHISRPPiJNIwXvnI69M06zwngCypPnk8lnzn7PSDD957+vD9tt/yWMj9eLOp1oLT48cXn33zzb/6N/773/iXfzDX/df/4A8efvh+5DQMqc3t4snVzvDtD56+//B6szm+fX5+enrE0n1Iihir1YAQ/QwkRGFBDFJSJ5YDgbUA8MLi2sDpxTu3f/dffZ8rOa2uH08nFy8nHoXWobrG8fLxT/6D//O//w/+i78vw7hvcLO9dMOj8tTMI4hZKCXy7AENkQMCqWcfEbGZIoKpJhZTn2st41j3y1znNPCHjy7zanXdriGLDKMjSyoWsr1Zju8ebw1m1/tXj4+Pv8QILXCe9rSbYnbV+Vaiz9x95cU3Xnp4/wNsW4jbCmB5/Ol7P1195SMboDvndz70txIwucdB74wWcNiCMpkZETL0SUivH1HHwCFSJ4r1B/7PelKHNWHPXOIBu38IzALK4YwbqibM4U7ARIc3R78N8AF2GMLS01u1NQRMJZMzRZ9FUKhRSoRRpwY7g3XCnBxR3erSn/agnenjPaekwQwRiIftroYJsVOYWg/O9Mlbr/d5OAYyAzGrmZsrmIiIMIG7u/fzdQC69lSPA/SCA+Sc1SsimJmBgSoRmbWAAtCHZdB3HcTEzP1XPsdIEGBAf764a23zfnJ3C0+CDod5mkd4U241tYUgiLELWcwbdUr9zxBCHcwKGOAAwCzhHQEaXYkgwkCADh6RklRtiBQeLNy7xNTf4R7EvRrmzHy4KpqXzEh4aOGDj2ORLIvN+/3+Fp+Z2lDGaKu6WrU6g7kwUyAzDZvVPAnJAck0HhWzZqEWut/XlMswDEBQfbLIgFYkXV9d3Vzvz86PmNFdzYJFiKWU4l6HXHbLrtUaoOje2oIYEFFrzVlU2263HVJyi2maCG65OQu5QwfwnZ2fvfvjD/d7vX76/uWzxx977cVv/sl3718+IloxAvRjy7RLwtZMBg4wTpScBUKMm1vAmFo2JHP/4IP7P3n77cdXzxYFYEbCYbPKKQHgZ978/M/94lfH1XFZrYejDVFaD8Pl4ye3bp8vu0tuS88hiseLt8/ffevHyxIfbjavvfTSdHXJgNbqerWW1fjw6dWv/oW/8OiDB3/y/e/tdteXbbl+7/0xpaPN+sXV6sEHD7Y3u5LT+flxEkL1YT0gATIR89HJxg7xmKC+BSViJhbhnIkZMYQp+gEoAIGRKBG4tiQZAlfrDUBQwO5qn3Oe52ko0hqrKgtLklDPOQ/DeOv0rC56fHR6td2+886Hzy6uNkenICIpm02rW2dvfurTb3zio//sn/6z/eWT5enldnd9fnz6N//mb+9223svv0buGePm8hmGE9Jms8nHa7XL99794IUXXvzSl7+kvrz55c/ff+ed733zW2//5EfXy/Vmc7RUA6QwuJls/+DJg6eXx0frF+6dMvUfvCAARgzrw2goOfc2bARiYGJmIQxkQmd44YVb5LoZ0uW+DmV1+fjJOJ5gLuvV0C6ffu2rX1qN8sff/cGbX/3Fdz94j0eMTOExT3PerGEJRzanII5AVys5d19UEAmngFBriQcLM1MWWsDnVhnTeLQyakiMJAGo2owFgd3hybMnES+6xuXDx6/dOmVsELF7+PDz916okDwU6v7OMv3Vz/38f3P53fvvP1RtE4ALb5ed6jIOa1ZAx8REYIwI6M2jhQqAkCBCnx53M0SHDhORqh7mfI7ICHqgwj0fYQAQmSkBEgJCqBogQCAhI2PXcgU6U45wrY3Q3bTj5QMckbu5HIGYpCduENFUe7A+AN3dqmFBIk6UoIKS1t2EhJJFTblkgEOMLbC7jJxT6gvnAyuTyZpjBMaBQ9wjQz382gNeEbEsS0qJRJDBDMSqChFimIVpiHBPPLTamHtHjlnEWnMzBCAmkQSIzEKAxGimi7kQdXhvGKYsTNIPAhiMgegoIm5uqrvttneJzZx6lTocRYAZIAicAVQjOp1fevUCoDsZsLeOydwNVF0Ll+De1AB38/DeXzX3jmcgIOqgIiTsN48++yUEC3dnYWvaWuu/HOmgjkQgSuTmWptpW+YppxxuyzyzEETcu3unzstS6zCObnH57AbQkAEBrRmiONh6HGBVEEgddtuZUTarlQY7+vroaG6x2y/jKp/dOtlePwn3ulSrxhJqdZRUJBuCLpU3lBhIUmtJm4b7UMYAEJGGBNDZ3WjNkwzrzToxf/DT97eX07Tb3zs6/da3/vi3PvJXP/nGa+/87luanMDVHdCJIWVJ2VISwOKgQR7m075FNTSwxZr5Bw8ePHz8aHdVY6FVGeeqRLTm9Z3bd3/hz/zC3Rfu3nnpnqS8Oj6ZlpqHESGK0Oe/9LnvfeMPz9alZb7eNqj1envNrR2vRqtTc0/BZ8fH6/VaEVXk7PY9C/nEZz9177V777730/d++s7lk8fXc91e3KxzGdbrQeTq8vrp4+sXX7wFhB6YmJGpDCOyjCWZdS2bnZyeqGouJadMIs2VDjVCYCJtyizM0oIXswA0d0np5OR8e3PjEEvdi9A4JNXWue7EVBJvTo6G1QqUJPLTp1f37z90j+PNiSHtpmV95/wrn//SF37+F47v3Lq8urhZpqPNJgVuL59NrZ4ebThJhHayKSEfbVbDOIYwpnz79vk4DLdv3Wqtnoyr/XSTP/LK+fHqE2+8+u6P33n7xz+9vpgdsZkGoVlMy/zkWZ2mm/Ugp0eb1SqllAiwlJyEOuw1wlQVMIY8Js4eOk/LMBZQGwudbDJzEDqYXT+5uPXq8e3b59j2m9Pyt377t/8Pf/vfu/vSyx88e8qblbbJrFNuMou03b4xdZaZWTg4QYTHNE15s+JEFIQQSZJacwur3aKsw3p9dn6OjLtpJg7ViEwgbE5Y/eR0/crZ0Xs/efcL56e4vayZROSLH73zc59/ddG5P5Eef/joBYA/+7mP/zdPPrzebweY7l88y6vcS0JXj68LpWgVzOk5/ZIpURghmHkn+fScjIiYGhFJkl6pBcbnl2+EAyoNWyh79LZwIHoAM8dzv2wEMQkzt6buZq7CnHP2pkyEz0M4cAiGBgJiACMJs7m7OQcdaCvs6l7NCRFWo2CSxIvWSCIi1T38wOIkprCwHoo5xDCBkDEwwDvMLtwxwDsqBgED/UCORhEhYa0GEAAk7k5McWg2E0AwoxscxlUEAaGtmds0z0mEmYkxDLplu/vlexhJJCHBtEyB4e79LgTuHSGaMi8TIFP0DQChq0WvpgF4uBFGWTnn7vVCIHLoATJHBzxwqs28vxNaa0j9A3NE8l7cdvM+73Nnpnme+9gLmSAiwlUbMenS8jAikpn2fhkzds6Emrr5UEoAjptRkqSclpt5mioRI4oZ3Dq/g8TaZkTebI5qrQCwWmNtVTpVSHvJ2ft20R2RaD0KIyMBGgTG8cnRw/tXranWthpXzGTgkpgiAlRbqxGIWKd5meYhp8fPLs/ONzEMTx4/FWEz3d0sA8tqXD99fL+1enR85Lago6SUi4hwHob5JgHRe+/f399cfOVLb/6T3/n2/uYZg5rOzecgAwxiYAkKj/CU2ZVygc1q7Jah5iiYjseTk4+e7ebp8aNnOUSSfOpjH//s5z57cuvs7OTkZFyNR0dOfH7rbF4qROzNPv6xj//ku9+JtqSU7966s1329cmzPJZa1UyHcVhxOV2v1usxcq6SsYy3zk9v3z0787Nbd89ee/2lb/zLP/zw3fs5MBEv034/b4fVIIIXlzdnp5vdzXJ8tiZAYimrMZW0u95mkTovJWVCEhEixG6ksHDrkQMhTgFg7hGWRJKko6MTteZmKScUun33TmvtmmW/VPbAwGEchnEkopJTaFxut08eP87Mc5tLGp3T6e07b/7yV198/fUXXvvo4rHX6ej0OO3369NNvbq4nreYaEioy7ajl1bDePfWWRJZCHEYhoFeffkFArh1fmpek2DGYPWTj6a769N7m5N3fvreu+/dv1kWLmNkJhqqNlVYZq+pjSUFgyRhZtNWAVg8Z8ppTCI5FyHphGxhZjAkf+He7YcXCwDN014d0WvYE7H2v/p3/pf/4p///y5u9rfP711ut6nIyXogpg4+NesD4P587KEX7hWiLAkd6zzNEKs8NGv7/Q4jHAwJ3PXkbP3o4ZM0ZEekREAI7B4WTJw5kLy2V8dhunt7kNWNx6Q6rEeNKsIGTphf/vhHfvjg/vELL937yBv3rx7fAnnvwbtvvHhesz1pu6tHV8mSAEREg8XCOSEFY/Pe4Y3nzhJEdHNAOFRDqDcD+8azj/3JwfrixKMr060PEvrzFw6USWeBruJqpiJCgara2Tv9TEqI4cGEhAwQSBQRtTYWPlhZDNy95ARIQdQgYN77mKZWFdADRHhuqqrOPVsK5n1eH+4BYcSkqsSdbpTMWj80H1rNeKi8ACEEOkDTGRHBkYVEhMy1kzAlYQB0yy5ntmbMCRBbnZPIOI6t1r5T7+QdAPQwAGxVaUCzSJSGYejVNUbyUABIOQOEq2EfspiheYDTAU6NQ86xrw5Wm+teI1CGhNgthxCduJ2QWQKUES0C0JkkMMz7qywsAuDw7UgESRISMgsyzvOMbsLSgeAs1OV8BoetdTcFImJ4pJSc3Myb+VkZ1kfHu91+XB89fna1nz0g/97vf/OrX/nSsDoah1tA6L4MA4Y7MS7WvQiYpUSgamM69MmBEcxXw+DZ9tM0pOH81i364Ob6Yvv6G3eGseynfeEBEYOhS9vDaw8UhymY5sTTfouU1qu1u+dUprZX85wSIhMwAgmnaa4BnkSY8dGjx7dOT5F3D+6//4O33v78l06/+NlP/Of/6J+99pGP1GtKWVostU58VIYiprMHRig4oEMimdRWw7id6p3bt8fVZtrvucGd116/2V5/9s3Pv/jGa0zy4p17kaggFQYuaRiEE0KAu37iMx9/+4effOd733np7ot1d7Oxzbg+2dbdfjuFtePNcPfkjIXmpQVL0+WLX37z/PyEiNbD8fHmKCH/ma997foTj3/8jT+aL26OjzZTqTfbXRhFSldX21Uprp6G1OlnJ0dHhZgoEHGe53G16h34jgOJPgLqd6ZmSRITGbaqqk2FaCybabebTIeS+Ox0nuqyb7du377e7oA4lZwko4c7Xl/tHn74OIN4+K31sTm89LGPfOxLX9jcvXPrlRdTyRSQB7l752x5Ytnszvnx0Zjff/YUFZIiE94+OSpI7ktrOh4fb+f6qc997qOvv5aY0KFwCiLOUY6z580G1lTrneOjV1+69eTZs3c/eHi5nYNpfXQknKwugESJJSVOOcCZWBKVnNfr0lpLIuBetTIDMzEiJp6X6WSzeu/+hxhHYx727lH3z+6/8+/+rX/r9Hj49re/88nPvvm9d396dO9eGZJkgHBTV49w7zd7M1dvhTkxr8vq6eVlEt63JUlOlDKnklJtVVhqrX0kbbWdnhxtp6nWqlp4yN5cVkUlqcfq5PxisV979aWybY+3oePwhz+5f+GXezBnEdTigq7scfGd7yC2L7z58iXodr+/dfSRGeCPfv/r+6vdhgqaEkuz5uRkB22WGgAh935XT/sT9UbRwZqLFBzat6t4YDlEAKN4BCF3zj8i9HkLAvJzXnQESJKuvOr8REQi4ui9sy5pxAPyHAHj8HcgInYHFpKO0mMMjECEYaQEjkEiANEF7H3+HRCEyEJVVSRFRDfPCHBgLLqsmYnFw139IFBRFeY+3QiIUKODOQSZSJgEwVtrEaGG4cDMSKCqhGRmkhOLNFvCQ1IC6NMl7E1dZjS1UopZI2RJfHW5E+GcEiAKH0AbpiZCKcm0VG2tUB/mCz7nuPnzB3OR2IUtoRrhEa0qDtRhFYQYFoAd6d0PIYBMy9xSFkIMB2JOiMuyOPgw5GVpApJSCg8RUjMLSyhmypyZGAmY2SO0KQvnnDopqFVn4fXR2sLmuWLKH3zwCFA+/qnP/mf/4L/4+3/v7+WyEpFhGPqjJBchRMmFhSnCHd04SSKEntYCtM2wLkPSFBfbp/+jv/k/fvWjH/ndr/9Qtc27peRhs1nvriZgCLcigiRTnUQ4MbsdAP1uOpZVTtKsIaIIz8tcEktO1bRn2odxmJbderN5773307BJWS6ebMfjs9/7+h9//NOf/8ynPvoP/9E/8ukmvIpQ3VUSSiRgJoSYsgOUIe9xEQoNnBbnxTJzEVqdHt8+XgnCtCofvXf3dHPcwpPbOKyJmYlKYq+t5NxMS8mbzdFf+a3f/HsXTy+fPnnx9h23Zlc3pZSToYZbeIvAlIuTbJsOq82bb35uvSokvFqtdF4++uqrN+v1/vhk/uDRjq8ePrw/luH2rbMnz57V2pDTflpup7PVejzarIaSEeH4aCPC19fbjh6ptW4QECLngoaIYB7uUUru88CAWHROOWEwQpDQZr1Zrdfe/J233xvGDZCk1VibD+vB3QX45nKL0H7pF7/0/e/+aJ7nlFevfewjn/3FnxvOzzyPZbXmITe10/V4ull9cH/ejCXG4st8Mo5pWZzNwcFq2RwlRgtf5v16ffylL35hLKVkGYbUT0VpPdQAc5QVfuSN1z985/2z4/HR8dELd+6+/cGH7z16ktdZK5jkcZXG1SjCJJSEhbzrGAJjGNKyTEMuZSgYkSXNdUsURPTKK3f+6Ns/oeGICDZjSlbPj49+4Stv/p3/4985vvXyBw8fnZ/fmtwL4KoUXxbzaGqYWM0CkAgHKeiOAbXOgKiupq2UUYgRQ02XpTJzU0XEcSiCuNqsdtMMiNYirRkAJGVCljy8/eO3P/3S+cOb9s4778z3n15HvPXs5ofbZ3PArDEykUeoipET3zlbY9p+8u5r9+6dna4HhPwP/v4/zsRoFYGsdaMLoRodjoZA3QOD1HU63Qli7n0v2OdCTOxd7ugH8nEPDfcXBgb4c+JnX5uKIAQwk/VFQq8lMho1Dz8MdxAPz/3eBwOnhCh4AC0jEJGZo7knJ8pgAftZEYmQiZndrOUhtYbVrXeMgghUD6ViYTP3cDAoKfeCMSJIr091RQxRbyN3p7yZC1BZJQASrQqgJAdDVkD/sCE8kDEcVBV6jqqbZtzCnFMCDCJUdSREQsG8zBWwjsPQWn2+Kjm0IUwNCYRJhFgYHQOsz14I0dyQyBancHBDSIBAgg7xfMjTb27AIh6GEYQE1KouYJBSgUPpGdzDwlgIHfvdQJtKYg8zJwQSFgzo1Q9TyymZmXCPYEJdaiHqkFURuXp2eXU95zyu0vju2z999MGjX/jqLxwfHT978nDaTnWp2/2uNZ/asl8mt1BHAEsArrSd3ZtBZ5CFWVS0UJ/3ujy7erKfpl/8hT/HKe22u3mys9PbLCllXWweSyFEiCaUAIKFtbmqCZKj1Dpj9H0O9PuZuguX3XZ39/jELRo0wFitNhGB0MIUqQTzxW777bd++Oabn//0J159eP+isJhrU91tJzxbkzvURjlWKYskXNN13YnAQFwjUcJSWJeKhtHa5uRoubr42Fc+m082jy62iIhETR3cy1BAiDhthgIBd87P/vpv//b/+z/9e1vUZW7D0VExneZ9nfdECTJjyUcnK5uWr/3ar3/mzc8gkrUoCR0lEUyAKdK9u6/yrdd283J5fT0vdvferf1+vrm5Pj46SjkRwGZVTjerk+ONVnN3RoyI9WbVAV9NG6lISkHhTQGcGSPAvElh3nNOiQm32y2n7A4nx8d1qi8rXT27nOv87PJCSsFM874uVztr0ybJW9/67ns/ee8rX/kyl/GTn/jYIOnevbs7DSo5rxLjkP38q1/8wvbx+/tnl4nh+GjFhcxXbqbuiLLZbMo4GOLF9fS5T3/qs29+drUeV6tVz9e5gxuB4Xq9CSqP7l+eH59vt0/XeZy29c7ZyeZos2tLbbHd73Pp1S/OgyTCJC4U41jC1QGPNmtTI6BcZN7vhqGYzbW1MUtJTJv1zVU72axhbn/jt37ru9/65r5BdnbEeben081uvrm9Sa7KWSx86E84QmvqgZmQBAMAmad5SWM2U84rJmm1tqY4pGW/lJw5uBCPUjCwLhVlkFSmeSog1towOLt/+JOffOrVlz738Vcuqj5+8PQTr98abr3ioQ2i7mYGGodhNeSgtKv1rQ+f/JNvfCPj/NE3bj987/FPvvXWXVoJuTcDcCRA78EkACLp9kHoxPoAxHmZU0qJEouoKzj0iVDvzRIhAQOEhwuJ+6HOgQfa2sGtjkR0gAkCANZaKZXeIjZzgN7Q6MUw6D0+IkJwCDRzgHAIC0991AGgzYkQNquURM3qskASSVKtd2DJ++2k38NMWRJYQL8WEDczOgTdIcKJyc0JO23zkKIJgJQTMXeojiBBBGozSVJrIyRmJkzMFIFI0UwjjAjDexlSDpnZ52Mm6IbJcOgTHYMABOrgJDA1QCKh/trUpm2piQ6tuX6UVw2W7OCAApAgGAy6lJOIIMxcIfVHdLgZRAB3Bmq/jzgRgVv3ZRGQY/RhGREys4UBgKvnIUXHCwX2XpV79LOFmzNISpJSatE6PO/6+nraVtwg4ubD9x7889/57/7Wv/1vvvLSK5zcmoYHJ1lqpcT77SzE1YIRyK0uJuNonaNn2rNMvngS5MJcIkn+7rd/cnl9OQ7FA8yAkJs2NzcJN1PVCHALQSACd0tlUKfmlpLUuXW2VISbV0T0oAAkkY7DzjmlxHW/XQTM3D2tj27//r/65le+/IW/8pd//f/07/+H4+a8LZpomGcbxyNtl0fHx9fbXS4ECLnIrXtncHGzm7WYS5aUeX8NbZoZetnI3/n+W5/5yhdfe+GeFm6JK4WigzchwSBJfHy0bvPyxqc++2/+z279k//2v/rhD74/zbpsd0J+dnwroKVBqrbd7ubXf/M3v/zzv0SSIWKzpmXeE9HSqiTBRHdevrtK5YNH71DmDx98sDq585t//TfX6/GPvv71iHZ2drQ6HnPmlAgcl7aknA+hXmZCJGZmJOZACAYAR2JCDHNEAKZOZs95AISj4xMMAqPV0WaZqwW88dGP3OwnFmmjttX6L/yFr333D77507fe+fVf+5XPfO5TMh5vmw0lgbZVKiCEahF6NAyf+OTH3/7JR7/x8F+Ow7pqpDKMTG1RtaCSpAycC0S88ML5r/zqr57futNJXqWUqC2Q1REHB2v7eXt2fuunj99KaQiHtsx3bp3tW62PnwTDuiTKNI5lzDKOOTHkFMJQhmQaEIYElIPZEHAoGcBYANSPNoO2pe1uhuEoJbxzfvrpj3/i//of/cenZy/uptnCymblyEPOKXCea621mZJqUwVO3MkBCP28aWGSBAzcmsUCwMvcSsm7WgHAVFdDCdf97sbc1S0FqoZqXF/vtCQAWq2O1+fndn7y4vjCPYBf+Cxsb7ZsQeEWdXhRiFDDhkE2vLpYps+8/sp/+ju//8VPfvpoGP7v/9l/PVJmEBL2wLYYVDUwJCDiqg251/+oWWNkAEhJeoUosBOVg5i7wzw8eraRvJM1nZiIyN27L97NiMjUI7rIOiRJUx/LgAGqLSKYCT0IO2seOlft+Skc/lTKiOFmmIGF3AMYLQAWhZxJUpYUap29j/G8n3YYQqGZSz7oEQH6grn3cYOR+h+lqkjITGaemFkECZF7QSwCQsxDWMwbkzgFInsHTRGYaS8Qm7m6elcqukGwd65iAPQSmZqQQA/XuyZJ87Jwh1YjekBidusRf0fsrwlLHeADnWHlzBkMwMj9TzlznAR7pyMUDoy9A2kPkQHYQ7siEVkOAhMAcOyW42Wpq9UKDIg6FJe0GUtGNCKijoNGBI+IYKGIiDAkbM0iIrGMYwm3Z4+e5Lz6F//89x88fPLVX/rqq6++cnp2vF6POctRFovgDSZJXQCXCCIMGVW9m3III9DZh342IcZmKHlIKbPkRw+enZ6ftFZX4/joya4Mg0cQ5eBoyx7REagty7BaR8But5gHI/RSHiJoawLk5tO0YwrhcdrvhGk1DrtlW/c7D5kXGMe0v9n98PtvffkrX0ji2naRh3nWZxc3jkKcAaJImutMKUse8lCOwv16MkBzMIeUircQoJP1RgAfvvsQ7I8/8+UvbF44r2CZsIK25sSYchHgJjgeb6ra+b0Xf+M3/9qtu6/88K0ffPD2O83mB8+ubt06AefPffELn/70Zz79xc8Fo+DQ6pyYeZXbrOaeshyfbW6dbK6eXf3lv/objx8++uNvffPr3/j6Z9783OZofOWjr/7orT959uwxsRyfnYzjKmWHicbVBgn303x6esrMQdTbvD0eIJKACA+5ahMmIWHCxVpKiUWWqS5LJZKjk9O8WlHCo9PzqjaMgy7NMdFq9St/8Vfv3r7Lm9Urb7y6r/rk8vrm8jKNawE9PjkBIg29nW7/hf/eX5pvlh98+9tDLq5sjEqAidKQAWRa7N6L9379L/7FL7z5hQhBRCZ0C0K0ULfmvsRUOXze3ayH4Z0fv92ivvTKi/ta37v/YZiFemJKOZckSUgEuyAjCSEGYqSUAENYSk7aDAnVtIzCTYeccpZ9a5hjadOf/7Vffefd9zitayMNqxhWa87J6oKWGXG9WgGR5BSIbtY3qu6Rc47nDyXTyHns1YrFGhIvSwOIZa7nd0/GLFSILrbuIEl6NBIimPmFF1+4dXrr7fv3v//B90wXn2Vt+gLlF05uH58N68Ic1Tkmr+axytefeuX2SbI//4XXf7D1x48vfv93/nCApD4Thbp1Ky4BVrPoZMfOKvAQ5OgThUAMt1CwJEzmwX1agj1XLOh2iIH2RRIdsipwoLxhB4kjEUnHnYG5oUMuibseoDPQEBgpnp++D91icATqhhWgMDfod16IAISUPUJVs4hwAGD0D6pXWME4MzxPeZoHC5sZd79hd4UjELO21otvas7MLCKZVd3ViKifX0VYED2n1JpGADMCQlONOFgAOaMZMJEI9wROhBMnD+fnWhwiQYZQ6NVhBxdhc2ckAO/CLAx0DzPtw5yIiL4OQQr0rpxM4VArBnJOU11olatWpwgI63tjQWI8AJiIiAGQu+VZiAMcEFTtOcj78E8fthGyqUcAGEQ3PxBas25588N2G/e7GQGR2MPrsoTzalylVAKi7qZv/OHXf+/3fy9JyinnYYSIoQzIvF4NOWUHqYuuh5wzNautNQBM0ile4QEWBkrLUlcn57/4i38OXIZhfHZ5vT7aYKCarcYRHAW5mUYEs7DwvCxmak3NoAx5u52DevQ3MGJZqhGGB8kdtRkjci7LvF8P5XKZEkIqhYCmqeY0fP3rf/RzX/3iZ7/42T/6oz9Zl1XDtKsVU7Zg4mDhhKmsRspZSi7uudVkhhqJcY8hYxZN+7mtS87DsEzTg/ffvaX71e2TW/du82qcw1ughkUNAnTzdS60wfX61bu/cffXf+3Xt1dX8/bm6eOHd26dnpye3L51Xtar/f56IAbXMqT5ekdkopjz2DDRAIxxcno631x95vZn3/zyZx10nqfj0yNDePmNVz3saD2enN6qrY2bQYaBA1Iehs1YhqHH/okQibBri6C/Ow/jVVdPWRgRAonZHQDJI4ZxNYzj02cX47jygKPTcbM5ur6+GlJanZwfHZ//8i//0re+8V3AfOeF28e37mKSah7MsLRxvdJAE3jp3sv/i3/n3/3G7/3B7/53//z+g/tzXapaIvK6RNQ7d1/8rb/+1778la8CdmEIMTG4YQQRSsIx5d00zfPu/tvvPv7w4eZk7Uvc3Owup3laFAXLUAA8FSEIQsSAkiSJrFZJreVMItLbmiIpi0zznFPyqKXkVk0Y0UwEQ+Mzb37+//OP/nEzoEQRGQiYmSAysQRSHsLBD0z5nv4IdACznMqyTObWag3H8eS4zcvxSb758MaR3NzRUxJJgkT9JWTuKScIFMkBZFW//c9/fz2uPGQ433ARWY97hLe3+P33Ly/eejTtZ1CGlJv4zX7eXVz95q9++n/+5z91vB4/sr79D//hf7t7cnFSVqaVswSK9uIfODGbe6LchyR9JN0D8v15Itwh9nag6HdtDD5nOffhPXZSjtOhFNbFMhAAPXgTZo5BkgDBzUy1/4bCAuHC3SH8fJ5xgFEBC6MRBfBBTRUejgStKlS1jIhgraHAUismBkBCQsHWo6KdPXF4AB/MxgclFri597grEaBhGUp0T+LiiCRZmLi1Bgji7t3F1RkK5OG19R2tSFJXbd60BerzpFG4Ahdk5u5l7F9jWzw8AICYu+xGhDsIqN+0mIhIeiIf/OAQ7p9IZgo/CA+AgyLqvOScwoESEQW4HaxnHuAQDijU8R4IfrgBBfSeXMcfpZQRMEtx984CVNOUsoU5HrYF6M9vTCIJMCyA0ExzLimnZt6ap1K4kGkruaCaTRMTgmpbfLleIuAKryJcHDyggXBiAbLWLJSJA7uLJ6o3YqQsoKhhwKtf+dpfESkXV9ent29vd9PZ8fkHH77fAYG5lNDWDnhC7NVEAJ/nmsuKiZu3QBORZa5JiMAx3FTdPTCIpRQ5Ptnc56htDsqb1VG4BfKDR49//OO3P/fm5/7wG99UN2Z+dnkBxCKFqKWc0QkogJ0zDpFGHao5TDqs89zUFFOw0ZLWo1vFki4vLp4+fpQHyav1rZdun967Oxwfi3BebYwgwIRjHPJkXmTVWl7lZEfHX/js51jc1K3N83a3ygMaIqsu88CI4RpBwNZsPY5gDSg2t85uLi+R5d/4zd948Oj909snR8dH0wSnt2+tcjanlMemmnOhgDKWYRyYxCF6cBs6j7e3eQACos9zqXP0zVnELVTN1JAYGMNhWA1BuFqtVusNS7p9797Tx09ffOXVIQ0N+Bd/7c/O02Jut+8eb/f7VLK6qjtQDMRzjZxLcP4zv/wrv/TVX35y8fjdn757dX1xfXmFzKenZ1/95V86v3OHKddllsRJDrnncG/zfHH/4fby4vLxw+3VtTue3Dq53l4/u7q52k3PdtuyXlOG1hSBhpLHIkmwFFmvVzljEkpBh1Z+F8b0XRojC1ttEJCIxlJK5AB84fVXp2X54MHTuy9/5Gqpi6AJOQFGSACaDynf7G4cYmnLAa8CEeHmBgCHIicgC3nHqBLupkpZNNzDh2G0UOIyTYpIGCjMQezVJFEh+Ml3vuPXOzbkcUzDynGQ9fH5nVevtzMeoWzWOAwWBtYYAyQ2t25tl3qkcJLxD//xPzmTcbnclgzN5nCNcCAgYWpuqhEKAAEUFkA9tdmHxz8D0nQ+9CEjpG6h3SCOfT6Mhww9MJJBAAYC9rUcM/fTcLetEyMzKnGfhj//YyDAwRGZwt3s4E/srhMk7rkjQVQNYoZVSYxjSpmQAYS5Agigu4URAh0uMYge0YmFDv4zhpGZH5I6ARDIqeuCFfopyByJVI26BwUC3HqpLKhXf9162zniMLHJJVsf+gQyESZERHcHNBExjb4TJ+iK4CQs87wACBG1pu4eHlwSI3c8Rd8gPF8A6MAJIIxQuUQkkEQpuXsYMWCYkgA5ApKCPh+EdZkwqhv0mGXnEXXdQZ+4YTg4BB9EAoh9AdR/7vssuKvHiDCCRHiplTs6uF8SEUT6aE3nvQFESmjuaIjEwskNiNFDyQ2JhTMycoAxOwI4IPTzBEiguEQV8KDMLrJMjYVrbdvtzZhvEQGEowW4A4QwG5GZqi7MrKrmLiyEQBxgFg5aGxMlAZ29tiVn3u19scWaZqb1uCLACFNrKUvbK4vMi/2L3/1Xf+1/8Fv/0X/8/9jvpxXjoydPkTHUzT0VWfZLkpRyIuFMfGc9YOLLJzcOcHy6qRXa5DmV3TKHGdRagSRQHH3Riw+ePL3/BJnTOKbVRgnLaizDIGWYzZoBMp/fOt2cbBjacn2zTLMICNT1cPL00TNEWJZJp8mtzrvFm9XZhyHtttdchJlWp0frzfjh/QeOEL3NDjRuNqHuTk2bFEkph2rOWUQ68Vs4yXPFfTz3yyH0UwCAQZLSfDlUfwKRKOdMTIaQx7GZoUhZFTe62e3GzYY5fe7Tn3ny4OmDDx8vu+nxoyfnZyfdP8M5kWAaCokg5ZSH3fVUylDDX3nhlY+89gZEtNbUY7M5urh85vOCyTgspmVW81qX/dR2083l493lNieEZjmXq+1uOy9X25sFfK9GScaj1aLNm682eT2WLCiJS0kp52EUs4YghOGujFyGrEuNgBAEAiGsizKV9Wq8UJ7UvvSVn3/v/YfD8ak6ObFjOFptTqrjWGqtx+dn+6n2sGNznzvCmA4oYURqtWZJgLgsdVyNu2ki4X53b60hY3NtLtOyMCNF6NKGEacAmxaptDx5dDI3bG5PsQGZlesWT/FbAAKjw8CQEgwryDKu063V0ct0thnPVx7/l7/zf3vy3R8cKeaIkRMxWLSIcJYgUG3o2P3sWisCgR8Whz2x048CQmwe5s6EhGJq9Bz2gER88O8GAUY4M6n21x6EOwhrayzcakVAAkwpCzIj9yZBeDzfG8O/Jh4IU+tZIwCwZmFORERMLDC34BwO5mDVVkfF1Nytm+BQ+PDqiDi8WKC3vvqRv78akElMLSVhlqU1d0ckFOpab2uWU4II6WLK7pyhw77x4HWxMG1qEJIQkVNKXSwE1q+DnZ1gCCBEBoEIFqDNOkAVAboPhw5WG0e0QxMfILxHtYII/flTOwBQRNHSplCEICABAy7WJZzMGB3h4BDeTx+dAASIyO7KCaEDpt05ZUkBiOHonftBybyCc2/hU/c2IPTFLQgTsVWNFMtSyzCwobYZLZVSegJLd7MuOqSBAMIs1AzAvJWOWlUFx5JTs32vBB/0xQDMjABgBoiMScPasqSMETBP07TMAVTKYLWZqtbKyAhEjAfPuLuppVTcNSVJLj9bzl9dbjPg1cWTVlvJaVkMASFoszkSkbZM/bNDxCLlOJ9/4xvf+o2/9JdeeumV99990ByeXk4GwIRM7NHyICRSVcE55ULMZ2dH4CHXi2SYJkfyuihEGoahthpBoN52mhkI6q3z0zGv697afnbAPe98FAe/vLjKqzEPw49+sDs9P9Jaz8+OHz96fHnx9M7dWz94fHG8Obm53OYkzWbAIGBmvHm2ryV5corVs6vrp48fc0k7nV58/eWcEzBihdU4Wm0AsRpWlMlMw3w/zR6wPtqYmuRorhSpU72iEx8Ridj6rZGIU2GKVhuE0qHxGcRMIBiRckKkPKRpIgDcX00/+O6PfvrWT9o8f+pTn1gJ+36KpExRKOZ9jf0OQuallXHkNEzTTR5X1GyZtIzD1dPHELDcPPE6zVu+2u+ePb1Eh1AHa0xU0rjsbq4vr9Yn48V225o+u7ret7aEXWy342a9Xh1vtzcevj4ej9ZDBlitElKUkkrJ43rY3VwjMQFwZgQs4woCVBthYgJvkIS0AhiCAwq+9tpH3v7gQRmOn15c09FKPXJZxTJlSYTk3kouT6+347i6Web+vCAiAi4puRsTzssiYzJ1TkzENzfbQDSL2nQ/T2d4q4bt2rxY1aCAWKa9Es8G63GFu/1QJ77aJg9IBABkjCLRwlrwUK4utxCgQIgZCvm4+k/+vZ/+Xdz5k2e7D56clwH2Mw8FgNws3Mzd4uBAJ2RtTYhLGpou/b5ChOruFoiAhJ2u4+YI2BMuXSXSF7zMAuA9fiI5gUNH6xAxd58KQt8Rp5RCrbVWW4sDpca7ZifwgBJiZkCHw5azGztAhD0gHJACCWEcrVVT7T2qVjUAUhEzM3eHwEBmdADsUf++1CK28F6WMtNwFRGLcNMIZxFt2gdFZtZtjwAhfaXhfhh5zfOUchIkJtrvJ8BgpnBrWt29LVqGxCLCklICJAhUbSmJRzASBvV0rasCi6SEjp1zqs0oH+ZcYIedNUAEsUWoGyNya3CzQ19N2hzBMWpVKBjhgEAE0TlexG4KHswUIu5hasLMBw2FpZSaqpm5eW9v55z6h9lFu8gQgK0ZM0EgEZUyBISb5bGYubAIczVnRBbaT9M4rImpTbNIam0htDyMHuBRkdSh9YwtEk3zTILY7eXoEMEiTRfFZA4cIebNGlArJXMWc40wJ1I7uOl7y5KJAiUAqmrTGu5SeJ5VJPVNzDgM2+3W3UBwNZZ5P43jkHPebXcRVoRzzsu8c9dpmk43x8vNzbhKZbX5gz/4+hsf+dgP/uRHx3fOdpcXu2k5KYRktRpnZmHOksZSmyaBVGhcZQ8y0GqtcKKUwLN6c/RZDS0iCIDPTo6q0XR5VXI+u3XsFrmU3famLdMRyUry1dX16WbcYHr3w/u7R4+OT9anOdeL62NOsN8PoVC17nZSZG6mSyUgY7++3slulpznuRLAvZfu5TSYexcp5ZJ3tQXifpk2ZZNS0Vg6CKi11ikdnAU7LYToAKpC7tT26DpW5I4V3++vUhIPT5TVjQUJiISamQJIknk/m0UgvfTaa2R+8fRid3UlCELgbiVLyrmMRVJOCBcPHuY8bNseiayZSB7GwdyW3TTt9yenm3m35ETcFBGy5OYNQtUW9ba0Bnt++uz6antjiM19chuPNwHRTE9OTz0aYuQsY8rMIYkkCbEEIOcEaBTIGU0bIAUCMaRg0xkjRBIizdNcxtMKvFqtr292u/2yOlrftFnGAT3cQ4gJqTV1iIubizsvvVK3u0AIhGZaBFIutbWOEevzKEJEh86cMYBWa1iEK0PWpblZU2tNw1zniszgJgHZfcRAV1RSs4EHqzMaVNO6ux48pCESW0y2c+Zny6MPKyE1P5dM05RFiHxZ9qWkHu4gfP6caC1JDvemtQ8AzTWcsMfa++ovHBDjuTYdEVSNmTshvA+C1CNlYe6z3jA3jG6oiiRJVVNKqtq7ZkT9UfMzQDQ4OiJwEBE1rUlSXwxgF5YBAbq7k5A1BTWEcA1vAZnULK+HMmTwWG62TVtm7k2u8J7IhwjsnYbDWZglJekqMm0t5TzXRSS5GxIxMQuDR1OVnvbvCMww48TuPs8LEUrhlPnq8prQJUEpKWeutUWEu9V5ykMxdQhoWpkSRJhqP1F35hrj4frURcwROC+Lqg2MEd1BGoQYCCHkS0g4JKGgpSowAnMacosGiIFe1UJA3SEQgpBI3Ykk3HIiN0V3ZqqmriBE2hozlZynaSJK2MtwQtYl8tE9YsHCat4vaKrBcliqmKl7WHNEoSzAuCxLKUPThgQk0EI1mkhPBzgxzz6D8WZYVV0g3FD7o9xsDgjuUCZzAAWiRSfKXKEVybM3FfBEtvMe5F3mGcH7TgqwU84cIcINQyjCWxtyngCYOkHUrNW0OTJkRBQWBD06Prq5vrTm15dXm3EcjzbLdDXk43/5e3/4P/yf/PY//C//YTXDnD/48OHtT7xMsC8jVp3BvLAgUSkZIsBtczSmFESt2m6+qQo2rAsHt4m8Gecgp/00T8+WwjLkrBHPfvQOdL441Dt3z/Y3e50XYLZZL6bLRNl0qvu2vd7mJKrQ5qpNW1MN1a1N+1pbQ8IglHHgAGh2cueURObFTu4MkhNSAEQSHoeMximvcpZWWwSomrmju2rXugagQ9dgmj0vCAOTIJAHBkSrNTzGcUVEuWAzy7loeEIa1qNW6/ltYk5lePrs2dnZKUPotW93+80q1aVZa7Eery6vWXgYR0kiJNPuar+7GcZVLuXq4mnbJXVbb1brMSfCcrKigKvlGhGmeb8si1q7un6iarvd4je7m/0EKSEzWawzkZCjM3MZkisnwlIyupWcWSiXnIciSarVXFI0DTKWpG4lDXWClKCBLm5MgoKAWJe2vnN7mrc326vjza2raS+C2uacoBCnCDJLq4xM+zo7wqwzELtpEo5qec2tmSRR80MgNNxVl/1sasBIAAlp3t0wlFtnp5fPntCwxghrSuQ5j/N2WQ1rA5yqrgjnZpLSfOgkKSZwNwT2QFSXhGEOVQvzQFlErM3EMFvLmIbVoKbm5oShisBolpmthbsaGILEczQmHJZAPUoDRNQpcX1CSMw/m9v06SszmYOFqrZeuTdXc2M5WNfN/DB8QQIgJjY3Auz/wsgd5NlBNQc2GXNYhEN0Sa2gmQsRQBChWVutx8DKieba6tIWNA8vpXgAOIR7/+GH6GGlQOJaWyAwISIHWm0NAmptzxOPXGsb1mME9qO/AKEwey8aENelejSMaHWxwP2+lTHnXPb73TRNfexRhrGUAQjM3EyRuKu2e7kZmRBAtZFjq1VYAkKSmIU7JMnMYq7gIYmJCSzAAwKCWbmAMVIS5mgGifpA/CArRUAmNHDrogVgYQIi6X86HGZozoEAASnnCHc3QuqjmCLcR14AFPGnXQaAMHVEyEOqzXJJ/aaB4JJYIwRJVWutvc0BEOYaEA5qHozMjOaeKXlE1al7kgWJGNUVKQolbQ2RhIEEG6abZQeJr59d3L112816s3eJqEsV5k6xaQ7h0VuIAABuEeHWnYA6zfthTPtdm6e9z1NrcxJ2QxEmAHQ9Wp9o+ymnlkuuS2uxrIeCKM/ef2rq6/VKzQT4wwePPv/xlyMiZabgQ82kKadkVlNmwDTXfRrx7HxVxlzV5qVNS6ORvAvlNFIaw4OS7Ob5+nq/Xo2ATkQUuLMaGYdxUNVpv22mbloSP3ryGDGIUavutlshdItt3S2tTftGObEkpDSuN6vjIyn56GwjuayOx/FoDYwsGLMRAjOlXBILIoq4LoEHhO8hLxzgh12ZO0D0H1D08ICU81IXYhZmD3ckAOgjYGEOByDw3p5HEBEGspVF6PX1RZ3ns7OjcI3Wli0g0WJOJTe1ut1JShG4OVqfnp31kExv+RLStNsvc5tmCichbGpJZJnrzc1NGUsIzW2pEE0VRIZxWCKyJAULcBEoRRKLRoB5JpaSh1FSks3xatyMknDWuQxFYQriBNJ0ZuLNar3bPXNtq1XZ7nU/qwZQSa9/7KOXN9cOsbRZwwmzCLa6YGBKmcCA8Xq3O9qcVG1AWK0GhDUbcgIHIKyt9ZVjzuJqmGKaZzODwDpXEXz/J++dnW2OhuTVUyEO1GnarFfBsF8arsWio3+1ZyTNak45KOa6BHuWLJnIY9KKCRzcwzjC2pQda51MqJqXkH4OOwjBzVzNQpEkMDIVM0M0ZAIN8EMkoHPC4fBiCOr7PwDvgbD+QOfO/+wg+17RxUB2j76bLaXU2lhEm+Eh7e8IfY94WC+bGxFABJMc3jze80h96tjbqYCcAAg4JKW+eWURcmiqHtZ/q17BIDxUzQ7/UToRiM0MAbU1d3czYQFAc0MIdEJC669JtyRZtLVOFa3LnLMQmbYZKBiDmedpWeb9UudmSwSuVkcnJ0cpDwAYBhrhBhSRUnY3bUviNLdFSIaUt9MuJYk+WsXoWNSu6DlUq3t7oYd2D3t2AuCD8x2c+gs1AAMIQe3Q44lwTqy1n48NsWu6CZ+DnMyMhbpC0rs5CyICzJyIPBzR4cCS6A8KIKKmLUkCAEnJqylEADCTOxCS1lZKbq0iAhG7OQEmGiAcADnArQI6hCIKOo257KcdBochsFS1wuLubhWayZBS4iEVsJh209FqPZb1DbEINz+IKJ7D64God0cUwBNjAwuz1TCgBZFjhAidv3Rvmud5nlGyu7sDEx5vTpgkkSz7fRvWbr4ejogpp/Lg/Ucv3HvhyYcPA+LBg6ceJCkDKouAI3goBCdMkhGDUlqth5ShDJHLvJ+WQLeAcJBVcgtAkiyBiEwrPN3f7GcL5xZahUtjmJs+ubxMKYWZqgrB0+tLpri5vGx1yURMKES73S6v0qy1nGyqhWzWw3o93j5JQ0llKKcbkTSerPKYiQjJS5E6zUNJjEJE07wQQckFMLwrLoC8x5ED4MAIP8DEEaB7MPrbVq05qIX2WDASWhgJAXSuNLsFEznBMGYkn/cGkJ5cPStDDsZhGFqr03YZxrIaMiLubrb77f7Zg0dZShnyMi9MmCRJktoUkPezamuJcT9PqkrIFra9ujZA9UjrQpFWfYul1QNFEgACuzC6ac6SkFerISWSxLmk1XrFSZa2OKAZWJfJBnD076AgpBaAJFUXxGE7tcZ4dHJ2ebM1DznouwGCiQHdhpQhWuK0X3YpFWtuFupdxgxE5OYyDheXFz3z0oE/FrGddoBeZ3XVpm6zTtfz97/51unZ6eX7D49EFm0+z5DGYVWIswEoQGZi4GU/MUXD1sx5HEC1IZg6A+ZhvdSlME/LNsDC1Hr5PGzIRZCdAYBCzUkJyImYBgf3UA/vORp6vofsZaiuiI/obat+Neyvs4AIIEYEAvToihhgkgg39+jVhzB8buj1zpNDkCSdABRdL4nYIZCHd8xBtBUHZSOypATqvSIGiMDS2pxLVnNPUavtW5PM4OgeVlsaCgR0pwURe0QgWneLYiBC39OYWpIU7kyMRB6ecmpVezos52wWMhRBt6UuwuzeADUlur6+cm+73WRuKeX9NB0fH9+9e08dcioduiuSAjCI3ay1xd1VzdzUrFoVST0AYBbCHIHhUQZBCLcAPlxAIhwDD0VrCNYGdWFKBtAQnMDNO6vPKbr2ixg9kImJ0cIRyaoGAGd2964m7qO7DoRtrTKlQ1Gu376AOo0JESl1oDYEdtkkdHyGhblBYIB7bzsyH2Y9wszMB+VZdCWvI2DifJBhhjOTB+Y0upup5lQU1YMsDAmDwqzut1cEFmYXz5599I3XmajVOeXkoWbmgczQVMGAEptWbZUQSZAsxtVweXmZU2rL/NHXXj85Xl1dPLu5vm5aCYiQWptR/OzsvC4tcR5LxsMgDqf9fhzGx48fnW5uPWwPpPDb77wvXEwnFgo7zCZzyd3ArD2JlAgZ0sBlYN4CoGPAXJ2FA9mR5qVJShYeEsP5ERiG+pBImI7OxqfPrjHw4unFvJ8RbXd9dfvW2fb6Kp9szld3bVlYsM311u1jj7YWMkgjp3x8PK7HshkT87AZh3EoSfIguTBxhHu/y/eUf5/8ulmvmMuBA4oHBEr0qMHhvU8Qz+HgAIxEQIzWol/8ck49ACclVe1oX0RCAyPGAJdE49EA4Jw3Wr2pRfh4cixrv7m62e3242pcnZ2OJ0cUWGdNieHyOsDnusCiOedwZ0xT2y3VFQGTWERAkpQYsSBxyktdmpmpceloQzCPJOKhTFISr4bS6z/DKqeUABmQPYIlV/UsEhC21DAFxtpmQipl2N5cr47Of/ij94bVBobV2e0723kGlgASksBQqwxgZrmUNrXNevPo4glK3k87ILIWQMR0CDggoJn3mUZYiMg8L602JLalDSXfXF8TEThJwMWHzx4+fHR2745s1m23Qxk2J6f77XVblhViGKLjmAdrDYNC3JlMnZAtGqakoSRSp+secBKhaKRmLNIbom4OGJ2U2Uz7Tb07arTVnFL/5iDCiP4k8IAeDoxeuA08OM97DLTnKaMHfhAPNSs4ADtEJCKISJsKy9IWISGmPgjv8DwgAEDy59hjs0CCg8PqedXsedsgMMIaaA0KCA81GdnCcpFe95GSA5GQLMLCEAgEDt/hna1gFu7MqWfrCVAD1EyyhB1mHmaGjpAEICTMljqbW07soddXl9O0XeokiZZ5RpaU4tVXXmMSdxBK4dhqCDPnFBHL0twDD8U0YBJEWXQ2NzlMuJxzRkRV6/J3BAzsrszoXOjnqj5ANyAHANPwPp4hhG7n+Vl26qAjMySEFsCI9ByN2vnUhNiVYuDhzs91Yv3qhNCLCUEZiRCj10D48H+R+nWsG8SRqPN2ojNE3PEAkkUAMG2A/a3tEhlRPDQA3MNRozYiMvBcSl0MkUkYmSRnYHOhMuBLmzvudb/Vpw+fJorj1fDhxQVgsCREtPAkScMAodYaEWGKEMw87eec0jLPZ5sVhd/cbG+ut0trQIxI0YFjTYeT9XqzYkI3nefdMKyeXVzcuXOK3q4uL06PzsIBOb93/8O51SIE4E2VhJe6cGYS8TgUXoJIqxPBIClolSXlvFzv9s06asLHIoGQUjEIqzWnxIkDbA6jWZzpydNn+bisT7NEfOL2p0NbnabLZ0+XNqXVep5nHlYogJakpExDWo3l+CiVLFlSSqv1sBqGLJwYUuYwFSEmhMMLGc01KESSTQsgdCfE8xc99hkpYvQMkIN7hHmPVXg4uHvnt/TXJPYCZ/SUk2EYIQeEJI5V1oYCLCJ1qfNUg2CedT8vnHh9ftQDeds25ZSGnA1saXVz7zwnqU3NFAFSkt31zWbIwgyIVttcF+hTRTVCqqYekXLKQ2mhz2G1kYQjGBBLEY5ISYYxb05GkWzgSCRS3IM4BAGDK7RxHEOriNRazWFaIlr90Q8/MJfh6OT01p0n77xviNoqAGUuARU7CV7bst+vh03o02E13CxXQOTQu0vdsYqqBsRhSkREUkpatjsIMDNibLV5M2sNJZv6dHXju+XZu+8d3z279fpHiHG6uVwNm5Ojdbu6OM4rdCDAlMpiSsxVq4GJc85SvbZwgihCguNsRowAToQWngjMnQiFZVFNJc/7PQuBBSJxD7kfJuXASKoW0PeuvbcUwOjd/NWrunCwqRxmE0w/y8scWEEAACQsABHoHt6/c8Cj/7SysOshX3DoavWmLCAgmtlBJ+YHhxcjuiMTwJAlFD0AsTV3BmDgzjKJA9cfnu+xCCEAI0CQeuTcKRBRm2GEdX53p9dBNFUk7JUrM815EAAgIYa42V/ebC922z0LAkRd2un5qRsfHZ/kMphBrS0lSZlzxtqaTbvAcAtmTikZWf/kppSel9LAWZ+nrA6sftWKh9AnWDiaA6EAAoFCKAsgRQAxI1EHagcE8YFzYe7Py3g9pov4vJoNGL1N17907tAHQZJTa633gA4vHThAJXo21z1SxrBA4v73JyZrykkAqDt3VBWQAUyYmzZXhwBi6TNBJnRwCNC++GLxABBGZGiq5iLElAgBKcDrnRfu/dpv/tXXXv/k091S1um9Hz/8uS9/8Uff/s4Mlga5ePrs1vntnk/o/b3+/hMGN21NqQzukXKadnNYTDfTtOwiQlKu1gbMQymLNgxalmW9Wc+7LYsEeEoUjLUtLDQtu9de/WgA7Oc6sj18+OQTH70lUJFW+7YgALlDeM6Dhyh5/yFSj9YqM61WI2Fi5qnqUts0a4RFQG/8pcTCUJeZGNqi13UBwHvnp4HeB6o3NxdaGwQM67HEYKrj8ZG7L3UZEq0342pzmsayuJacUmZOsl6VIQsjIrgQqioCJRYS6D/2YMZmfYMH+DPCe4f69nBV109wjy+7W5h1Qgs+/5ZwdwMDokBw1GjIKeWU6lKRIBfRpjJItdaTfevNuuRB3S8vr91jWRoicCIzW43jvCzLsiCiIyzetDoJSUqtKiY+vXOrttZaJaRlWozYQr1pSUJIoORgJASEAinCCbFnLlkyMeWSwnSzGSVxKZk4uVYiMg/iJBwIjYIwmETMFxT02eZJidY/eefRo2fXwes8Hu+m+hwfFihweAWGDimFmquWnJB8tV7Z9aVHr2Q6BCEQJ2mqTLTd1WE1qrUUvNQKAKrNzOq0WFM3q/PsBtZaSWK66NXVh2/9yce+dMJl2Ej5yOsvVor54SUzz9OcyhDhYM4MhggEbs6I4U4RDLQsDZm9BSE2MzWVJpvjo/1+221c1O2YjM2131QSotvhfBY9tO3gEfSzg+ZhJIwHFRhRABIzdjImsbt1MwwhRQQRH5RZiK3pOIx9JhY/uyW4E+Fhc4DQQXAYyMQQKF1JD71p0PWGfSYhgEGBy7KomZozS7PDxjLCu33XPXqepxdFpQOHzYjJ1JqZm2Gv1gaycHiISE9Bi0hYMIm2Jh7NrO2m68eP75tpOKzzJsl4cnqWco4gt9AGEZHyYGqxLKbqENJp/YIAoOpEhMgRYKYRB38aIof25bgzggiZqUcQSJ9wR/SaVu9oqWOvOXkeJPqmnvtAowYBCzsccH1dScHU5Yb9mQ9EHdKBFn1EF94R3W6ckpmpVQTqfgkL7T1AMyPrKmBEBAc3VXMnc2Bc6iIsXRxGzPvdoSPYR8nA3qoOZWg6I/Z1dKi6cI5AMw8NQNrktbsD4S/92T/zy7/483dffCFWm60tNxi8pZTkwQcfPHzw/huvvvbjn87jMCzzwilR1xr1PYnHUufNatNLgyml2ZaUU8pp++xqXA0Bfnl9U5eWyJkwPMK9pPGlF1946wd/QoQllf1+N6xG78hVdHdDRimj1vrDn/zoI2+cEfV0czIIOUAPg5mt42QJECBlIWJ0Wq2GPKRafTvNOVdVtQhwbGYIFqoMAWbrhMiGQew1uBvbKCUxBAtHZEncv0hEsiYomZlxXG+GoWwogEwYU8rjKjMSOiQR5CiUCcJcsffv3dxNtRFyhDMe+vduEegIz2OggQjkoIdrI3pAEPUzIAIQC2OAmaFwLqVqyyRhkVLu366SxRnzUMLdyTEwD5ICVpvV9mY37+fWqjUbiyBiJoKI2hqgRKiDW41IUoaCCevSAqO2ChFpyKvCtbW2XyBciGUgYg8CMxOhcO6lISIkwpRzKnk1nBCHu/UuKCCqKSIis6sGgruVMppWQDc1MExSZFy99U+/ua2Am+HOSy8D0m43MQkCm4H2m6uFDITuQ8qhXrURcVhvtFAXLpEIs8zzvrkiIzG5R2ttu9uR8Hy9dVUMSMxLMwfrd2h2OD5arTb5w4eP3v76H9x+46MvffJovHfr0599s9S4eXz1/k/fff/Rg8eXS2Ou4BRca+tH3YScGNtch5wMoFv/BJkQRXi33eUhRzPCWOadmqo9P0ESCqcuYaTDkzQIqAfRwbssBYD6YsABGPrz5GdUCPPDofvwruxFsN6ispyTmR4Wz36YN/QAJEJgXw9Q9FwIIFq/xMEBQ4BI6C59dBUBAHVaDhOITMAM5tjttYBweGVh1yP2v9Lh1eBOxBiABonF7TBbF5Fe3ANCM2dmd+8jEnn06MEy7RVqGYacckqFSUQyc4FgBBQhjy74jb5JiP42YxFmRDJ3awYoOaeIiABmYiFA0NYcUYSQIDquiP+0qYYOQH0JQjotgEm1QjNC2u/mDndoTSFDD/cYuDVFISbpzAN3D4ucMoZHz3cfamY95oEBYa12N6Sbqxpi5JJYZFmauiFh4kxEWtXZoKsrI5h7k4aEiZn6VqWPBPslgIjUmoCIsEUVZncXSepGjJwSOAZ4KWXMue3r1/7s1772537lk29+Yru9WLx5arHUF1+8+6Pv/zBnfv+dnz54973l+jpcx9XoIFpbLqm6IZBHBLia9kjxULLFUjBXrSmnYRgQUE1zyabmpr0RTSLVWsmjewxDXqYlpZ54gTHJfp5J5Nbtu/cfPjgu9O77HxD9cq2TCDRtIgkDCbm1FopWgJCEpY9Jl7okKu4uQsRMiVhonuZ5XjSs5O6/AIFEBNaqQ3BQmBMjCwERIJiIugGj5JxYmJMkGUoiIUkEgKYNCVLinCUlSULhQUK5pHBDpGnalZISSFVVtXmZCAWAhNHNKXH/Oelxjn7lCwgRQENw4GAndfPAflfoFULobR1iSZxqs6YNwpgplyHcmjVAISQDJ0YMNDVzXZV1KbnkpEvtw+h5nlBwmuaU08AZAixcm0b4PO9SJFN3MybwADNzDyGiIatW08bIw5CBY1kcI/qzKecCgDmnUopB5CJmJoXzWMJD3QMIidyNELPkabcfS3FncDRE11gW//733vrJ+w8qr+ap3rp9BxFcwdFR0MGEATEKylgSI46lWJ3b3MLDzCiRN+/bUg9X08CY9jOT5FxMmwNMy+Lm1hohCnGnzxMCBAxjubi5/sqXvnS0Hl978eVv/cmPP/jBn7T99uc+/wWv+6GMqzube6ef+ct3f+0b3/mj3/vWNx9fXnjweliZVTerdaGUMycADHdmCndiIMDW2unR6TxPSZJ7RQAmTomn/SQoSXi/3+aU8TlVs8/26VD3PRyuuy++DxCQ+6+0w8GcMALMrE84+j2gM8TgOTSIiS08pVS9mrsApCSmhzTCz5JFAIqAyAm6M/L59iLCCIShr5uDKNzDAJalcklqneYvHWPyp9l66NRrQj4Eg/p/ZyY37xzQQ8apd8jcNTxJarUlScIZN7IGPBpKLsPgFq2ppJQkH3bVGMJi5ixIKMRYF0U82OWJMRA5iVu0ZocGRq8gmEcECyKDNevntGm/jfB+iHbwA+Gud/INIAzCEQ4/kYBITGZKBOER3C8BoN48+voR4aACOHBAEcndAoGAEAgZemtxrjMdhoFoZofxShiCqKs4s3SOEKeUtClQaq1xIgyOADUFAkIUkWYVMZCcDaUvcyIQ0M2CFJEjEA/aShDnIfjf+rf/p5/89CeH0zVyywWtoboWiWdPnlw8eHR+8tLltKSg/dVu32a12JyeqLlZQ3AkZ05Lsz6rZqTWGgEKIKfU4/NdswdArba0IQTYmTOSOZ6c3jIDABbCzOKAYAClsPjNro3rzdFq4/XqR2+/s198lTKiFcpgUZdahhIglDhQE8mYyr5OFLEqxRwtDNgHzsyQeWhjmua0VHX3Za5EKIlbq5xBgBnZFAEDE7BQGcZxvWp6AHJ13aCwNJuBgjnCHFCFaTUMxJAyMYVDpIQQDRwCInFyAwdQcBZhyxBhpuHILE1bKrnfF90B0AMDAF2pj0kBKBwQKREHolE/9nlvqXuz4BYBiZODc2CYIcaYBkdopFnyMi3MiIHCRVtFCAsnEUSkiJwl3I6PVvM8TdMMxEKEIoAufQvFaEToqKrYy6IkgRjRgCEXDme1VkJ6V6dL61JOx8dHQtL7K8REWcxDNaq7MB8YWxbabCwDUeii6I4OWm1/0/7gX33PaNhVvffRF/K6XFxclGHY7ZcyskZYMyYgIYogd0HUuoR1qvlhciZCGJ3oDuEY0Nv31tNV25udCCOAIJADAxFhGcr28iYR3Ll35/atO3dOTq4unz06e3q52/nl02/87u985VOfv/Pxj9ft7rUXX1z2V5/72Ot37tz9r37n//vuhw/HstrWikHAEoxLW/p9DY1M1ZsFwjqva2si3OpCPQOM0HG8DcyBhjJChLr19A13I1hfIAP4c28HIyMKIFRtIgkCA4OIk8hBH98ZbOHdJoaIzNwvkcjUt4MYna4Wz+0DQCg9XAoAiVN/niBRAKoZMfcnWxwcuU4EWqsI9YtI/30OuWYPZkFwgDA3gQRd4vKz7Cl02uZh5/CztFsw9JZpT0aUksNB1qsB7ABJmXY7QEo5IcQ07V21b70QwdypEROnnNtS+/MXIohZm4FHZ2kFExGG0XNYlAEjAmubCNwda52QPMLcjQPUDALUgDpAFQ78DeikosOU/zC4RwAAcmtMLCgdqOqHX4Pq6u7MiIQEGBG5pKoNni9qgRG63a3fUbjP38jUzFySuHHKqVnrn+wyjq02BIg4BEoAQq0Rg6kxCnbGU78yopNwODg4hoQBQUjw3bPTX/q5n/vspz/F68wF9/PERON6ff/hk7xeffuP/gjRKfR4PaYXX3z8+DE4aKvr9bibdhZOB8eCAoSZWXhTzZI5+juUwL0zI7wGAdeluRl0bKrQ9fW2CAFwq5ZEEBEjtCkAExULuHP33js/+uF6xU+uri+3+3yckHtzzfKYKMgQAzGxYLibZZZwBUAnSFkQyN0QHSmQYFjlPCRzG9eDmokUiIHYw50JhWTIBRktAkUCQErva2JnKAJJ4rTUWYQkM8FKGEtOBnYozwA6RDfugfWvKAUCM4BGF1+YqTkkOOyGzIxIOqkswAmYCMAQkbQ1B2+uEACOYdbqEhEigoD9Yj6WHKB9gSSIapaSqFsQQXhOchAfQUS4JBHAflJOLK3OwCCMSOO4WtXWIhyRl7q0poc5sjlCiDACqmoQYhIZNkhRl8VcIXxcFRFhRpFUUgaElBMGVWt9LpdLbqaqWoZSFwsHCvDQCBUScqvzUgR2N3umvN1tHzy6GO6+iF5Pb52rWa0VgxjJ1ag7twEIUJdlON6cHR/X2tbjeH1zzcgtXJgQQJh6JkJVRVibR0BbqjbqB6k2LciMGqCeKemiq3HMmXW7n+ZqR36yOf7YKy89ePL0arcdSvrmN/4V7Hd//pd/ab65unvrzqPHj0fWf+PXfu0P/vi73/re94uU6ra475vnCEE68FHAmCjllJjAnDIjodYmiZt3qS8Qk5uGBTMxkXeWQ6fNH2gNh61q3112JE3JJfqIxSOwpwV6zgSJDg96wsMjipmbNnbh58fwQ/qACNyRDsiGTrX/w2cAAQAASURBVCUAhH6uDQ8i6YVjCMfejGUBQApKqahaOKckk1ofX8Jzuh/2rei/nmT/1xJK3D0EnYF4yOyieSB12XuYGTO7uuxvnoKTeeQsTLTUNk/AIoTkYR5hQR3mg0YQIE289xyCCTFA4DAsVg93BWYGAEL2MPBo5gqAaEur1zf7q+tn0O0rz+31EdDTZIAUgOBsgcTcu9rYpcCHW09nJFCP1EZoz9e6m4N7HORqgBgBFoYo7j00BQIJ8bmeEQkC3X1YFY8w8B4O6VN79246U6IxvGk0REkpB6BGRQBh6WtoEQGAcGCSnpyTnODAIEkbHLnFFz/52eNhYwBlHDV7bYYBqhV49ezJdtru1nl490dvv3h+G0pholtnZxf7m3E1JCE0cDVEXKaFSmpan5OLQpiqKgCQyFDGaaklDWo6TRORiKC6aZiUsl4P5+fnbZmWeeZhaGbuPo4rD2tTXQ9HjAzh01Lffuf9O5//JHOqdQGGULO6pNURICKhq7k1C2cRB8CAnDKhhYWRT9OE6BgeHgTEidZHI5G0phEGCIkJA/r9EIkDKbBf18K7rSGhg6FHKYUIwx0FicW9M6AO3wsHFGLPdQGbOva7uZuTATizwCHkJ2aQSRywWmOGJDkOt8Se9/WD+6mH5EPde6G/iQggufs4lHmqJNTPBIzc5X+ZxMyRIpgC1M3cPHE/KllJTABcilkDgpQREXIPIyCVkltrrbWUUoT3TrxqjyQFMqgpE43DEHBghPX7feIEAGpq7t4MGVPKIQAsZm4OQeiAHj4UqTvIzFqXxLIuq/3NYwB05x98/x0P4TQs8/7s7Hwcyjvv3S/piII8HBCYiDESIpqK+dFQ3nv69PT49MObS3NX1zCnxIzMQL2QCA7CAu4UMO8naxpICTkh9x24qVX1kkvK49kLx7tlH37uVV84Pb1+8lgJzo9PjzD98Aff+fNf/fmhpP3N5SZnBt4v8Ge/+NXLx1dPd5MvE2FTdYeoVjmYAiIMI8CpH7o7e1ckhbfo6UQ4JA+7o9Gf1wN7HyjckaW3jcLjeWM0mjXBQs+pUf1/IyIB9aQlM3eSY1e+97ZpHDQpdOj6gh+yJz1K1x9A/WGG6O4B/cjc9UQQ7ihdVhngEKos4nAIp+EBIAp9EE3MDsCMwAh6aK6gHwjR3hOicBh2Ye+1RhCimgGESHJXQJR3fvIDotRjp/1B7gFJkof1vzTx84M4oiRxiz4RQ0Ktyin1tUP/APtopZOC1DTMA9yaEketS2uzak1EiJREosOYgNSbASADQ0CdgTZI4G4B3tnb3k0IeLgGmfvhvsNd84JuBoevUxy+5r2t4d5RTEjwHHHae3odGuTmkZJIZq3a1xqtOjJhHMAa7v38DyQYNQDJ1IGQuB8DCLB3ujNStxonDGIjnuwXv/RzH3/tNRtwOFnPVtlTrUoCxHR6evTdP/7u6bB5/PChT8uQxyq7shpnbauS67QXJl0WABQRMwHEaZqWWiWlWhchYcQkyZqxcIY0TZOQMMm0n1frYuA5c9urDDmP4zztN+vR1boICRFCfbfbvfjSnWE9hu9TKj/88U+++ubnp2mXS5nqNRm6eagi8qElgwgO/fKsVfvWFRGJsZQs7oDYOyLqPdfrCCBJApHpEMRr1kyVc4JAs3aY2h3mnhRhgpQkpTwQAjGCAxPlnLryExHseQGgfytih3pGoPWBJZgDATATAnYYV4Dj4TTYp79uZgiHoh0hBboQJWZCyFmg/6CiWxj0tAMSE/cUch8C/P+Z+rNn2bLzPhD7prX2zswz3KEGVBWmIkAQINmUKIqU1Wp1aGBbjrb/D785/Jf0m18c7ad+aTscYbftdtgR7mipJTtaIkW1RIogAZBAFWq6VXXvueeczNx7r/UNfvhWXggBRABVhXPzZO5c6/t+I6fRJwJBNL0F+MaWGRDBQtmbkhVQCCQiZp6faaqPPPLRBSSsIltXD2NmESJE4pqZJx6u2t3dVANDioCgSCEuLrD2pmZcakDUSs1b2iAYsUwzqy7rVqQce28GP/7JLwL54fUiUp49f2tZN5ECEYjEQKa9CE2lELq3NrMUhN62Zx+8/4svX5SpLq2DBweihVRuW2tNe+v73R49qpS7r1/tpbZzAwugKMzuFhpSuZQipVItL7+++/Cd92eAcH3+9Ep7O7+++93f/Vv/33/+T//4T/74f/GP//EXn3763jvfoNfHqZDe2z/8e3//v/8f/7jdfd1cDRSFdd3cFMyI0EwRXU2oCwtLYe8OyM0jc8gQgYlVLY1XftHkIGJ3TTMty0AgHMIhMRZIsQ3zgOmZJZfjixLdh7rYzWBksYFHUIyaqUwWGkUEYZdIAjNDGBLQRJ8MEDwyfzTAgSPCKaKr+YxqhsJIjO5IHATuLqWoNiTOyywI3I2YAYAp3TyBmF3EoKoYSEymnsc4Z7hCgHictQFI1iVnJRaorqrd3FOwbKaJ1Ugt27rVWs2MmN0NmdzDHZg5k4+zRzntUUwc7uAwTdxbT7pc5JIIPYb6PB4gehI0TgHWOwKEw6+CLvJwx4Fxpccxct5G7l2xYPod1A0dmSgi89RSpITJtwzkl4JF3CPzNAgI3vTC5B1rKccWwOxZhDAApyJzkLZ2LsThhoRhgSilFlMIR6lESqXb3/8bv/+/+sf/5Md//e+ff/ddrlyIe48qlUSRkMC3x/unh6t/+5OfVLl69uTqxYtPdvuDHY9q3ZZlJr7vjbkERqCrKhH3tu3nWV0FEZnVuhC55YyAFtZ6Oy6n69sr38I8lmU9PvI0pRkyPPywO6jHuiwA0AEh4ur2+vWrcyH5yV/+dVdnAJbCKpAsuyoyggUGRqCIpN1cSmFmmrD3nu48RAIkN7MwHwWqyIg5s0dE04YBlm1Smjbt7KAOFrroGrgUqpMIlio1Qp1UWCgQMUsPLDzCkZENjICICE2JKIwQXE0tiFO1mnJFAAKJAAMLB8gUwojwUIt8PXQZrDLVK9uXCCTTA806FQqMjIjJ9isIBIjC4ui5Lpv25Ldy9bau4F5EkrbJPxUAmLmAAIBaN+uOTIgRyMRSSmoZiFLKEPl/zIQ1JCxFAIi5NG2Zjwt0kYMzmnZhJuDwHqaEtN/v1ldncA2L3vzru9d3x7Xh3lZ9+sG7u93h68fzbrdvR3NTrtntYeEgTO52vd+d7h/maT6djkg89C2pAQovu/3SFw9r1q+Ftm2rKNgNNtVlZXLtpk2FuRY2gLrfY5XV23F5OJ0e33n37eX1uhd5+/a6u4HHd775/V+++PThcXn65K27u1f7/cGW/vTpodb5R7/24dd/9GLHXA+H4/mulIqqpYo2lTIRkqCUUkwtEBIbJuGsgfQwNcsQcKIROJHMv8cI6/Vwjzy7AHLpYrHwCEsofxidAzGNY+GQaARg/hBiZqBUyqUjGC5REqnEhwhkgMh2QmQRcwOgpB8Q30A7AMJpbcsWB8i7YrDNnqRLAlKIYcMmi2OyTZMSBhKFIyEbdECPUYSKuQGZuxQCJBJ2Lj1g82geTXVr7dz7QmxSHEndN4CO0EtxRN3tGLFDbESriCG0iA2pu29clLAjNoCNqBG28DViI+5dF0RjDkTblhNCqGpGI6WQFgE9sKMAFXeGICHBgByzU6PLzKnKz6XmTaswpPIjWQcPYUYCz2S6pEEQCTlxuUFpmA+Mjsk0MkMo5TNMkpdAuAFBnWuYWVf3AKBuZgFExYMC2BySzc4IivCALfYYf//3f+8f/v5/fKD6+Pj6nXffQgIHU1NEAPdJyie/+Gg3y3p8iG07378Ob6WIQ5TChfh8/3iYpsFwh5l55QLu7mqqvbfWNwAPNyIUYREpRSKglqLdCGWaJxIOBAd/+uwZAJ6XMwnnQrsuS51EhNT6vDt0dYV48fVXX3/9WmSn3TK/JOHGNwGHFqbWuQiLZNiImiIRXNznAF6nOs/zbpqnUhAg+zUB0B3C0miDRQoBYQATcUrtPIqUeZrmadrvdiXlNSMmPBXTHg5ggfmFNc+FnTmpNjdTC89IpaxYCkBiSuFz7hnhPoCCAGFGxKRwESjSYesWGWNpPjKBA4DQAYGwXdJ0E3RKLoIHShBChIFII6YrCWFJkiAivfhjFHQ3M3OLSyRZmoE2W82VATGD/zwEaZKyq7sq026aaympv+t9m3YTEZurdg0DRoYgD9DWGbEwBziA923ZtnMhPD6ezsv2848/WzZrjgH87rvvkxRz37aev5er8ahPhKTIb2+u7+/urveHl199FRBZsAgAGBDqmQCaIUvE3Ftry8JAtvZKjEHMHBRYKADKVFmkd52mCYlevXxpGr3p7fUNaDvU+fXL13/77/ydj37++fHcttW2Zsu63hyubudJqP3oBx++/fQpA4ApI9VShLhtnZi6djW3UQtCzCyFE9tjYnwDGGcYjF+YVB2X2dB2WkQEYKTt1NzN81lK0xsws6n2fAYodZsXdI4ZkQY9MADw4RXOmyDCIS5EJo7z7GJSyRwHGPA9BGRSARULNiAPLIOmHic8jTobzzMtn79catKtmihICrgJQVgKFybOcAhBBkBV1a6mRr117UoA1tS2xohzLYKR8TOhXftmvUGY9U3XBd0ofJ5KqPV1oTBB53CwbuuC3ggUQ8M3sIbewDYKFfRwTaidUz8KAOiEQEKj5wscAqADQHBhBE+Dfm5t4zfLezyya93z/szsh+xFCExpbphZ1sIkb29mIgWQBtvA49jK8J8UeAFGrWKmKSNCZEDM8xSAMhqqTDUcPSpi9WCWKiJCHA7hsSu7yenv/Y3f/Yd/8Ac3+/3dq1ebtsPtlbkloQcRW1/nuf70L37y7rvvfv3ll2rt7u7rj//6r3pbT8dHsNjVOdymUgExY0phGAZjW1ZEmGpNm91UCgKFpeQAzJxEHo/HripS3WCed71rqZWII6CtW9s2U81Taz/NfWvPnzyPQHVYm/7sZ78wYCqCQG6QpkX1nrwWMRFLTk1m3cPCAyGISFhS+OFuAO6gY+cVMlf3HpCrccq9zDSfxsZMRXiepqkUAiiZgQcYEN0bcEx1IhbEAYCCI2P+L80RDhHch90RkGJo3sZGkoN0nrHpuR10H+e+MpxiiCRF5mkmJBYmonzfs82jlJrWMAsLBEtRJgWgO1gKh7IzlVGYhIgsAsLB42JJNgQgIrmwa6rNzDxCXQEDA1Ie29vmZtZN1Uw1PAKMMAIcY+zE6f+QUkgQESkEARlFoHg4CoRaYamFyd3atpxOrmHmf/7vf0q1IrFCvPWND4gEHPu2FWFGsqamlk1/HLErpRZe1+XqsD+fT3lyUR6IwSyCws0UEKpURgpzIW7rltAqBmVaTDNdeieRUoqqLUsTmn720cdf3z9QPWyGNzfPt8260VSv337nvZ//8uNuUerOCYKjiB52sCv6T/7B39tVFgIBdDUAE6HeNTC3vBgx9wHg2LeOANu2wahREkS28EtkAkaeAsiQfFQEIQ7TGCCm4d+H/SrPXCaWIWOHBPddLcuw4JLslpYuRkqNRj56mXuQcVIIlDSyDwn/JSQ4AgyGtYAz3icCE/aziIsRLEWPMW5hJo4Ee3I+zueeKH9Qa5u6AV5SESEoFfngIsPNTTkVRgSiE+VuYR6e3YSlCHjKt9FH+7Amospj7Q9GkoGTAhEIkwiHWYRJISmUJkZm1N7DTYgRMNyta0QEAlXppoTIbtA7BTY1BTeI1jV1PjAI9/wWFVNPV17O3W6e/Zym1nsnJmZmFsRUWEkmxZR0kuZ9e8lZZqJaZuaCQK6DL0Ji5gqGHjFNM/JkIKsSlsN0eApcgMQDe1cSVnNwuNrPuzJ9/8Pv/f7f+P0JDxb66uHVWx+8I1MJQUUvVTwchIPk1avXc51Op1PvW6nw+Hh3fnjs27os67o2COpbJyQLx4JI2LYNAyytLB5qXU09fFs3U8/EWu3aWkPGdVvDIRym3azdp1qnedrtd+o2z/M8T8y0LmcquG7t2VtvZRYhlemvf/GJO53Pm2QotkOOxm3ZPNxAhxTNjYvspt1U66hVCoswwCBGQCgiQlyrMDEFMhAEihTmLFMu837aH+arw77WUgrnwcZMORshITMiIzINp0iO9QNqB4R8YkNDzS0gcJS4BaZkGMDD3G3IjAlzV0QAJPIItwRwgwjUbGvdA1Kdpj1zP8A1v66ASH1TuIA/CI4ExJhEB15y5DmfOWEiZE49viJhmCNl44t1bd17gJtblmTnc5hBuh5GQgEuzLUIERGyq7uDcEnbeZnKNO0CIRBP29bMiC6tlgSM5B4IsZuFkXTbwg0jTseTGbx+PEuZPRCY337nnWXdmMjNCPl0XpgY3dljQmL3wzybGTJjgKuJiJqCOwKY9v1u7k3b1retMcu2bb13EjkvZ7c4no/ZYBERTft8vZ92O7eY6tRbt0Ccyld3d454fXtrrU/T1Nr29tvPrm9vP/3003ffe9fDr6Z9hC/raa5SQW8O9a3bp4c6gwMECgsBMgmTEJaR8wJgl/rYbVuZCYnDI5PhM+0nM4OTsMwEKeIMg0uuB3KPJKKUXDAxRCTKCQDbtiX5lGoXc7/EimHvPW8LJiYYuwOmdw8Q440CBbQrIiMSExPxBYoyInYPyECiQFXnmkI7JLoU30bk6TawkEBmDnNIYS7iSNwcrQ/YWlfTrt3dEbD3np6Y7H0kHeGT+fVxCINM8EmiNCJHnQgCHAXzXaNpMsTFg9yDgNAIsTBPvYNpEAhhcYWwvKCZgPbTjI7uGUYaWdnqFmYWWebuDsKYWc3kgRG/IlJSP0Kq5uAoiAwAIcIAgIwkJFTAwayfTyeEcFV3M+sRhhjmvfcmQoWEoZSysx5IwlJP67a1HuHLemaGRAN6a4jAZTqvqi5Gt29/63c+/I0/+Nb3fnM6HBK1ExZCnurMImAohv/p/+zvTbJHKjDxJu0Hv/2bm6tzAEkz0zAj/sUvPrk5XDHieTut23JeH1/df4nkIkhMdZoLzefTNk07NR+LjwdEdO2tNUQQpnVrqp2I6lQz3bpOlYRYeOuNWRCRiKUUh7i+ue7aI8LDtLXC3LWt67lU6b3fPn1iEEH8Vx/9Qi2QxAE83dKthekkhZBqmYigigiREHfbgsxckQIIpaRdiwtzKTJPdZpqYa6FmaBKqSy1yFzrXKcqQkNZ4e4d0bkQMUhhgGBGFmEUJ2hhagZAzEzZ+hkQcenkC1XbfOT5OLOYWfo8Ui9FA9BAIob0kHswcq5EpVQCSbKiW5dShYWJ3Xy4KCNF+AARGAiI6jqUfmN2Q7qUCwIQU4lAwiJYJPEhDJaki80s82d0dHcApt507LQRuS9IqcBsAYHoAMyFkJhLrRMRgnCHeFiXzZRKGfK5iPSFmiqCIxoxMsPj44MEbMtS53r3eP/qYVkUymF+8vxJLfhwd9dW3c374/k4zaUSFnduW2m9qn3nG+893h9vn721qrYURpfKRAzuvRGA0IitRaa1d0d6WM9OgIz73a4WRogiKAizlFooVOcyYZA6cC0/+fnP5v2OKYScwc7r8fbJ7dPnT87r8fh4R7h5P5HrXOf9vD9Msi/xn//P/4Eu/fb6GVExs4BgIgJ01943INjaUouYdnTYz/tJagZh5eVAI9hloPZdm0Fii4CEKYJyzYi3y4xIeZ7i0PSHz9MMeTUAuHuCTQnOMJGHm1sGzJl7AKg5AAaC+ojhTHgIRi5QuDkL5ysMdwAHt4JkiWp5jvYweOXhAA4YLC/kz0gvlEcqN7O0GFMIU6QQpqFVWPiyySEGMmLGhw5Yi0gSq/ShkxldkSMaWyjJPRJsraW5LauFTJ0EzUcMhKeqw52IIcYvQEQ2yuLLVCYihgRK3cK8lspMQBVoAkS0HHwxhbUp6lHtro6D2Sc1R0QzAwDwsH7BfABrnRDHJZkhQcTpkSPwARlnsoebW16BACwMCEHg5rVMFhhcAyrQhLL/9R/93rc//OH51D755acE4WbEUGvBoOym3O8Ov/W9H77/9O3YDALO59PDcqr7XQ/rFsgcEELkq331xdfXN7frtqzL4mGB/vjwuhYiyNRRmXd7U3j7+duEpKqQCACEtq5dWcQMmKlO01QqGJQidSoQ0JtZxOPpCAFuYebzXCHg5uZqnqfDYX99c5h3U9dWq5zPJ8RAwtvb27Z2QPziq1dfff1S1da1lVJ70/xwtXcCpCAm3tqSCygzC9Fht5/LtJunaarEhATIAOBAQQRIwExZJYQYAW7eW1u1bzj2SDoc9vv9XojmqUxFaq1MHBZAqQ4gJBrlHQ5CzEBMyIJE8MaAc9kKXEgQUViICTwScCcgHCwZJMHj7oktUvpxAkRqiuUAhrTarLu7dTdVgCCgN4IQiGAkwFDrmSkx3OYXDq4UllIu3N+oAGSWy0SJSJR6cERKNTdkwghBEFpqEoiKiDsEYAJJh6ubWidmKTIBc6YPewAwATi4F8GIhuDiqFtDdwpwdXP65JOvudS6m7etPXv2RJjmaXZVt5jq7BFqfS61AOxL2Y7HJzeH87Ludld3D/elVnUNV9duqtlWcTyeMSAN8Ouy7ubdel56126ZQuEQsKwrEd7cXiMQMmXyDhGp+sPj/VdfvwiIaa6FEc3btjISMb1+/fL6sCegtrQIDIvDbu+tT0TPnj5LD4xZZA1W5iFnKE6qCaSUXAo1DJEyED5BBDPr2pEwABCImRJjQUQRcTOAwIAM+QFCv1RFRQQzIfLFkhSqhiNCGpkZR0obqlpGhxKlRhE88uVwuqMSAiKgDG8ACFONcGIKBCglgxaQJQjdbDAKkYlq+WJGTUg+bKkBSZAkpw4W8mx5JMyfnCh6hmrkec8XbGaINGK4ikPNEMdQk0LptOamdw4AwkKYL2+oJzedxAiQR/ZS5thOxMIBoOqBqGYOYB6AWRuDWdCYvNxAZ5zcIGtlaARcDCEmjhQqR8jPO99YAEAWUXNi5iJEEvltSE0rYEqgAEHNPLl48lLFwSLMXMNB1QGilmIN1q2RCJed0rRF/cZ3fvTbv/sfl+nwk7/88+PrF74eMVQ4KI8kLoRllkOF+rd+57fFHdT71j5/8QXvmXa0WTM3CGfECHv32VsvPvv0+ZOnLz79EixNg5GPvrCUWtRADeu0Y6r73Z5yVwREhLat1huNZlGAQKTotva2gbsUzq32fDohQK3Sti0c2rqt562W+vY7z9dlqaWAe7hOc1nPCxoc5r0UaWYG8PEvP1WLsABAYQYg0wxxUfPu5oUrhCMAI5qahQZ5Ij8JNO2v9rv9br+fD1eHw9X+cJgP+908l3lX57lMtez2ddpVyYyHKoSYgKS7Ry7UgKUUIaH8qDzG2OzRtBs4AjFSt2bREQLcICIiLIHEnC0yHhYDwClbUwMuCgJkEkLWnsaxYTlhFiIZXn83xOh9De9uHUeYoZmrhXXdPBQBC3NgIIWIYK79zEDogUIy1bmW3W46EDO4YzgTpwYak44KwlS3YuoSLx91htIiOiLXUubSQaUWKgSCaUDN3y/ClRwEEEMYCsGOuXjsuVC3irWvFkrm8vkXryygdRXid569s5t2GNG75h0pJG1tYY5Arj5VOcy7dVlvrp+s25rUSepfrSsC1jK11tSNRbT3NJ8uy5mZyYETjYkxMEqpxLK72jdVLiUi2qbLuf30p3+FwLncCeJ2Xt555xvrtqm2Ee0buJzXJI52U60k33zvvSKzKXqQA6r51hQCmQoGZR5iVwWiptq0+YVANB2Ifk7rQ9acUNrFCEbISJSKx5QM5SXt7nl5m+m2rTDwfYfkDgEQR+15ZqCmfpxyzgAMN4DhDQ4IILDIWZoBg3mQWEREqU0O9G40BoWkdnOWyGN+EMkB4Wb5t5g5a4pNLS8LKSX/YYOshWEzjbB80kwtPMxt6D5zBDG1JKbyrGWRQaJebM15yic5rmalFHO7eM1gKOQAkUBEMqgH4ldvOjGH+xuxqZsRIRMxs7YOZhUCTFNS0s0T7s3N/VKUixnNZmYshXIBS3tcUh+WnGnEyCin9OYlTyCFLSzvzHCLMJEyzZN53+1ncwcGLHD75KkFtg519/TXfvg3d9dvvby7/9lP/wJiJW8MBqYQLoXMrW2KQbXU63n35PDk8eXjtqwA2L299+33QNADAUFVA6IUmabyk7/48w+++cHpeAJ0QO9tBfSuLaN9p3kKZAcMj+vD1bYsqX6C8DqVZVs8VysEjbZuq2pPA7VlNK5bU030rE7Vw0oVKaymIvLVl18dT483T65UdVvO81zW8/mtp2+FgQM5wkeffgKOval1dYdwAiyMApZB64SAwsnxgGQjG6CQMLKammo+RRfY0VTTC+giWEspRWotU5H9bp6mMk91mkoS8jkuENLQ+DuSIQ7CFfP0ZZau2qx315yDUj5HGOiAPgw7SMBZEp0OhPBIshYCIKkrSts2M1cpALz17heGoHc169o3t9baKsylFEKigHT/5TrrYekmQ0YLRc65Po8TGvkkEeYmXGqd5nnHJON3zeiWce5jCmlEKP/DUlkqECdKHICIvGnvpmaxbc0dsoIaGQNCTcON0Nm0RFyVGdXW4ylce9PusHT78uW9IgBT3U2/8Zu/8fjwYOquToAZflylOkTdTep2uLpqfVuW5fr6cNzOXIu6uVu63lmkq0KEtT7XOcwLFzdry5aGWymJxXmZpqdvP0cREDLwtC47xLpth6vDZ59/enf3uk67rgYQ01R2u93Dw+N+vyuC52Ux96vDwd2YCMOudvOTm+vdPF/d3Nb5CmkCHNndbhbhqpqoiEhBBCIOB2FOvjDPocEC5jnGJIWRSYSZOJM74TIQu78RiianH0iInDr/EBZASIXoEENTajoZCZNbLcIIkBCIgyMjC0dAkTq0Cqm0ICKmUTkw1J8JagYx2eWUB4S8ZPI6cbMIcHcW6drCQ7teItT68EFFCEtiIRBAmNdAJDaFiDRSdEbwAoxx3JNrjjFE40V+H7kTDUBzHPeIbgAOBFS4sDA4MJUkwSMAgTB7l5CYhRC3bUtSAiKSc5ZJuFZABIbsZAlAs4z3z1RMeIOGIyABFRICDHNzV83jAFQNCWqtIpJ+hwz+ueR3IwZ5eCllyAQRMmLa3XtXEhLg7dwiGLl+68MfPHnr3Yf748uvXyCcGc6n+1fb6cgAgiwkGMQsh6vd1X5+5/lb6+PJzJ699RYSrNaePH+OgmqKAUIcEeZNKj4e7+ZdJQYmMlXVTdt6Ph2LiG4a4aUUKZMZEGZlpgG4aRfivm5de4Rq9wxhBQdMbeXlXOtrf3w4CXEthYms+/XN9W63++yXn4UjOrkaE+mm0V1Q9vO+zJNHBPNff/SxdZinXRhot5xHUh2YOXOA7maYAbqB4WHuW9u69dTDMXOthYiFmIlEMuoHibFOZdqVocyi5NnCAwjy72PKQyGfNjNAoMSeiAhQpIRD4TqAmviVqi7UU1iX5FXK4+jit0nbYEZ2A2Y0EAKBsORPYiaPQOAidcT8gocbuqMbuJptWzt3a+hEQFk84jCUH284w5RLIJODZ1JMEAYEMzMVRGYWYiISGss1IgsgsRAJBSASEnMgoDAyB4GhKXhgBEMAugISUxY/IEFQSpYxlFzFfQZicz0vtjRXs/Ducf94fjivPcAoym5+651n27aelzNRhl6gaYcMAJdqbtdXh/vH+zpP5/P6eG7hiBBJaBNiepjVlFkgb1Lw1jZAyHFkXRqRPDw+lKnMuz2JTLt5fEcjulkg3t8/btsWjM/fegsQpfDXL78qVCio923ty9XhSogDPFOP5zoXgd1UiLjUg+MUWLHMyOKaIkMAgCKFiVgYkREowPMApQFgDGQcAtR0bZuqQaodtZtf6p5S+TO2hMAscokg5MI1pf/w5rwEishGCU/mN4UJ4Q54Kd9NnjXyXcyRdSgI3A2yEDjCI5gZCEWyvhjCIeUtCRIOjVzeUEgGnhfV+D4SCEuRWmsZdDcN8HDYbPBiqAIAQAsgHtEQOsRMCd0gZgHCWA8gX0S+bvDwbDNQ7TmKptKIiJDTHR5EVKXmrsBElFUJQIRDrIpMXNjCLcdGt03VaoVakIBFkoWBYX8IREw0ySFTsQYeBQBhzkScQqTBZ+CFW/d8fxEx018DAgBLrWEhUs/LufdOiAFW54JIANGtX93c/t7v/537V6ef/Phn2/Zg7RHa0Zaj6rkWILRapTUjygogAYX3v/He/rB7/vazbW3Luu2urxSjuTJL3qaIwELr+TwyAsOX0ymsFyE3PZ8fi3Cds5NS6jzVKtNU61TW05Isomp3cHNDYBEmHFVWRJQGRSJUUxZeW+NSzH23P+yudtl1kzI4C9vtdimKXZuWabc1v715giyK8OLVy+OyhfNI+wIa1jlAt3SZwzC4ELwJRWEWZsk4ZQDwXA4y4K2ISEltjLu6pmA7Oxnz00pxBCGgxWjhIWYuhREYQAgjupr23h1iaauhIzMmIu8eyfYn9noRzI15IQCCMGiEAxIzcwCoB+BQy/XeAYK5IJMjpPOHCRkjvLdt2bYzI1YWAlrbqq6RLsVAJk5lf3LaA6VN0oIzeoSl1AwFdnAgKHXiwlJlmiYR4UIkhMSAiJJQH0MwYAY+QSJWFkFMQbBqI2aPyD0LAgicIdInJ+4TUA1sj+fKrNrUbTN9eDivm6k7i7z//vutWwBo6yIZbgHgbr3rssXWQ7dvvfft7dyfPH9293jPLGYuUugSpVlKIebzuk7TrndbzgtLOR0XAAyMrn05L137NO/2V1e9a6mllAIBwmU7bWAe7vO862r39w9AzHN1Qpby5Mn1VGp+aq0t7qa9r+eTamcCb30/1ydPrkVqYHWoddqxVBImIu1aSwEMNVNVy1vLAQBSJRgAPGTGA0tJQ2yeVzmP5gEIg1wd3j3MuPr8uwgpOchlopTi4SLpHHaEPDnDbIwjiGiuaj3V6YABgarZdxWXV4VwKXrBoS7D/CqYKudJTpS2Xh9dWZDl6cSIhKVUJO69a4YWBahqDmfJ5Ka0yW2AkKlPwgDqTRFQuBQu41QN9MziDMjf7WLYigsJPQiUCCDKI54Bw9xdPUllj2ApmZiAiRBfSJJE0PJCSw96SjIsrLlCKQZBhZCAhAEyi2IEaAxtFpKpdlXtSkRSOCBRXCCSBOa0d7hAZYBo7nmpAIBZePjWe2sqXAFx69tU67YuEGBgyKQWv/zk0/tXrw47qeJg5+IN+1YrdG/mGh77/XVzdwwzI4zr3eF6d4CI66ur+8eHetjfPn+y9c1BpzJp04AoVU6Pp1rLsi7n5bwtCzNIQSDd2sKF1ZUYSaTuJhK+Ohxub27NLMJyX2y9HR+OqUNJQ5FDAHhKMLPzoYicHo8IVKS6OUvZH65UDUDWrZ3Oy/76enfYm/v5vAAxAO/nm3ACkeOyff7lVxmuhEABpLn6W0Q282QW7sjMgsSsgdAhLJl4JBKOMeSAIRhG1tgTvWnTTLMRjgq3FNYgBli3xkgspNDN1UO7axAy0zRPIqVOc6m7rolfj+KXMIMUco6DGXDI5vOeGVXfOSLAxXeTUTaJHSJB/qJpAs4zwE0BvLetL6sQhvsklSmbghgAPcAcko2EQTchEdapsggJA+d3mn1gEIjAQIjAwhWJ0sAVgEQiVJgLUSESRCIuVAoyBwYQBZG69+jqFiPvBcsQngaFkat4sLqvW6iFWd9yYvCXr+6DeJpnD/zWd77dW1+XtZaiWzdVa72wFJGJxfsWve+nycNL2d09PJQ6jchMGz4pd123rfeOiNoTNIbee/TAuNiRIKZ5urm+3R/2ABSKIryuKzG2rW3LtvU+7/aPp+PSW4d+uL06L8vjwz1RyggJMIKsFJn3cy6IN1eH/TR77+GhURTqs+fvi8z5DIOkfhASFsupn3CUueb2AeMjSLhRxoNBmJsrIFrGgaUKJhyHKCA/VUrBTR7HFybmklkZBAR2MfllXn4ApFEuJx2LSKddQAwn67hqQLslEui5pAYEsVlqDQaCjQjptkngxLwTUpglO6VuwoKYqcZIxJlIwSy5hNRSCzMThY/4NEKkrH5NdoIoo00p7Q4eGensAMOQADD2iogw1YxIjfDMXaHhZ04zo5v6SCmIKKW2raX/guVXTTqpw5VaAKFU8W6wLGnUcM+TOsw8rRBJ66WWDsZCkWWSAAGafJ5psiWDvAaapl0ufZFgfGApJc+EcB+qbaKtbyKiXetU5t10Oj++evkVwNaW+xef/CJ8S3Q9jTxAuLYWCIfDtW9WUOapfOdbH6zLigh3r+64yHSYl75yZY9Y1kUKM1Nr7eWrl/N+MnciyhjIMIOwZTszo0dI4VKZGXvv82737rvv1GmKACY0N4BQ64hg3VLqU4oAQO5AIpLH6/l0EiKAKEV66ynu5MK3T26J+eXXL4V5t5+3vrStAdLN9XXftHuA8Keff8k0902ja4rpPRFQD9fw1PU6afett6YtAphEqFDiPoOypxiKSGFKaTznOFtKHWccM9CIEknMhkhKrSAIhAmTMEu6hQNjWVd1W7etZdZ8KZhJCG9YPABkBgBITHL8uZRfwoSzAIilRh4MXGupkmXTGBFGlCg8hQN4TEUYggG0t8fHe8JY183MKf2ayOFp88kf7Th8yOOXSUBVXROQEhFizrHSw3s0TwNGnbiWVClKKSKlTJNaSKmlVOLCVLgUEol0t2VfLWJqnTgAPRi8YBTEEnB+/ei99bapNhRGKcvWuEjmIn33u7+2bQqAx8czAM6lMpCrCdJhmq23q8NuPR97azdPnj6sJzWz8IxjJMIE9h4fHvMMBY/dtDs9PLpZWPfeIfXmat/89reur64YRZseT0dCudrtc/Wz1l11Xdf8HM2DS1Hw+8fXzGCe9ny5ubpmYgjQ1tqyrOtJGHVtwtKDoMzf+fB7XCZmTj0+GDBiERFOw11kjmFEpBcvIo0lOCD4TJLJmj+/dInHkMAMzwAz4si4TAIyVTkIkHcFEbkbC2cZUaRyZRTFg0fGFmPG2pu5R1Cqti6P7UVZ5jgMZRQYmjJkjL61FK2l6zjj0wHBzHw0cVKaUXLG9YjWelLceVYPBWvmdQ+fMOTforZt6AHgvTcdzsPIZYGIkNj0olnGsTdkPlq3Fpd0tss96Y6GDEU4HHl8lzgCzK1OEyJk56epm5qa5hToYdatN2V0YBw1zYOuw4DRCewRGCA0vLuMQjQmRw9PPREgZORfWh4sNCAQCCFhWVPtWQiYRH+ggwc4MbOQIMLWe+sbc9P1dV9f3b/8dCow1wIYxKQWTHNomep1kbqtK4Yvx9fb/XFfJ4jY7/fTVMM1IpzMIdR6qazWVfv1zbV529rmObImSc3oYdu2trbsdjXCtasgPXlyo9pLqc+ePsPE/tx7V1Vb1iXVymqWKe1mHdxMW7j1bVmW0/H8SIwBttvPgLG19uT5s8RXztvJvJcSCErogjAD70v1gNb8r37+y/OmiOG6oW2V0+ikod2thzoFmwYCZ1SEWaxtbdYxCT7LkOwoLACgAQ6EnMvNULkk7fQG6/AsZWLJKmb13rWDY5VqpplLCAhlKohw2B+mOquaundzR7IA90RbEQwZJTyIBTHbMHKjpcLFARGFuXgKtId3BtwsLU6Ib76PDhRb792t9Q3Rd/NciIXRE1mPEUkCiOn5x5HvpgpNvQUoUuZVUICxlBzKEv5n5sIylYklj/LiAYgCQNqdkW5vn0gp7tGt51nSzTftAGgR6j0n8bTrT0gSLhgFw1sXZkYGj91+t6wLEH/96vW2KRM/e/L09ub2/uV9kX3frAq3rYFHhHVda2XT7bCbz8tRKtddfTifDCB7DodLzlxElnXpqk4RydZ4CCIBmJqqMtPbb7112B+0GwsT05MnT2oVYjZV62pNQUOQHu8fTcM0ClOdJR9zLrWrW/fz6Xx//zrcmFGEr6+v3//me28/e4IIMO+mm2dvf/Deed0gCCmst3BzNVfNI773Zh4slPmyHp64pbubJXEYemnNHQIBokS6KBUPARGeH9xQB+e1MC56THwlIhyzJLLkDZGDNhN7BBKlN4axCAtxxubGRXMMgMCSLtwgInBn4XVbpQgTlSp5/bhab6ral9PJ1KoUQOhbzwPedJgKATCNvlJGugmNgd4BwNxUNbGm/FUgEyuYiZjMrffuEQNTp2EsHlvMuIXSXjsCwMLd3CAt/mbuWbyVBTSQTgThQki5o3fV/MUHpZBmayEHUAIgYIaM1AbHcVAMvACz7jXLMSK56jdtmRAAwXwJy07yGSk9F4Qk+SAQhXluDylQ0m7DFpHh3MAIyBBERrEVcTAdorug/CgBxR21t0KYRui3n78jRNM8P9yfTo8P63p6cnuDhK03LhIARTjFS2pW54pMqe/OzEkmPh4fH0+Ph6vdNJW5lrZtZipSzH1/uIJA09QkeGvdstKC2FITKpiaFMTstnMkbFvj9D0xSZE6VXdf13VZl0S9iVl7b21T628/fy4sEQDCX728Ux3JC9uyhGd0AxATQ4rrIXBk3oa7ZM+OergTpHuDcihmkgH2A07TnB+lh2UST3pNkg9AHBtrGvQt1EK7KVEJ4FzBuyogdu3LsqhaKICBa0RQAjCJ/xNlmDN4gHCBQIsEscADAlx7Q8R8LrpG3kcQKV6Mi0yQhgQZwk1b29ZlOZ2O2nvbWgzsKWURkVpqCHcwyOrMcAwXSutA2vEdCYQZZaRNMHGi+elnEyluzlxKndTjeDoCYKlz5YlIEDgG74iAI7xsKtXDyQPVZuZDrYV5W1bTrtbXvmZ2WFNd1rWU4hbf+c53ltPy5MmTMEBgNygkGMBIKYGPiHfeef748PrqcN36qmoyVUstOAQxllpUtbee4abaNTSsa9+6dgv3UmRr2/XtzbpuV9cHYgYkdSNEMDs9PC6nI4YXojDT1sJDWIqUUDueHw/Xe8ByuLpNhPZwuKqlMnNr7f7hvm0bmG3nBURunr9zPK8WCgimxixSShGBC9uZUDMimTsgIL7p7E1BCEREmt6ZOeX5CGCmqj1G51e6oALSJa5KFwg0/3paxnIJcHMEyE6eCHAPM/+VID3DBPODZ/IR0jDAw6ScA4JIADIMEDTcuhFgQITZsA8g1VqFGRKPYowIs6yWTFUEQCLyOhoRPPMqEhXNJjUI6x7h+Qfl7QemKsxznQgxzw53R0K3EcSTHqqIGHXqMb5TlL8WyUCEh/KJiLiUkqRhJNx7SdXIbSv1GYlmsIghA4oicKHBVMObOSttPW42dJzmSsgAmFlLYAPhTbvRuN2Qkq++LPW5hFwubU1hYDIzhCQIhAGVuRCDqfdV0ITiMO9C0RRYppwB1LS3Hm5Sy25fv//r31NNGgmkEHO6P9zB3DXrJEqRCG/rFgmqDIETMIm7IcEnv/zlcjwTxzzz7c0VIq1b31Y97K6uDtetDVZjW9e2bZlYBIBq3by5bYhRhBCQuUTg8XgGIJYiletcp92OmN091DDImkNgkbotayp0ixQiAeK7x+PjujY1bdabaTdCTlO4qpn72pe8nBCgFAawMEvkx7IzSgFCHFndMYAwcjHLmakwFxaAjmCEPr6qidLmUWzEMCFyOCIwIAWCO2SZTwSEgVzqusfS4YYAkNBrqYTi4ZxAP+S1gEPGBwRAIoKS37fccTH/maErZTYzzkVdDQMxwqyBqxBMLODm3nP6K1LCgy/PaL5iwGxlSn8/CZYI8+hVCJOzRXU0iCgkiFkygXWeiCPYqeA0zcwUeXGN7x9m93WKr2BEpHtok7CKgaqh2vuGGFtrtdbWuyMGxKvX94HYe//hj37Q1tXDHu+PlSfvtp7PbsbIoMEcptuT25tVVwd9PD0EUVNFIn1DBAK23pZ13U3z4KLCW2+9t3AFdwZ+9uzps2dPAUDVEIiFl2V5vH807QQATW3d0NS7VS7aNkIoLO+/9w0kuLq+CqKlbwC4ts1ME91AJlWdqlwf5sN+evLO27/1e7/z8Phobsjc1QkZHd1D1dZtK7UQEjEBxGCewokIAt/8InTBgswsJZEXFQmpdtUeDhnkl7KrFFnmJ4t4yX3FNOWOYVizvHHAZTiE6oCquvUNiQJA3VkqMKd+PUljJMx+eDAIi5Q5vFH+B4CpjuGdaFh/zU1H9MVQq+bIPK4MzWsspaiZwTNQdxx9PjRNNQEfN5PCCYskpuZjsx2vIOkBQjRT68qEXftQ40EuTYkAjw039dfurqr50qWMGTyRxIxpHFRharNcwXpQgIRGBP4HFrNxY484jzQ7p8I3IwBTgEUwcoBw9A1nWgOZWvoAmEi4IGAKYzwCCdyCmXs366nrczfDCCLU3iK7QGv1AFVPsSIhEgmVwsJt7btpx+nWn6eHh3spVHe1m7OUEYgWFuFI+Pwbz198+eV+3guXUCdAU0PCUvHx9LJOgggU7qEeUXfTzZOb3dX+rXfeJRJ3B/BSaW3Luq3LsgJD1x4ApRZCoMB52vXewePV3Z2aQ5B2u7o69NaYZaoTIpdSSq3hiZZ4ETk/Hp8+ebqdmxuc2/bq4QG9pIYRzM+nlZAFCwGbdxEJgKYbuJt2BChSiAnC3wj284v0xvFOxBDg/sbPgvnxiYgwFWbhbOkJBGRmJnLLhgCICOuWX+wYXsp84H0qBdxt65EHPWEQeDiOSm5wy9TwbHDg8aRFODiz5KtKWDY8MgPFzZnI1Fy9t65qYY4QBKjazdS8A7qHp8hC1ZEwff/Jfl3kI0NAIswajQgZ2UKZUV2z3cVdAUi4IkImULbeu/Z5mkUk/eoXuSACBl0ULJULYtpEaWaqFpNB1ejrGhAa3s0soqmXOr18/doG60jvvfcNQLj76tV6XqZpQqRaKiEQUSnibghGCHWap93uk8+/IBESIWJVa70T8lRr4gQpVey9b+uqa+OERQBbb9/+3nfyGWu9W1h3RSYpwoDnh/u+nCqRIKF7W5ftfAYLQhCRUsuybYfbq4fjUaZSa1XTra3ulvGeDw/3Yf39D96xiCfPnpMwE0dEnUpaQEbkgYgDpKmpbT3tC6k9Zh5i9EuARwL6CBBMKVoTYY6UFAwPQNb5ZpSI+6iNyp82ctBy0k3XakYY+KUVI2NEWJhJmJmLZDhVKtqHNjb5aYehooOA8K6KkmEHQzRHlPuAq/a8maQUotxd4aLWce2anC4CQHgasFprEc7C2tXDRTjcqbd+IeiykTdaa33rORVijqjZWTa8AYGZd4TMIMLFwXvv7m5hBoOn1a4AKEXyfR8+hsRqMjA+b+NRQeMpyY9QEAV0V8XsAoOcdyBjNBBApBJwyuWyfmRsPYEp78nAUbi8s8MRTYSIbulpyDMi8aCk6SwPXIMIxK4GAKWWAKjTbBZdIxHqjDoIMIOuoSxMVC3wGx+8p93dABG5CALP8w6Z3IabAygAY9s2rrjpsrvai/A0VRHxrLCntqwvj48vKTzQay37q11CPXWeD1fX+8MhMSQIa21DRiCQUhgyAh7SvmRu07STMptBBMyHCQXn3Xw4HBApG+R7Mwg8XO2R0tx40t4LMyBqgCF9dXfvwSKVkL17pdK23lY19eGEehM9CJRymszgToTCwy6KYk71cRZ1eTizaJiFJXyCFCiQb34+9TYeYmDK9OMElAAwmJEC1/Ma4eu6WrfTw3FbmnZN+IuFgzDDS0qpF6ATMvA5+zEQCZlqmWqpaVlPyd2wQyKmF4xZ1nVjKQSkqq31bWuYhQeZHxOQ41XaOJmIIJvLIEc/HDnhERgjZhHMwiyMGD08dW3pUQCADCslEiFaz8dtXfraMIAgp9f8qmSidRaOIiMWCNpadZ0dxC1UibC1buZqHk6t+dcvXhtgEH7wwQdMdH//2s3SDkrEW9ua9bUt8zSZxfXNVbeNmA5X1+e1a2DTTsyEjEDdNQC3tYkUANDeo2sml0JEby21aSL1tCwyFRZGomnaFS4R9vhwr61p2xjCeiMMwFBThJin8uWLz603Zrp5cn1ez0RUd2Xa1Tqk4Tzt6tXt4epqTxTf+fDbrferm0OtNeGUCM8htUjNl2euZr2WwshEmDkQZgOJTfPQyBRiSqeLqWWcXJEy1IcXpxQCuqU8CXKmyNHXPbZt69YhoBTJSDkaTV+YT4qHhwMxk/DleRtnF4xs+0SNIIumhHhkkV5gHXwjb2fJ0Ddzx8E2A14gdUIaSjQgV0/lUm8tIHL+NtXRW5nrQKZnuBkRFZEB5RS5CCiT40nZq2ePpQiZ9XTb+AhTGpWNI3JrCBUy/zjeqJ22reV75+NfvyLiESCIGguUkg4eBiJHwQstBwMSyqOcpACThw65UUQeOpndkW8uZTYLDONSpFY8a609ICdNYSLG0XpGDiBlxKNa5iwycRVzb5sGgJqGRcZah5MrmAFBqWW39RZgp8fTcl6I2TEAsfXN3JGQhS1062ephQhOp8fd1X7tbetd9rL1za0h6d3rF4yh1gO9h06Hurval1puntz++g++r9oIo21LeNNtyQKQQExSoJRKMp6/rtu6rafTCQCLVAu7ur46Ph5LLWWaiHhd2jTN8zxtbU0jS3gcdjsCtMCvXr0KoHXrYLGelnDDAASqXL179771dXx8AIBgbiOdYlRlDb8ACdGIrAEAyIs5mV4iGl0HboiQN3f6VLJuO8mxZJUyb6d3dfermwMTVpHeW+/d3BLMjWx5ZIpwIhQSIhIeFhEASDaOhDPGyky7dgiopYzLLG1rLEKMiBbeWlfzUgsim0XvPSCDYjwiCLD1jSjVZeQRFo7I/4FjHwESDeLU7hGkY+MiHcwaWEueO1LB7N6zoogzT3hcT+5JPMOAYhCiEEBrB8Rp0zitetyst6213nuZ5rY501zKTh029U3thz/6USlyenw9z4WI13VDDCmCiEDUrKv3m9ubrW1S67L11jsSB3C2pSaqGhCPx2OaO8Cgt04IjBC9h9v5eN7td/Nu9+TZE6klgJalt55pKLGdl74uV7t5WU5tO5+Xs7q2vs77qUqhwM8///zq+vrq6kAQyG7RI3xb13XdemtJDF3v5y+/+OLbH37nnffe+eqrr7LETXvPNNytNUTorSGESClcM91PVYVH6lpO6EXKSHeOyCnbzdU0YxIG3pEZQgEIlGdjnrORBKe7NnUzZhk5qZZaxAxBAM9C3SScCJOzdAtVY5Lhww13G/xtbjlw8TMiUT4hbmamARGXASQs/BIBBAFpRCDKiJ1gTgVgSQhEijBznrl55IZHKnEyhieGkiQMB14/XAyMMpAHxNzXHcLdUvbT2mphAAA0NFJyScyAiHVdWmsjeN09h7iL+52IOIsxhuraIsBtRJ56SSSEcjgaOsGIsDDVDNaHFPikE0dEUoCeIdVD94TDOgCUBcqDaUl3QlLcEJg5sdk9C5l2MCDdSHfJSGcFZ+HLF5uJpml3bSDTPD9/56153qdAwFW3ZWVCj+japRQgdAxzI+ZSylyn3luzdXeY62HuaFQZGFmo1unzzz8vEx+uJmLY1q2v/fXLu7TsV6kQIYSE0dY1VMN8XdaMszYDzbQOLqrmClOdjsdH7bqbp6lON7fXADHt9vNuJ1za1k1DSgEc5YjCEt1NDYhefPkyUIiKq+6KnI8nJhGS83pGBEEWpKz3igBGImRTHXJOJiJOBRylrTAthX6h5YFSGDEskQn7ECAGEWHkNQDMFH4hhhEJca6VCPvWluO5rZu2bqrelYmyHAMQ0xulbjhw/Ryssrog87nC1XprvXXrmk8IpiQvRktwAIIjOK5Lb1sPA+1h6qq+rS0RmXBXNWJydY/QVLeoDWFCEASCYYr9cnymlKkD5lfxomEDRwv0/DNTwK4930w3UEs2ET27P9I2BuAMwaaTxROs9ex80vZwFqLWt0VXp5BpOvX2cFwejguwBOI33n3P1aZpitC+rcx8cUdmh/EOEYggAK5vbwDx4bSVeqBS1Lz3bmbzbk/EagaIzbT1Roh97etp286bdpNavv+DX388nh4eHxkLEpLQVCeRIiTr6azbZlvflTIk44Dmvt8drg7XTKRdd/tD29Z1PdeJ27YUEeuGhFLqsiX5hD/60a9fPzk83L/++ssvwTVS4AgxTXPikzkrAoCq9t4DgonHGaLmHmqmZr/yPF3mTMq0vhxSzQECCdwdMS7qzzdWqISbJO/jPPo4n0NIaDzDOjC1/8SsqlvbgoILm1OC8IN1gFFck0OugecSmfkiY9keQxFAOniZxvk2IiICspM1BZOArbchurlkKl9oVI90yYVTaz08MizCzNdtzWmbL9ZaN0uULV0Sw1gR4GHzvGNiz1AhpgBPjiLRrlqmUksacKQWvyBU2U3zhk7JtyYlLqEduqJD737JOo2U/+C4izOeSTJxO1F/GLkol6z/CwnyqxvvsjpcxjJCItO0P7upIWBvmtovRpYRxT5CyrKYm0QAqM77aX+Nsu/GLFMpEyDu5p25zruddi1T3e92rlakADgzlkKmPbE56y5cpdTXD/fXNzdSq9Si7oGwbh0AP//ixbIu5rZu6/XV3rrPu52U0ltfzuv11aGta9tWcOvrWoh2pVgzIp6myS0MzMCneUpP3+l48vA6VYR45523D1d7NZNab25u5mnX1g4BdRa1DRBIhBCsdQR8/XDfDZazggO6X827dTkv60YJsasjQiJ5SEmxy9Z7XurpWUvWyt0wsJbSe2fi1rulOVttTAIArTe1ngumuyIN1S9kBjtlcicQYsp4z+fzel76thEEuK/r1jcFyCi3jCoiCDDrBJdCIQ8mCnBTTbwYIBBBmMOibX24NzW7WwIv1VDr1tRcDdraiTFFkC3lLuEeluBUt957MwuEDO9MBTiGX+D7AAxCZAjIShAAQKAMgHzTmpnj4CWHV1U16QpI5aJ6BGDmjUZAGEffhdKyXhFhV7AA8LWth+urRXtzZ6kWsZy31Ox+99vfPr5+vL69fjwewz2sR/S2bQCg2iJst9+B69V+Z+qvHh6CuKn2bhiQ+mkAPJ3WbrY77L17uCXXR263V1fo8Pyd5wFY6+5wdZDC07zr5mYW6n1d7796SebQ+yi1cl2WBRysOwI9PJzPy1Lr9Pru9W7e1UmmqTIhCzPyfr8HR2EuSHdfv/ril5998OE3dlczepTkdhHP69kxtrYBQK0yDF7EQpyrJF4Ws9S5EGEtJQ/hRPmTbtQsfx4nOSVYnYbaNLUIM14C6ouUcNfe3ZyyCj7Z07zMh17MM7x9dGFZQPbBD0YUScgz5DwCLrWWYREAvXXM9rp0MOS/h9SJ8lBN1i0yNCh/F8JMXXPzLCp4Q3hABKVzgoiIM/zdEEmkJFiR+3TeEondE6GbJeet5qOkCTAJgSJsahlQmW7MoABKftcBghPqypdP2FojIAweyBEEcgadOACgg6uBW+p/IkYQBXMBR3cws1GD5UODVNIs5BdRbmQOVCAMRytnqjtAb1u4We+EIJXceq6AtXKoolvvG3hs6xoYqs2tEwUj6aZqTrI/nXH/5N33v/dDmvZcC3h/+mQ3VWjbyiLLsrr5PO9qlQgIMDeVkiICBAae6MmzJ69ef319tbu+OkRABuZMdReBiPrnf/6n8zShuW1tP89usDyuYGjdCWDei0dfl1O4uqu2XrgkPTNycxyQWUox1d57a826aussNM0ViUTK8XEpUpm5cGUUC532s0OYqxSWwo8Px/OqKNNcp76tpn2qhRi4yLKc3XpvfVzIxN1MXWFk7qOqAhgzQjiRA4Z2vQT9SHjkza1uGZAnTBARkHJzi9AIlWTpMyYfwdwBQkSYCNxTyWFNo5vEoP2Fi2swszYdSrgI7QoOMr54GBFgDu5ulmn9REgMhJEOtoywFhFEEa5X+yuhKlJSRVaEl2Uxt3CDiLkWUw2wKjKVSVLNlXx0KigQATDJmAgMBxqueITsHQrEwDSG5RkU4eMbkVEwEYBkAYQFAwXzKDII4/AaUUKrG7sXQHBXNQAwNyZum7nTumozs27W2ztvP9nvqpRdM++2kZjqMlUkiOvDoTIyeJ1YCu4P+/uHR65T2e1z4AOPWSZAeFyO69qmeceEjNSXbVtOuq2vvvzi819+9OTmZp5352UxdW26bT1jPh/vX8e6rvcPuixhetGKFKISLvvDTSnziy++hKD33nnPtCHBy1evpZbdbr9tC4SFx9XhGozARWS6Ohz++P/3r3/6lz9jrkgsRRCo1BpETghIqqbNvGs3dQgRSQcAXmxNEWFuXXUIDse7jVJG34pcxOa5LmTuoF5C1rKUyVQ9LL/CRNh7J4IqLDSumYx140svo6mBR0ZW5NjhYRkMAxhhgRDAwcymnXBcBXmRAEBkB2Qq3TM9N58tRKDcWgOYqIjjcFIl7PUmKiYvtKG9yZ9WS8HMVc8NIm20eeNZGkDzRvOkM2BcCpgxPjFWeASE5PaG+iJr1S4ifiIU4fTfJgUvIpTci3qaLwIZUMBZkCsnCxw5D2aaW8p9UhWUzH78iioZwt8IQBxioDE/EgIBMUPAPO9IhEUGuwMhWVELiIS11jQXDOCWJVO/+9Z3hx3LDDjfvvXu937420/feldV27aej0dKQLk1qUwEUiQQW9+kUHbdoCBgUlLetvbBN7/56tXLw9Xh6vbWIc7nk65bKUIcV4fp809/fj7euffz/eN22vqic91Nu/r+t76xv756PB6BwqO/frhfl3PaOFLZRgxc2d2tW/q5AfD0eKzT5AGlyNPnT7r283kpUg9XV3OZnj99ylK2RZvqoiuLt772rmb2cFqm+XA6nU21rZs3hQhTvd5fUQBnToLaed2ySBmR1M3BmchcW2+UEVrutZbMgAIA88zCJ/CE2yiHBMzAtxGoAh7W+zY0x2nkNu9t661t5xUBdOtgBh673Y5ETKOtLXO4CFGImCgDFekiTmXgNKlb76iu25bDr1uAj/ZHVWVm61ZrzY7oUufzuYXTcmrb0nfz7B7LurbWtFuGjoS5mQf4Be5C7R7+xkifllG3vB7SfRaYlL6bp23YzbOgOOc0Sv+zmalaABABUGTIhVkJE+u1B55Nt+7qyYFpVyZ2Uzed6rStzRS2pXfr3/r2B4Xo/vU9s9zfH4Gg9c10i1APN21C0ZbTYT+3tqnq6/sHrvW8rma6LCcAL7W4h6lP8z6lzW3dwm2/L8fH++W8fOs733n6/K2lrXU3baueTtt2XigCur7/9vPPPvqFrcvMjBHbtoZb731T7QHz1fXV1SHCd/v5u9/99uPxiIBXt9cKflxPQBQYbWuFeAI5zLvT6/sXH3989+LzAwtB9LUlIk/MgVhLTW8kEzCiiKg5jF4TgDeTecSb/zmAXWF3z+M+9wQ1VVe/ZEbn6ZaoBFFW8tLlL0ZqgjM4OqEWSBUm4QiyzCB3D4hQ00Sk3G3IDhGRAYnAHQJYSiC6u2SP4VC1+OgbcEjwaqhMAy4p6OAWQNh12GzHHSCcmdiD7eIR20WYHXKXFPVSSq6hycIl+QsXOBUA0ncQvxJtIwmlA8zGE4xvnMrjRgHvI3ldU/1+eRuTG07COH29CEbhwEAcQQGEdFmm3NTMNNABAyjSGAbD4ndxKkDuE7kPWEQISSLQFh3gUgJHRMyqBkjruiAhOJhFAIczsZj51rWrCU0Y0i0sitOB90+fvPvNu9fHTz/+pJ2PBaFIrbJfFnNAImitZRKIQ6grEADlmxAkBBRI8f3vf++rr164R53m1nohAQyZKKL3fj4f7376F/+uMlTmiijA29KZ69Z0KlNb27qcTufH1pa7V6/W82K9qyoyd9OIYBJAIuF8qx+PJ0C6ur46HY+lTtM8TfOETFUmU9/tdoWnddMWpq5mzaNtfVWLTz770kOYZJKJHNbjauduWx9hU27JDAO4ha19zdA/Qbb0kAd46AjY9ahS4ZLUSMQZD8VICFBK1hAlaBKQLQcQHhaglwxHTJtmRExTbcvm2kMtRypGRiApVahyiBTZdLGwjLJL8MVdNbR39chSQ4eAecraAQoYEWDhoE3NPQDn3a7UikSH62uP2B0O52VtWzMzNzez1lphSXCZKC3Q6Op5lJuGe6h5wCXSPXDrTV3VNCkquFjzXS3MkgXw0TE7iD68gGZuRgHgVoF2TvtG5Ryls9RqDJuquhEyIoZ6X7dt2RAISJatSeGnz54+3t/f3z88vH5svZkD19JAoSBP5OilMBFeX90wcSCurUspJOwDtotu/e71/fm8gpBB9NZ0287r8eHuYdv66+Pxw9/8gRx2BoggvRMATVMlgL6eX33x2eNXL6Bv0ZurtnUNDE8AgymCTO3+/tXt9dXTm1trDRGAEYRbt+6uHgHRN60sh3k3l/Li57/4+b//swpeEBDRukWkSa27BzKmGAmQsoA6s31yPB3SxItONyEgADBzzkjnkUwFIlKlMolHqBszBzgguEdAkHAgMLMDJHLYtZuP7vjMv0PM0IWUJptp93APFyYIT+USC6UDcaDVyDG06P6GTxgvHmI8q6M2IrWRWdVMhPxmUs9B+c2NdRFnQ3YFjuTETA82taTZLrgSAGTr1sBsME0AmbqAWKTkrZN+tkwAR0RwQAIkdMt4UuTCmJlCuUPmZzA0G5d7c/QqO3mIOSQSB+gBQCO0OmHc/LTytYWPshq4gGi/8gPjSJ3LTQ2G1TnnKugZLYDRWsvdpZaSoXq1CKc+F5BBJpl2+z0W0tDD7S3I/Owb773/3e8/ffvth/v788Prq71MMwfA4eqJA0ot2hUipEgAsBQzkyLD1OweEcTctvbtb3/3l59+FBTvvv/BfNi3TVvbjsd7qYik847/zb/9l8vpnsjV1hTnLucTEX7/Bz+4ur41javrA5LfPbx8fDjmT9fWdvMU4bXKPE1ZlKtmp/Mpws21Vrm5PYT5fr8Tlm3ZCguTPH36LByQ0LwjW4CpaTN78dWr42kLx7CoWCYU3wwMHh8fwQEcQh3SXugAwRCZvWEI6ben/JL0rl219eburW9IOS704U5M+BKG0zpioHYxzIbu+X0mNLfeWt82602EdGttaxFxOFwzy3y1K6WejqdtO5vZ9eE29XdpfPcwvMTNhYeZF2EGNPcR7RkADkMhBpFGm7S8e05tJFyL1KrmZoFEETgQgCwk3LYMp0vwZnj6AwiotRS/gbldvrHIY/VJ2zCmdIoCR/XDKArOpBoSAKbYycQRBWIXeAPTvsm+cXXaei9PruQwbZEwvaVBr0XroJvreWuPx+Pzt54DUq3Vwtat9d7aui3Hc7i5d8KAUAjb7XdmcV7WrWniw5b9VpwJYC4ihLid1vV4FAxf+xeffLFs+sO/+Tdu3nn7Ydv4MG/owLTbHURY19Pzq91f/7s/Pb76ulC4NlettVjvDODaS63TNDVtf/Wzn333m99cT0cAe/bsKRFXmYCgTHWednPdcYAgkMJ6/9Ae7v14rBBCkMdn5pkSESLtpolHCj3ROItTrAU0GpuHRTaNuYkdi7CUDEwjuGhxECHp2AzyThjiV4ckUe9KiMwcEMxMyJxF654kZvgInLcLoZVeYkjCJ5UQKQNLxByGdjT1NahmOCKJEGGEMIYnmRpuqTH1ruojb1EQUxrEw4ZlliOvjVIjS64YiCjndMAhsElczCP7SPwCNoVaCjw4yZDw8UpHtEveTJcFxG2sEDHyQ1O4jLmmDU4sFx4YdCESugeGAQN5RDcKQA+6iEBTGkKIjMRE+fNG1DOQuSFSeIiU9JZliQQR9t6sa7hjjJwPMEwjmIggYOHKTCIps/XMbyEi6yNZstSpW5xXn3Y3y6l9/cWL9fgadIVQXRtAoCAx11p7U1PHIAxkJghKnClfd0SodjX98HvfJcTe2uHqME0zZLKn9of7+67NQruv/+qP/wcRd1QRncooiAql3/+9v/ve82+2x76dNvf+5defbe2sfakC27KE5a4atRbGQiDHh9O6btM016kebvdPnz8BRBG5eXLz7K3nZr4/7JG4td57Q3AaVsp4dX/aDImncFhPK2gU5ElmJgmAwiWLHDCCkSK89W2qu0KFRmhdZvEHpaUrC9mZHCwTLS70WKq8YgyZEDn1DOY+gi7gnptfXOwenpmAMc91fzhM+1nNl3UTLtkSDkEelnmZiJim/PwqZvsqIrTeVHtEKijULzrLnBiaNs0OZ0AFkKmSlN1+bxFq1s1ISM0QyVxFylSn7Dm+0IyUrhrTTEUMACRm9FFO6SNJIjCAMCECT2oBHTggPOMZQF1BTQxRdQKqW8ji+43nhfkYxQtDab0fTyciUdMIMLDuuvRFyRdtWLh1XdbNgt5+990yl21d57nuDtOTJ08YqYJIAAZo11JrV3+4P2tgU314fOzhW9O0BGu3aKBLn1Bs1fawnV8ft6bf/+0f/ebf/r3mAVJdBOdSb3evTndq2/V+fvX5L+8+/yVqJ+8EYda1d3dbl6UIZ8ho73r38vV/9Fu//clHv7ja7QThyc3N48MRCNd1s25uwUSgPglfTRXWDdtGoMyBHA4GgNlWptoyXyFhjJSRcNYqXWp03+DYb+D1hFnyDExJQiDkA2nh4Z5iQoD/0Gno2rpZ5qwP0hQB3B0uATlZVjTCAQOZWJiF2Lq5KUJaCAMLOcS4D5gvypVxUKb80yMAkYVxaOs8B26EwWh37XgJfsjJhoikCJfhwkFIWD7BLghPCX5AepnH+oARMc76uLQPmqn7xYsSkTIeHPnamOmvl40BUjgVEGrq4czjyn1TMzn+yRHIN9ArYHIuwJyGzjSCFeJMYcIs2KRRXAMZkB8RESQZFwEBCZq5qrbUapgVEaklnZZ5P48/MY13Aa3rtq7amlprbY3w3reuRkSqLZMS1mZvvffBe99+7/1vPXv54rPl9UuKvq4rMPZt69sWZtu65rwgIvNu5sopCA6ALD8AQsu13vSHv/nDj37518/eun33/Q+C0QktjIWC/OF0V2f65Wd/+a//p39xfTsFtvvjV4G2rX1dt8L1d3/vb//DP/zP3nn3/VpnC339cNetEWMttbCEe+8tLDIcvNb6cP+YUrG5yjzX/DL0tT3c3fdte3x4gIj7+zuz7Xx6bG1V605x3Lb70xLB1sG6oaN361sXmtrWT6dzXpoE2HtP6DNJToChsyRCT3ncBcBhJsIgiNF7iDRCQDOwE0ZMCCIR49hKc+XLp5ap9y7Ey+Mx3A7zfH1zpd7TH956Q8J53vfWEC5xIJjFlojEESC1IIs5AMG8311S14diKYUPEZ5frQDDJKvdgLmb1cN88+xpBJiFq0/TbK7ztEugvvUMvXbVpA9CpOx2M9GY5fO8H9M95rBPCChIwiIoDCRIgjK6dFiYqYoUJoYg1+I+B04b2F3Ds9tD069P3GI7bSRVSmGUsCDirbUyT4ttLay7o/Dj4+nu7mE5t1dffrWcH9W29XwWBldjxH2dweKDb74fGCTltK1Pnj7zAOF6uLpRJAM8LWsRmYRvd/vi0U7L3cu787J8+8MPf/23fuuLr+4et/764aGvnQLBTNDJFLb1X/2Lfwa9cVhC3rVymIfDVKuptm25vb3+9NPPvvPd7z57+nbh8vj4oKZ3d3emvZTCIt00PAqSt36YagEs5s9uroXRrId7el+YuYoQgmt6R8LdCdHMR5QlQE4SEJn5Qe4p6MqNLTLQDGAYUHK1GLyv+9D5pByTBmvFTFmqU0pRVR3+odFJnjntCXcjohTO6YeFIZMqIhe+0WmIRBCOiLlmh0NK+NNH+yZ7Ltzdx6iaLWNmlibNpDaZOd0MzJIiiMxwq7UmcVuqAAS5h8doT4QASiQ+Wxsz9wvBMmgFo/eemtMUWKfCmTIaPobofvz/iZjpTeIppUIfiCg9mSOOI9zzz0UHgBGj56BSxsKOSX3lb49g7r23fAuabshDxGzuKMSSa0MKCsc2xFLy1eRFlVCaDivDKAVhKiTiqMhR6uVXQEDzItKaU5lvnz9fztsv/vzH2/3Xh4ocfSql1DJNhRCKyOnhxIhmHRmxsJkD4pCYZL4pALHkuP27v/M3/+Tf/NHTt569+977wMhFZC7ddNNNJlJdzNq//fG//Ku//tNpZqpWpjgcdgEYUbYlCPa//Zt/6+3n70uZ7x9fr23dWvNQ885CRSpT3U0HpuKO5v7k+ZNAJ8K3v/GWuUmtd3eP81ymSaSU5byZamotmMNcu+mqdn9aLeO/gApQITmdzuFAweDuauFu2jOGIyy6tWVUxkNW2WUlZEJzieShA2IgRFoyADmCE+6hSCsimnd3C9fIb55FPspb28J8W1utkiEjU62IoKrb1nbzrpQaSKUUABMiDkQA7V2Yc/iqZQLAUkqtMyExSVjStOhmb/hYMzU1ACThAMySg26IVBBYpKpaay09B+flpKYXqHckx6dbc+uruWWzaQQOQeDF2gkjmAWZOKsrkbIZG8IDLq17bk6AFXFionCJoB7i1M8dFe1krz++k0bPbp6ezkt4THXeWucyGUIzD6TWo5RpXdtuf/14fPz6xZeP969ffvXlz3/6V19+8qUuHboXkt761s2RcC73j4shmwMQdY9m1tXQCcOK0FT4fHr86usX94+v/+bv/+4PfvNHrlbneWl2uLmepgLadTlT68/n3f/j//R/rBYTM7iH6WW6HakbvfXb25vD1f7P//TH//gf/6G7r9t62F9NpUxlNod1WSBCu/XehLBiIYNvvvNuhbBlAXwTqoy1FkA30zdFb0iDZg0YpGbOzonIZXM9DgBjgPUIkNLMtN6lNY9QhCX9X3gBRcYsDOEBatbd1IK4AFMPV/eL0GYsGVlamRqTCFe1HACSoc2JGEfcQTKIfoFbIkckHLM+4/ALRIx6Lo+MrruEpKTJFy/5aV1VVVNtPPytmPJLoHIplaEL4A9IOdMj4ojKI1I3M0v6O2mU8HAbINcAjtxNTeSCVWUMKVMmQ+RfSTpUhC8iJCIkEQkEYQE1WDcE1K55b7SmMAC+SIcpC6t1j2Auyaz31vNGVLWwyBTTeZ5GPoRqWLhl+XUk+RYWpcgoLCQWEncoXBCobxrgFtb6FqB1npZz/+a3vvnd73/n+nZ3//qLuTpjn6eiTdu6JQSMyIebQ50n5rJtjUWWtWW9OAFGWl4BNNTDl3X57ve+e1we7x++/v5vfLe7dTVzC4K6qyjRvTkqgv7zf/7ffvzLP58rno+v1/XB3XvXad4TTw743V/73tOnb7n7i5dfvn68m3fFtSNAxgCQlKnOIvX+4bFt236/u7+7u729TdvH2++9/dY7z3pv19dXp+WxTGmDc9MOoQbawl49PD4sHYDRo/elqx721zHMMWSmvXdhiRGYjIQYEEVqQHRtgEPRP/biGFScD6vvBQOyCAs3QCRwwgB3Ve05eF34BoCgqcwcOElpa2Oi2ydP1LVrB4Qi7NoQQtvmAa2rZmI1SSmVicNj27S1bh7moYEslbkIlyJM6TsDTyOAmnqkUwHLJGpe5zrtpqur6+ub2zrP19c3ud4hUuEyFvnU7FgKgBLx58PhkId4gA18aKj+YYxxdjnoM6o03oRhpO48fQWeqdPFPbaOFh5GRRBYqGCH9dVpOy/XV1e99dBQNSI2BQRe1kbCbfM/+3c/vrm96d2Oj4+9r68fvgLpAXo+PjBCZjde315j4R7x+vF0PC0Px5OZPdy9BjMGxDDwOD4+fvTRRz//+KO3vvn+9/+j35brw0n11Lt5FObKhc3hfNoFlmX9L/+L/2IOh621thDmITXozHlfufK8m64O+y9fvFBt3//1798/HN39yZNrCFjbWkoRkdab1MIsD3ePDFgAdsRsbVcEEM7LaqoZfzZklO7aepGSS2dSmL21EW2A6awzGgZVREQWyi9pSpsTFBqHLo4VLiJj4xwCmDmtRintFymttQQ2hLMkbgiHMMOladAGeeUQDXfYJbE4zN0h8VBL8GqMjJLSVcgT+zI3R+L7MJQ0eRcFMyEBj6a8FGcyjV8HkVBE8t1AxEhUf2xAKcAHBE8ObugqPbxpN3AillKSxEgQlohyoXMwoLGnBERXxUxbzCp59exjY6ZaS/Zbunm6w98MAxCgmwoEVM6JKdGBfPsykWLceQ4ZpYSEXXu6yfBymcPlN08clhhTywuY3SGjg40yJQIpMdwePUeCUCBGKsSMdZJw2prfvvXu9e3TLz958W//5R9tx3sJLUyZf5lmubtXd2MYPJ6RoNRCwub9AiwEUAa+ODO7o4YC2+3N7Ucf//zD7337ybPb3X7ump3RmGKhICOywPb/+Wf/zc9/8ef7wsfjXd9OCKbWtdnd3WPv/uF3f+1HP/ptjvjor38a7XyzKyV0X+lwmBBgXToAn4+nx9fH69v5nbefCmvdoaMp9LNtclV/+tFPZYdc/fHhgUgAUCZatnMHe1z73dHKtN/PBWzB6ERu3gPU3MCDAEZch2lY074SQrMtN+A3pngkMDMe9P2AZXPqco0IIKDKaQ0lTE0uAEIwhrsKcClFXZOPa1uDoHm/Q8Jtbb0rF7LewN1VSyFHl1KZxMKALsoJj8KCgYUTrYqt9QDTvoYZRlhrGMFAgjxxYSLznsKgUsWzu6HW6yfPD9c34VDr1LVtvTfTzAYipgwCzRmqiNRaAqJIZmmhvwH+c1CDCAQL76ap6Db39BLbmFsz1AUAwqwzBvWgblMVuZrwUDp7YLCjb1pFCFFEAoOAu1lr6j2Wc1MN5rKczg+P9199+eVPfvaX5n1Zj8fzg/rm4fNUrau6ecSy6pcvX1nh63ee1Zv56VtPrC2gazvev/7qy2U5n5YT76YPf/OHv/63fuv2m+8ujsfQLtCwP31ywN78fHpadp/+xZ//N//1f3U1iZ9XAuAAYUqJPSEOKAy51t2zZ09+9pOf/sHf/dtFZFmOV4cDDJdPtG3btkbI23k9PjwWLmjhW99P06Gyt2VZzlyYGQWJAkdRckYvgUfaBygTyMVhKA4ux05cpl34VR4UgF+Sb4aZFxGzVhTe/HfAwUwO1YyZzfPOsobNYyD/qV67CHhSk4NAzOKRx/TF9nGxdw2lD2H6rvIUY+b8QiTEMoSOF0nOmNnpTV7yiBkhpjyuPRyTObtQH+ERWV+MQEjEQoRJSriIpMU4Ia9cMTKtJ4Y0nhPNHIYCTD+mBQTRgLTcXU1HZEkMeXWOM9mMmE62/JzC3dSyFByIINU/jhijyRPgjRQqSDgr7gBBTbOfKxcaGmAUwKWvHC+ipnSEsXDqi0w9lbnae4JFGLlzZDgdWNNpykRRDAsict0+++XPttPdrpK7trYiYe9bb5uZotDhsBcpRZgR5rlKlba1JGNhLC6EiMJUanGIvul/8p/+/U8+/fj65vq3f+tvaISDLcvSuxYpU63amoO69UrwT//7//sf/5t/cbXfTRNt6+nx9d35/Pjk9roW2bbFtf/u3/qdH/7ww3/3b/74s88+Ul9Ul9bPwG5u59MZiYlFpJa5LG29fnr1cL7f384///nHv/j4469evpAdnE6Py/nctJOwmfa2WD81316vukVRcwHGFqQQ3RBR3QxCLdZtCzOEwAhGjIjWVka+TLuQn36tJa3qGSnBIogclwXSHTMqyzxMDSwraMLDpTAhEvCyrsxFqGS+3m53BcjqXqd6Oi29aU+y1Z2JtHd1zb+AQKbpj+HWeldDYkR2iwCs06S9gYeA6Jb94H1bV4iIAMsvAca2rfNuIpmOS6+7qc6Tmm1rr6WWzNUwNzWM7OC2CG9dl3V9eHx8M9FfyN6EHDKw3VIz0bVrRlplNTsGELlnbp6bGRpIJ31YcdXteGx9664arhCH/U6A2mlhBBFyt9Y6OoKCbi5Uz6dW6lRq+eM/+qPH0/2yntx7WCf0bTsxO5Edj3fvvff27c1NJfrkrz4O68e7l7YcX3/5CazH88uvXn/++bOr/b6Ub337g/e/+d7t06effPLleWv7J9e7/c7NdVuPd69tPe0R/uR/+Gf/6p/+d3s3WBpREEKt1dOBh65hxLyuW+vt5vpaCn/y0Ud/+I/+0bKcpbCG7na7HL0Ph2uPkCKlTsyCENYNEd56+mQ7PzCahTftrbUwL1TyExSiqU55Z2cifUry6JIf/IaELJk8lnwkAI7TP4/NMLVM2RybwUhZo3xkB28ckRafFGOO0JMICFBVU0MEJk4UW/LLZc1T+2geAb1rPrRvvjApnRhYoDldGhsT7MIchzNKBBGHNQwvqE5YVtzEWAwQMLO1fqW709GgEDlymUfvPciBvGkG4DqNDQUYObH+8CgimapPl7i0NPgKCzMDemo9YTSFRY5F6ckSEQDM4jTJMQCGIBTHOcsECCh2iX8Z72T8apkargdAyF6RkQFNAXAJSb9cFxAw8lEH3pd6JGJKZDBT5kGCZJQiZLRGAAShmbmRBQZEO58++slf+vlhJnPdeluDQcHKVJBht5+1tceHIwLNtfbW1KwIWVMC1NbBozd1cERU9Qgw9db6f/Kf/r27+7tXr09/8w/+4LxsRLKf5yIC5hixnydAZ6a+NhH6sx//8f/z//VfP7z+YqoB0AG2h4evrJ90fYx+vvvis33l73zwjQn15Ze/PB6/ElEqWie4ut5va//6q7t5t6/Tfp6udofD+995b23rpx9/8vnnn3Vdd7vy8S9+HqhmzVojNyFDa+H60PvSRWI3bUUW6a/XGoJOyakCgJmCBQEZuIZjCMMEiuAQiBYxKFa1ABdgyot5iCmytlvVth5t6900CAWCASgQkbh31Witr7dXN9u6AgpLdcKym0nE1LV3690hmvXNNguDcGac53medoikaoCASB7eex/rMgASmYEaADAEdrUiRT3aZmGwnNZlXdTUewdVhpCAXa1TndqqiGhZpqu6aZ92c0CY2yhIZnQIJhq+aB9ylAw0yYhQ9TCP1JmkcjkQFKBHOKGCmw/wk5EYsXIRox1OJZgdK3A6KaRwaw3cGHBbTo5uFIGoFhHUmhFLQGgzpvonf/I/tba1vgojA+q2bsfTcrwHXYXt6mq2dr4+lG+8c/v0mtf7L+z+sy9/8qdf/eLHz0p843p//+nnjy++aPf3flrW0+lwmCPifHxs51O0bQ6o4f3x/v/9f/k//8W/++PqZmtj9iIMEObGlZHIzNUdmViKNX/7rbc//+SLH/z6r1/tr7968Vl4f/r02rQxY6lsoOdl4VqACQh76xSB4IVxIiQwzGQaD3RAw0lqougBkBE1juYUgDCcwOH5PCQak/PnCPGPN+EPmPIWlpQpQrpiA0YWyNji3KtUFs5QhxzYBRkBSi3DN0WQqdR0aYnJrqsiJUVNESN0OQMLLmcXmrtIyawkt3hzro1596KMvOzTcTkgc5OAXyUGIqQHNm1f44cI5x+NiKLqzIiBrgGI4W8aLJ0FEwhrveW9xDVLchAC3MzDIIWSpVw4Xc+mJyF0deB0VWRoqCOGZeZ7uFuGzUNclmKEYHCwRgiUzDNXC79Ep6SkFiKAmdQtIG2fNAAvyisyI7YjCffkDxHSgg8jXwmBiEYB1iWbnpha64TOlZlJZGdWWEghrm4Py3ldzq/nWXrvRVijFykUtG1rJWlb66o3h502Q0QpFGHECGljJgCkIhSQYiqf6tSWbVvae+9/68/+9N/+oz/8B++88/7Lrz8xNUCDQCYxVwbK1HWACNW7V5//d//sv706PP+N7//WzdPnIjLViRAeH5a6E6RtWV6dzo8vvr7flJ8+e+/2+bu7si9yOB/vu24U+OztZ9/54a/92f/ux7/42V+/fvH11WEuRAz1L3/87x9ev552XBjX1qvsvfu6NidYwk/qgIIrV6HD4XDUpt3qlQR5mCGxm6/LInMFJAJUDy4C6VW8tG0hghsYODOnt2PIgBAAhws9TwdGQmZ3zc2XOVQ3D2Cdp7qz6Kdlu7q55SJb1zrPGpG2F3UL8G3bgLD17Wp/VQQv8I+7KRulFFiqPNzfFyaeJjufTB2HVXCAMMu2mXvfNqSNgLgc2nk9H4912vWI9XQ8n45EVKdiZlMpZkaEpUg6WBDBLZDH8u4ZFzeeNCDANJ5r5BGf4aRjNR/bvWMuvUzkphluHt0kk3XNY2uxtd1UWYK6bstZdSUKA1/6ZoSnczueVwu4u7tb141kFqaf/uyn+/1uVyd0KBPvdvO2nBkJUG+uZ2ENt5//7C8//fivXp3Ov/z4RZ3mD775rbdvpp/+xV98/uIViKyqWMo3f+1DOVw//+Y3ALCWMtfSl3Z+uPujf/0nL7/4RFSnCOx9miWTDhJ+TQGAB3CVddsq17nu3nv7/c8++uJ/87/9X3/15efzbnc+P/a27rns5vm0tmXZRIpUWY7bREQQQqQKGHF1mL6++zpq2ifAQ1UbEermSGhqrTUiYJJtXXL3cgwi0lAMCo88E33EyaC7pbT9goyQqQVnWVgApN0PM0I8Y5YzMirBkqwucPO5Vt06IQJz5KPOFG8mAERm6dZqzceGHT0H26SBIAzAA8nUwJ2ZzIxGr3t4RGJbLHj575EDLAIgEUBYnngXA1beNCmKQ7rsCggs7BECAZ4udsBMEUIMQg70nJWYiZCHD9kMxqHtQ5cJGABmmduDyauIUASYBxEgQniodSYWLmnECe2UJfJEGJF+DVRCAuAk0xyZ/Y36J8Av/AcAMIv6CP6NtPJn6sUlWCU8daKJ0SEBoCMiugM4Zf2Fe2Cgpf5BjUtxt2D0IAJaVpSyr/vDsyc3QLC0dpB5Wx6nqQAYsSRgt9/to8HNzU3r/Xj/OJU5VCsnnWoFSSMiXJgzxpUZgNEBqJTTsvxnf/iH/+X/4X//T/6X//nf/r2/+3/7v/5XV1cHD1X1Opfj4xER9ofJzE1dKhHRpqeXd8f/8d98Qlz39bA/7MFDbV2387qepKCBb2sEy8u7j29fvv2t97/99OpDb69ffPzzL965+uiTj9bef/oXf3Z7e/3B7/zGuhw//ugnf/mTf49s++vSthUIdvvJzMOpqy+b3h1Prx83OEwHQl8pHmC/3x9tEQX9/1P1p8+2bed5H/Z2Y8y51trNOef26C4hsANFAgQbESAVUhEtN1LEKDQt2YpLiqxiIzuSklCliC45+ReS/AH5kKqoEpYlV1mxw6qk4iR2pUpSJDNswBY9LnC70+y911pzzjHeJh/esQ6SD7hA4d57zj6rmWO8z/s8vwfDCdwVqWBwbnrN1cw6EmWbFViu1IIyWYKYWFgc9YOYel1GtCByWh1RMsBhLstbTrYqIixtq3UHBNNucg/ommWG0Xs4BaA3JiRtTYi2dZU6EVFX4zARadvWe0fPuT7Wbcv5sa09BdbTw9K7AjgYaNci1bdepUD30/P7TbvaBuZbW6kg7ffENLqDVIkAkPJB7hFhiAHZE5kGIYjkZCfjJENgQIzh6BqU316McAOgNLMzIZi17byDSubgGMG2qS6dUBiQqvgSbd3gQHVmvfMeEFxCWl/1eD4DYWuduGzb9t6H7z15/Ph0PgNAa23bGpL8/h/9/utPnpi+OD7c/fEffenJa694Kd/zqY/u9oc/+MM/+OqXv3Y+rncPjUsBRuLyR//qwx4YDLvDYb/bu/bj/VHbEl13woepRlhb21wnU0VERlE3wzC3UktEzLupt/b6W29869vvfuFPf76bL8sZCbpus5RSqpQ6OXaDKChzRem+mDsQAgUJlkc3t/H8wyLFA+okrS0dOglOdddsNHkBOKALC4IrOPh3Qr8jbpeUgwBmyl4myPtCBFe6KP0Z5AE1JRpUB2ZOqrO5ZWDYYWgYiARAwyAzdj3AaTAgFxlNqMLj2RzhWdfueAHf0PhCIIBDsHBkl2HeDV6OAxFxWY66e2J1MjALCOEOHkSMhGkoEy6IaGosjMi5eRUiQCRz4EKIpKbguRfPkcFylewxQgeuHkmAIAwakM5hcWVO9mEWsKVaI8IQWKXmy5GmzyKST4G8G3ISAwiNGYhJMJABgJISPBJ3Oehg4teFxRJq5C5EfsEhJT3JwwMw3JEpIgg5HfrhGIB54LFkstFoSjOWXFinsK52uL7ZX732cKa18xtv3YZvz999Z55IiJe1iXAEbtt62O+1901bnWpxPuzmtiy1vEoc3k23Pt8cFljVFMBzz4OI67YWFIy4feW2TuUP/uB3/9yf/9n/03/+626k5sR0vD9P86x9Q8DdvDsvKwuqbkhRdwQYiN5sOz/9gInclRjKhIiuvU87yc+K29OvfOX57/3O//sLf+onvvu7P/bmm68+e/YBr+ePfez10/H0z/75f/2Vr/7xze087WVd19ZbnYqHmplMOwNubVVXuSoN+9Jw122n0u6VHs2CBZtJlQhFRoPhxWJmEgQCDSuQCP7LhJf/wcuOigZSP2NgiRgHSiIKDCiQBhBFAJMAeGttWZdXn7yeRsPetIOmT1gAHaOf19WslNLKVoow4NKtTnVpWvYTYtFt67bWaZr3s29bEWrrNpcKiPf3Rzc7H89dbVuWTACu29q1lTJ5uIgmbXSu/OJhc471vADGK4+fWFcpYqa11gQm2mgoHs3WCKOYeuxFKDChwRHmDpjh4WDCpJFHAKEEUHZtEnoFmImgNw6MYCJsy3K9vz4fFwWTQlzr6itRaVtjLG4didamFvDsxQsLp1JVdXe9/+CDD+ap1rle7R+Z9ZvXbx0Ahb7xzW/o9mh/Vb/v09+LhIvCw8PdP/nH/yQQK0+mvp+nAPcIdAd3sAh13c5HpLzikCoXLoLu3dQGLhIAAJMXJlJ0M0JU9dbafrd78uSV65vrH/rBzzx7+nze795791thBoWl1PN53bpP+/nZh3d1mgGiq00g69ZBvSDdXl1nAVVXF5FoRJVdQDczNxJiFNWtdzXtKcSPTx55xlE9UpfNdiOSMohM7tlFnqh5CIdhKAZEwt4aXFImUkt6SVUtOUKCZKp5c0ckS/gNAiAIi5khQKassp04nT+pndAwymPaYmDMpUiEXZP6luYBByQzl1q7dbxA0mJUjAFCZDiaRcIBgzC8SDU31IG4UFWIwAH1xAs7kwaSHADChxCfS1kGNg8EQgTTLiLpagDyRKIjUAzBjMwHUkMIMPfJEAjemxEJOJUinrUGqkgUloKsWjrhAEjy2xCq7pi+oyDGQHBzjNF0Q0DmeX/EMEDGYfbNhmseq2bkLPnK8vFADBIAsFwGBIG6Ye8sEkGAMu0Psru5feNjrx1ecT9/6bf/lfBC5KqKBFOp67YSSZGKwCRR6wwWESHM63KepyLI3s2auweLAGCgdTWIQLN5mmzVDisr/tjnfvy3futf/Q/+7Z//gT/5uT/8/d8W3rm1/W7XWoPA3rpacOEIRwLd1lond4cgQGQJ5hLdA4JZumqybNuy1iKulaBWgT/8o9/55je+8uTxo4fzw4cffOhgp9MDMz56sjNtTc3Ra6kABCTJ9gANrw04tPi9bYtUKftpQ3ZbjzTVybV1ajwJIHRtzDTxZOGhQYLZ/8hIZoqBEJHYZHcPxog8lSFoCHQXg1c2YOTgh27GzMLct46EpRLJXqMHOaD3tYUZAwagqyHC6dlda70WYZY6zwWpTpMDkjB0DXJTcIfj+azr5Godg0WWbfV1cwswvH/2YKq96Xk5ZS5PVXU2JKDDQa0/HB8Q4nw888Tbuga4dZvqnEodIfmYVJ34QgqDQAxHTJSiZQgGsjZ29EjmWtJNx0uUTSCYKZkIN3D3ZtFiXZSMxKCt21QKk5h1YeIqxJMThKqbE/F27s0sqL73/jNC6WmdMGzLpmb2cPfh+x9Ouzrvdsi8v9rfXl1/cHfnz7SW8uTVV9/51vtf+tpXkeq6bVBDhFU3cCi1JKSGPUjYzYqQqldhi1FjK4z7w56JTqf73WEfAGHRVd30ME3djZC4yOPHj5nwv/eX/sLWtutHVx++/z4T31zfLsvJ3OZp3vSMyMJlOS9lmtZj7x7gXgDI45X9bSV2KoDdCaKIIqzaNbyrTrWcj2vOlG7OnIW9MbaFF5UYBy04kEfU1C9JqzzLUyfJACohgmOtU+9NRDIxD5mQggCCwiXlajP2dTPvuaFEHBf1i8WNpIhuawQgCVEwE2R9yXh2gUfwd/bVRETJEUFmCFRzpHC3l+5SRECmJCFGgGMU5ghQ1wAPcynF3QBCSmVm9Z5sD0lViZguANqU28fGFYDMLAvVPEzV0momLOYGo0yZAVBETBXC6zTFdy67kIZoM5vqxJIpBgAENycZEemskUMENIdmo48mABAdAIVBLWvugbKjGVtvBpoNL+7BwmqGQRdWqwdmOHigCIYSj5SPl/C8jxEFgQMgmeuu7tWiq1u0T3zqjdb0vL53d/fecnr+6IadrJSynTZiqrUsbSsovevExUxPy8N+ujJr824qpYZGoRoOhcqqa3dDcmEx7yTlohVA6+tnf/SH/sXv/It17T/zsz/7x3/8xd6baSsoUtgNsouDSQDDu+52O2LszQOcOc/gTpRRQ9/N87ZtUrgImTqjIfRS6Xx+fj7dv//BN9d1ub29Ae+1IoB3XRAdECSbDt1IuEiFcELq3ta+rm15LncPNJ0CSoup1H0rsSLN4hZdu1Qx6EmmDXeu4ZAfu77jiYmZeBjjcjoDiPAIYiJHCkg/MUKyc7O6FnA0+DikpWY/zdq7me1Eqsi6rDup3ro2nXazAJ6O57618/m8Il0drqzbsZSb2xtXq7s5tDdzJ+xNA0DXZT2t874+fvURdD3dPfTWT6dlXZbj/cPxeCTG3nuZi4PXmErl/fVOe/NA7eqqx+O9o1v41tp0mJA4ILZtQ8KIECkJIh3+35d8reGABUQKzLbucWPJ8iIwCI8sULJIaoQzBpiSR6hlkB09mFh7Z6ptc0dHIGgQDSloWxvxDrBbwMNyvj8eAyoxEUfrnSdR6+FR67Stel7vqPDTF8+/iRDawX2eZu0qZXYAd6zTxFxMe5qxlu0MgSKVkIS4TBMC1lIIKajIxOruEd3CwanUh+1cSwVEBZAiau6KRco0T/M0/aW/9HOvvfbq3YsXvfXleBIi6/b40eNwvLt7CEImvrm9Xc7Li6d3O2YHBGS9b6b65OqRACfnp7U1Vz1Z+pkeU0AsJA4tEYRmDQZRwUop/h2zO3gEmKEHEUZ4xrPMjVgQCXy0zah2BJp2+yhFzTKZlFpKEW6bQhn+0NYaQEx1ynbu7irCpjHVGpH7/oSbIQMhC6bS6XaxzozEOJgHUG/dM++WAyQw02g3BcJUSxXC+lgcJYJFzQCAmMIQczoRQcTeG/EcgXlNFxg0ohTiGYHjUng/hnQ3AFAdQHY3Q2Qz76ZM4uNml5jfTEAAAnp67R0hXKgQUE5hLIKWedwk/QIEkKBtFklMd4tLu7FZ0MwBCoQ4iE+Z7ebCtUBBRPWe7LxSWNVK4eiOKIDgPigzhBDpDg4QpCBg5nVp8066204m14aMqtEVuNb94ZbnIt1fvP++tWezNDDaWo/AMk+tN3QrxAAuzNb86nC13+0Pu33vS1sXAjFHATGHScrmEt1EBINT6HbHaZrW8+KA+/3+x3/s8//yt/7VT/+5P/2P/vf/2/tnCzOaad/6frdbz80CWjfisWIJQpGivZs5OIiwA7hr1grWqWpXRCxSVQ3Qwg2JENxc64GanVrbmAghWNgt81eImMZDJq45bax9RXRWaE3vpLd64ELUIx5UCsBOaFcYQtcONVpoZSmlAhJkO1BkSFhy/xXuo/EoVUJ0j/QhwJgyR6ab3B0lI2Vg5sxcsKrDujYpxc0rl7vlzr1tD6f9vOut99bNvbf+/OkLALx//nC43q/nZT0t834uU6EirXvZVzcvRfoGoXp6cVqPD+HRT+ty3rbe7+/vn3341MKIQ7vRhlvfbl95BBRSZd7NTW1dNgLcWlNTFJYqAFiKqPe8r7XemIUggyYR8Z1tx3dikxEAQcQBfsnUMwb5aCcnByUKDEd3CqggHJ0YhKSfN1Zk5OiGGLsy9e2IyFOZjn3lQlOdWutd1RDf+/CZGiFzb91jkBFy76ZqiYcPIBFx80sFVJmqBxGY3V7fnJdVVUWq9c7Mh+s9AhKxgWOguQtTJPOc0D2fX2wRbtA0eo8AJSoACCxE4K5cpDX74c/98A/84A/e39+Vyk+fflCEKuNUCwM+LOv1o9vzeVu3Bo5tbfvdgdTWbWWaqiAp3s6HGbkjNTBGJmAGJs8+ITZzNw/bCAMITbuUYt0Aogi5pSt/OH9oODgTGDXSUhcsk5Nwxo8KCTI26+BARObKUtyjCJs5I0JYa1pKKUXctKumK2cuFQACw0yRMIwCXVjMegYRXDO0AJCl8AhM5Ko8ePljWDGzAHCMrsqlXgTWEQhjyZ6JyCc75JcOEogRkhtvM5GSh1xq8IIIiIQMrhFmAJ7gtnEApDvblDi30hlmVilCwQ7BJEjkGIQM4UgQ7ixlKtXNE3WSO5MklSMiMRamMB0b96TzgDlicAFiFkAcPfTJmxBm82Bi4Oip6njGMBwAzZxS8iFOtjswZJ+UgaWrgpE8nFPnQzQzqUXNhUs6Q5lITes8b1uPdfn2N78ZEOcXL4g2iK33oGBGMNvCVWgyD9WACqbWWzs/nGl/FW7zPDFJ0wCI0935+ryaWKnFtDMiIa1bn3a1qXKtqmrdPv2DP/Drv/6PvvCnfvRPfeEn/x//1W/ournp4erq9HAUKoEQAMLkEKoGFkVIpEKEuoeDCOYkBwDoiRbBjGV4AHIAOkIGSGLbVhokxPzc52DEANy0U0VTy+tes3Y83l+tay/16XI+ypXJFN1os7IU3RgrV5h6xKZbqdKbMgtAlgBnjVUyEICyJdQHkIuJ3SwFOvcAMICMFruQZPcqhBMisUCAarPWuNQi1QMeP3ry5T/4g71M0HQ9nYlp3RoVPq7L/fHYWoeI3f30+PGjdVtrkTpNj159xCx3pxd9MymsqufzgoRXt9cP9/e+WndraudlOa+ndV09rLdtd9gfDjsIW0/nB6HnT58nOy8AzucFhdCMkQAyH0PWNe84aiajmjTw5SWfiC7+7lwzWtdh+wFMlhlAEqsoLJBT+3SCwO66NAEG9VADJ0T05gHd1UJ9uqrEcOzb1vq69aX307r0iPefPQtiZAYzomAaHwwCMgVwDwbv6kDZNmMQYd3V6lTrNB2PJxLO+t+5VnOHSK4JshTdepmKNgXEIAAIM02FhVjWdQ2PUqu7Z9jI1TGQhInK25/42F/5q/+euc9zMd28ayG6vrkGD0CiEuYQgEtr+7IPh21bJ+Qg7NpLIAPvhOYy36nmUCVEBERIhTlKaVvLQJV5uOol8+SqF3JnRP4VAYRFzQKi1rqtCZKy8CCBNBtSEmM8V8Z+mRwyOeuR7kaC/DWZOafV7/wz45ihCGekUpiJtfUIUOtZnRXhTIQORJKQCkJ2B1fnQgpOCAScF4Qs39Ww9Pgzs13qWvKeTZzwxBBBVcMsi89AUgbEIFkjLhAY2Ux0iTAghIiExUuaBQERIjBk/tZH/QWYm1BxdxwTrjOyjxu3D//O8FqO218aJPClrTPcHT1SYMMgBGTPXlkAQggD4GwYR8LxXUmwtIOrJv0VkFBdi1RtbXSSWSQZLjuULkVl2ruJ1AxJiHBLwqF1AFbrVKmUgtC2hw+YuWCPaGUSItDuGLafZDEli94bl6qmzLzb7RDxsNv185EQSuGTbswUGowyT9NmWyCc+8rAu3l2V3cvUgmitbaf6qPD9e//3hf/0s//3H/xX/xnu2kixofjebc/9Na1N0CMEGICyEUWuwO4pxwHGGaq1hFhWzbhQkzatdaadxNz74NTzZr1O4VKKel/Y2LPTDRKBKlZYUYAdz2ej2Z+2rZlB8/M3gyYSbBBWUTvELkwqwsDUCGhEcz26bDLPoZuBmaSi5kIFiZiH9BABgiCUUFLSAFAyAGoYRhekAOy34pEZFtblpCw4TztP3j/aXs47WuFcBJW8+aGNEEt6/F8ejjWSbrp49ubaZ7kfF62hZGkinU1d+299Q6Fjuf7ZVnIyRGX1p49fbG17XQ6lyJTkfe+/f6ynqZ5ur29ffTkdt7tSy1tWdqmy7bVXX31zTd2ux0JATISQ3CgoQNQNO2EpG6FhVHMtWkP99wLmLowMxFEFsQDZMAdsvvEqgiAMSG4F4wKiMizsG4trDFOFsAktrWcshmoqbtBR1eAxey8am/x7jsfEBFgCLOHW371RkAqPFyELYILgwMT9/MitcyHyly2bd0ddgAYGE0bgXDGC8DzBgYQ1jXnRVOHCPNAAgdE13SyIIGrT4W30xYOpZbdvGfiv/urf0dEShG19vTDZ7tpt9uXTTVBRvNh37RP14dXP/LWO1/5ZgYoPHKXQuAQaoF0dbh+v93lwzqGuyztkgNKJlSSPRoxJAdCDjcfFREDZXMJBGRWdrwfJAgXP8JLpzgzJ1U/IooUTM9o1sVghrM4IRB5ykYYQgJGlEWIKI2wYZrYxAhDEsJkv8Ul/RWhDj42xvmnGgPcRZ13N2buqsIjJJs/ZIzfqw7XafIUCD0cOiCjm0OgqdVaEEGIKS74dQjCPI0iaFCaCQCQEcJTtzIzpHTVIwAgAXrKVqNrjRg9raURtQgApOUhqTDETAlTdGfCdPZ3jQnAugYqbC0CgFEjnCB4kBoHUsnGNIRIpla4eDgTI1OqxTj+NgcqDAdV8ksBOQ9sR0Ih0uYRmNBTZg4MLhTq4T7tCcHaeeWCwjRQtMyEaO6UqWMkoYpA0zxlDpkLr2balWvBZUOLgnS6eyi76m6EcJimZduIQLuFU9cGgBR0Pi+f/8JP/jf/z//q07/wCz/0g5/72te/tJw7Mh9Pp9005yIxHU3EpOrag0UcDRHHaihJSpjuNIKg/W5vZq31zLBM07Rti6oRcghF2g8zJpKOZgZi8gApEq6EVErd2iIs3eDe+gdwfo6yjzJ3R+PSJrx3ZqIrdMa2rciYO7DeOxF3bQLCRdRUqABQRKgpMffeU3e6NENA1ssLl9R83N0Q3Z25dDV3mHf7DjpNpZ86s3zP933/f/lP/nG09uLZcxFZ3Z7fPZzP2/7q+uZwff3odhJ+OJ6SyjLVsj47m/puN0MAC6lZN3UH33xZTgS8ba7up+W0bNtu3j999uEf/v4fAiRSOm4e3bz51mu3tzePHt1Ocz0vnScpW/30D306Y+RuOnLniEBgfRSIJ2VLTQcBRjgcAkJeEtFyQZBJMfSINLWDahNm12AHDsTuopjdHaUQuhMQBbhCqbX1rW/a+oLi9+eTGqybN4dnDw/npSEWZt7OLdcMNISaCDfPNGQWeAR277urXd+smbO15LMSITHVUqyrR6bXBmhlmuq6bYSQHJS8h5lqIAqKaidAKZVrbWsDDy5SRNT0V/7Hf+v1N944Ho9Mcbx/YBEqPO1nU+/ucqjApT90iHj//Q/u70/iUGtty5ZStQNEgDDvph3qHca47RJjSr6qKszKHOGInEb+bpZ/O2UZc4sIIvSBIn/Z4XvJfgGOhRSAqeeJwsytdWIGyJi6MIqZIgKLpOcVYdx4B/oNjJBYMuoLZgaBwpz/FjCYK0UmBtLVmU4YY4QIB0JVzULbSIC/ByE0bRPvsoUpAFgIkHrrfBGsxg43TUeYC1YDRCmSftY8MwQCicgh3BXDidk9stsdhjTsngB2V0JKAx/EsKYOaAYmgimYs/zImYtnnQ1CZB98ZhPUPWKqSTGVCCVCV6PKHIJhYI0CrPVADIPR4w7jmB1DACEBBfTLtygrwtJBS8iAyGGhYTiSAwlTRQgYGyJ1TByQg3UzM6RReqMIbesEUKoQgalSSISjRGtNQpp6YFd37wpIh93V6XgGRHdbzue57rLlAwMEpa9aYTJTYQoIYdraQiSB6OrM1FWd6PU33yKp73zrvb/61/7d/+X/4j+JQCKeZoaIWktXzdSfe7gZoPeE3pg7Rq0TC5nlqsoBKYK21UrlKrKuGwmpW6mTmbeuu/2uLV0D0C61QTTqghALopgZMhTmpx++37ZNdny3LS/meZnL1mkOhM1pprrN9mKVOnN1FjPoHpGILDCsLJR9quiBMNMEBF0VCInEkgsBEHBJX8IIsgvXbu4ANlCLDhhMXETcI5Cd4Xv/5Pf9xj+V0/G4btv64q65r2bN4/Th83ff/aDO09uf+OiTx49lXZr13TyFWZrw2rYRUXdFxs1VwTyMQJbjtmmTWj3sn/2zf/ZwOgpJuJciGet77913nz798Gq3n/cTSX31I68R85tvvsmcjjuICHNLSQ0IwHLfmyQrwGw0InS3TPabmyDHiPHkVS8ccCBsEMwDTAsD9RADbGpurIEIFg2B0RksILBMs8IaiAaxmnrh5rgqfPu9p2qB4MhWa2mqbu4R5sYslN1+DmY2l0l752QllZIofERo28qMTIKE4d56J5Zaxd0BY21rV89oKIz+KBIWdTczYQk1RsqQphfaX12fHu7/2v/or/3ZP/tnnj19fvvo6sXT5+u6PXpySwSKgFPJu6c7Up2Od6f1tCZFVcNVgQC7+5SdIIiH3QHPNBgOMBp+/eKLz7HK1MyMiSBgmBBSrrgoc8zMLG655c6YLZi5SPERvMrPobk7GGI+OiH9+z66AUaPGzLRy5W/u9NIfxME0nCoG2F5mdclYO8GhceDP4AI8kJLo3hAhlkRRjsYCQJg4QqAHurOHGlVMkjILtcBcvbMReULklHZGJ5+RFMrUikuxDwITE/rmINGI6mPD+dIIVNKlkhZeJDwdLgEW8YEgADaNT1Cpv9/7TB+gaxmOD7Pm0hoE6DVCkUgINIODkDJlcAUocZmwtRNFQnUNa8yI1CcXqiASNPWaEBOODUOYxIPQCUzC2UpYW4yBIgck8llVFC1mzVXAyASCcSAZNdLJgUDvbfGwsRUp5K76/3hkP5fYYbA4/2xoFQWQjLTgCDkwlyEIxwZg9Dcz2v7mT/7r/3X/6//5vs//QO3V0/qVFQVAaRcuoszLqJNsgF9kMORhbt27aqmRepUd8xs1pEh0+15bYmBMCQieYnpcAgHz3AyQEQoUFdbRTgMIuDphx8sy525d/B7W5+up8bUw703dpphX/veH0i2Qp3RmZAJybqGGxGp+RCFAxwiMesA6GHjipXPjFHSgABQqqSjTF1ROHtuJxbfmq5bqHbtuT757Od+RJgIMNQmKtEMus5V5nmyru98+9vvfPtba2vndb07He9Ox6d3L57f33XXptpNu6mZtd7XtT8cT6u1upu/9Edf+5f//DePD0s4uoOa96YRsZyX3m3b2rKu5/MSBID48bc/cfPoNtABAPmlUAAEwHnTTnotRnYucTK2EvsOkc+rgBhgvHE7CURUb+6aXhFxlk62mgSjoqs5eAg4WLhXInBCpPO2dYqzNcPYVFuHrvHh0xeARFzco7WOmB7ofPd9mKIJaykIUKQIM4uo2tZa0oznaUIkNWutkaDUhHWbeVczVQ8wGKx7cLvstwMyxVqnqfVu5r0pBm7b8pf/6l/5C3/xv//8xX2d5Xw+q8WTV59w4fnmuux39+tiTEZoiGU31XmednMphUTMgwsjIyKTMIq4Ry0lLQ8BIMLZQC4izNxbD48043Ia8DGYx4NgLELoklPI/TTxgNTC6OEKH0//i9SP461kTiiQWnfz9NHgS5uXeb7LlEIB4cABuyFC4ZJFAUVqHgL5bWZhHLFwzk1LV8vw5IAdDB7E+KuHq7aUmoTF884oHNk+gzTS9SOKDxlYI2J3wwvwzt0JAFrrvXcAYBIkfunxEs54Jw52goi7Vyn8nRhXZjrHazQynUBqPnp+kQCJJBe6nhLYEMLML/lhKlLAQbUvTcERALiQJ15x4FvzvMh3V4gwnfBMklYnJEKm1J0i0wSjdsnzbcijKJ1OHqOEgIiECAGJwV3Nu5uiKYEhehEmJNVGjITkCQYb+1VuzQiEkKc67w57mSeu4m7zNKl2V8cgcNTWBblcmMOFWYTNvLc+TZOaI6AFWNjjNx7TTj58+uKX/8NfUt92+wkJ2tZz9EzpU6gEhGojgiBV2yLUvAVouG26bH0V5pubG2FhRiIohYU5i2JMVThz0c6AzIntMCIAdCJH6ACbg2LGA83u7p8RRdfW0J7bdqSAiW3doquYiO74XPlcxScCse7aLJtvm3UpMrAqkP7nMRdnTVBGLhFGCSIhQqQqaBAKAyviiA6YRAlDhGkuLGhuP/0zP/34lVceP3701ptv3FztH10ddlLJfBI57GcMfPbi7qtf/8ZZ2/O7h+f39w+n04vj8f60PCzri+P5/rgcj8vxYVlOKwGB+x/98Ze+/o2vAyAy7q92dSqHw+728dU018PVXgpPu6lOU5mn29ubedp97sc+x4VTKkhucCGhyLgkXMhUOJ4OCbZyhwwZZZTULa2x2Q0MEeAevSMGhmOYRJROuEasIVHDkYtgnYzEgh0Yo4BRO60s3ClWcBU6rm1V86AXLx4ABTAgY0GMxOiuwoSRqf9GFL1v4Zoe+G1Z3LRUUtWttQvGLooU7SqJGwZsrbt64WrqCcoXYsn+GiQmrFwQUNfGiEBRaiHB/+7P/sxf/sv/DoBz5bX147IeHl/JbirTYd3UkLhOTrwBlMO+IeCu8lSb5p570JMcwwCAyFMLIiAZ5oKImOa6riuMgkYHhNHUWAQCw7K1EC8kt+Qwh18K42x00pkP/T0iYlR6ETMNmtDLovKRi/IMG+PFVgeQSbEYp0LkjiEsH8qBbqER7h55hzMzsOAkayYLyLqHX+aVvLqOSwYBRYCb5WNBzbaEsROlQpDVpEwyVBPiVCMhkIU1iy4o7yOYlZBRigBA7z0fiwDQrTs6Ali3PHRUjTkL03vvjRIMmkT/cRXT1npy30RKRskGaHo0cIWpuhkCYHLccqMhjETTPBWW2JYwS4y0mveuGdvLzHAMJLcxEbNkBy/hpUbhcupChNvglebvwsRwgcIkuNFM29bMfWsNkhrIhYkpgghab1vbHDTQExpBiU1N0LF7KQzo8246nxcPWPsWhGaaG2cRbtuW2eO+WS2lt7abdiUtZhgRToC1ViCQwhB4d3/83Gc/98U//O0v/Hc+/9G33iYe7T9uucdOhHUSW9kjZy9vvTMTEhGz9q5dz8tpWddu3SOG6949b9+Z23azIkJMwlxIsuch8eiUcW7N4jKdav3gg/cARmPiiftTPW3ghGjHNRaHLrGSPm/72O2mHSELVcHiZq5GgYWkMBOC9kYIRRgBqpRxjcl3xDwvj550TNdtWSn7E8HSFCskVeq2brldcvCrJ48/8yM/Ol0fdldX8zRfHw5PHl1dX+2F0NUgwrt985vf/O3f/O2vffVr59OqqqdlXZourZ1O5xfP744Pp+V4MvV3333vd3/n977+9W/k/F+EK/KhlJur3Vzk+vpwdbO/ur4SKdNueuutj+wOVz/y4z/22huvtd6RRErp2v9/Xux0QCFeIOY4fFcpOcAoI0FEohF4iATEpQZtAztsyubsQApo0doG5Mfzcg49Oy2GwRMAgwMBAOGL9fx8PW3h562f1/a1r72zbV2yDIqwTpOZMVNekZCCGPPzXKd6Pp3P61ld65QOAEAczQSpimytBaEDLNu6LEsmNFrfdvPce8tmiCGlJ+MSAwkDERyLVGT6zI/88C/+8q88vzs5h4E9rMvtq4+nq910fdUxyn4KKlAKVXEgjdgd9i/u7o7Hs4WRCFcBDEaCcAvvYCAkc2EmbSaFmCkitOnV4VBEzBwA29bGh3+cZAA+vgU5fEZAyTIvlnxAjXVuvIwxxei0IEyVFRA0n/KYRYcECIk9B4+Enr3cJMD4L8S0WgJozsVdx9+KQW5TswDPGpfw8DAYm2o3dxzpmcg7LiIKi1uYdSIUkYhQVZECY9DECDf3rCpKjVGEM4aVPjRmAgghZowwC0SuBR2pd4XwUgQAW29AwIyqFmHdLEacNl+lcM1qLaTgXCG6h5AMDKlHEU5wvI2MQC4kMtvmqRv11s2ite7ScFcwwFsTQgvPaUcK+2A4gvYOGOEOBCSZD8Awp4vPOtfoxAjA1rU7AIH2TsTN+iQzAHJh10AMwqEuRXgYJrxfu5cdta0zkEcL1+4a6ShrnYiROEBVfZ6KqRNTmWudKyFWYURnJu8KQL37/YvTzTyXIh5uqH3pLNNUatfGODGyhXZtU6nf+z3f+62vf/l4Wn/iCz/xT/7x/4Gwlgpq3UzDAAmFMywOgWSuJT37gxcYAERAyGzWk3QCWNS6qUsRBMwO5N42u/RNBBFhCQWiwlgS4j1NU9cW5AXK82fPKAQA7+8f2hu3G+B6tn2Qr012StNOaC6xHZ8+4I4QaesNJGhmYkKk1jeAqFyIWRAd3a2bKwAZWHJhc3VPhElFMwNhyS0lhJv7zJO7N29SOEUSJF50++Gf+FN//OUvL/cP035v774XAVKkbm0iUvDWgqkuD8t6Xj54+uz25ma32x+uV28KhKYGCMfzSVV7W453JxEsDFOF3TQXYSac5omIgxCY6jzfPr594/XXpZaPfvITn/r+T3WzMhcbTmXMRpsYnd2IhMCQRxFCElZCCqWmfPkO+pjp8/oCAIgE7BYMxGbcgZpRc478gALu6uGNV3uTYztaKx6NICxw7f3ufG4VlqZNPbt8W+8M5aXl0QJL5dZaStZq43m6bdvh6nDYH87nxdxVOzEFuMhAz2VsL4Bb15c7G9Ue4eFcWDR6OlAQyU2ZijARo4KV3fzwcP/5n/r8P/i1Xzsty9WTg6odz8uj1x6LFCrczLgQCJ23Vqb5dDrJVM9LQ4+b20fffvpNYll7o6AU4nNhqa6rQTY3MTMS6Ga1lnDvrQVEKVIE+xrdtFYxVckuQhrsMnS0cGay0VMURYqrDcTZJRoFEKbKImmAhyw9DRCRruoRboZEqlpqBQiWoZOrojVDxDSNWgRkQjAcQZgpkRpE7ObMA2eTTZYsEISmmooF8aBCDak9OYuAUotFet5xrPfBcqp2VyLOsVOYw6OrGjgKJ4EqVZOwENVehYjReqh7oNci3l27ZVeZmb6cmJKwIMTqxkLaLadcIiahytx6L4UiQIiBgJAQUbsSQW59yTHM0nCKl2akWgq7Ul4JN3VnTs8LM7MTUlMFzrcEmSUghMhAwcE9SBBHLWUeuYRD8woS5pyA6s7Dcqkryc1xhcyJRwQUQmZk8AjEIEZAEXY3JmZBRmnmCGTW3Z0vfziI4DS0qLmr9a6lRWRXDHtELfvT8fwE91CrR4AGTYBAqpDvfYRPtRBYb+18hk+8/ckv/t7v/rv/3l/5v/3f/68v3n/eNwWM3Tyvy4pEZhZglaS1jhREHAita5ag1VJ61zBLnKmZt94jgJnzOSMiGBAi27IgZjaOmcTcCAWhYACCmWpkhw/B3dMX6/lUd7UFfnh//+ZhPgVeQynqsa5+tUeaAY7iYmefricp0rEr2nFZQ3ieqqF6uHcHpt56vmiIYJY+UAhzzsoURghgogSf5LSbKxsS8oaAFAHZ8eIR89X+3/q5v/h/+Y3/89P3++HJo7I1d5Nli4ez95UJ666WKhZ6WrcPnj4lfAZEzGLDAMu1FNXG4dMkcy3ofn11cLNaeZp20zSVaTrcHK5ubg6Prt94483ltO6u95/90R+dDjsk7mPDFUBEKJ5JzjGyE4blYx4FvY81YQ7zpj2pBEPYRUgPxUUwMEEpxlfIos4GToFCm5M8umlAYfWVVz+6ffueCsJyarF9cH7WKJr7cm6tKwR+4xvfKjI7EgCIlLZuABiOzOKgEBjmWMTMa6mllGa6P1wt62mepq01CBxy+MW5DRa9G4aHARcGVzeHbKvNrUI4VS61dtUIWs9Nat3a9pM//VP/4X/0dzb14PCI87o+evXxNFUPYOFl3WqtTZWn2cz2+2tHs94Q4PTwQESM4RYBxrl+QGAKI8cxLjgSRljSmN2NRBAZpzjeLWadmIil94aBHoGXoq70Yg1NxoOJTLUUSd5ca1bLmFORiJjAwy08gnF4I4uUpp2JiRL0bwBQmU3VzNIXgIBJred8iCOCpxA6hPNwD1dkESIIJxJHckAIZ+EYrqXxOcmrfOQXFxCTGIExYHbuqUmFW7wsHs1OquzHJsLBKMyNIIaHlFK0NwpKBJ0buIWZc8GhPzCaGjJq1yp129YqJcIjJCUWNUWSlMaKFAIKdyhj2RQRF6fExSs2ZpJx9w4DIGDkScokBUsJBBF6uVRJkae7Obr1ICHwiCR7AAV7hIONCgLmYZoc7qNwj1yWAhiCIzGFu/WkQ6CUktYU7+Yj/0wIwMC9637aLf3Uu6Jw4aKuRGzq7i4Tu2KYAUYVAYQi4qa7WsM1IpDYXFHK6bhAsHaX3HQSNt3MyQN3u1mtd21VCgYx8yc/+cn/9Nf/0Z/+/E9+4cd/6p/+0/9s2s9mel7WUst6XseiBQkZ1Y1z7Z3npHsA1KkmATsvQb11Dy9czGy32z178ex6f41E01zH7p1Ae0dChQB3wgQPaynSrXkEIT97+t4bH/0Ycjm29qy2Y8yLQ0WOZeunU90/CtmRtrjbzBwmnG52WxyZqq5uhCbkiMKTdhcpzGxuHs5MSZglQrVeqUZ+QwAIwNyJqPdep72p52Yos6ZhBgCFi3l/5bVX/40//29+5Stfef7h04jY1vbe++999UtfvX/+4rAjsy5rAxJQ3U9la80AIAw8Ah3UieB6ntD8sJuZoFSZ5sk9Djd7ovLaR9588vor1zdXN7ePIuDDD58+euXxZ3/kR+phZ5HR/OTXjo9qlXnrG0JkNj4hh0amqiycJnG1FoSM1FOjjHQlBGgM0nqQqiNAMeKNJTgA3Gt33d08csL2QectTg9n3kA3C4uOfteP66x35/P9+dw0tm6tO5ap1gkctPfd/up0PiEVgB6egBlMIISqMomaevRQS1cIOIQ5cAxnC4SGe7ggciHANEgWdWWgFBYyNqRNWcjD6m7uZp/94c/8T//e3wug5jrt9g/H46PHj4owA4VrONQi5laqrOrdrEqq4rIui3e11gsKXy7jQKjojOSMIRSEXETB03eLADlHLstSiBExIzDMHh4IFO5URgl7MgjTdTOelJCjWCBQKSUj3EmJgKyugiDiTJ5ezJARFE11qpWIMSClJ2Hp0KQUU6Oh8iFlJSFgcn5MjTiNn4iA2nViZELAAdsPdwK6mFwuj3+42OAhyc2Jg/b0pwAAE3pcsmcBRDjuHXAZ5kZ7ynhKiJtBhIMzChKYKxIDBOL4ogqxgqXMnDtVNS25f08DBLKHaoNaao61tbCbARAzXY4wgADtvdQpvcXpTiEHInQL7xZNEQ2sEbgIr2oxCRCEBQlhekgCCElD3XLsiVHPgOORkRvjcXIzhV3+sJZ3BWQmtyCirBbIs9rNEcnUmUXHygNEOMtshBkBhMXBrXdiSaJlWASahXqEek8jKjO5GTEJkzXlXXWHh4fl+vF8Pt8jhwi5E89Vt9S/lUk8FCG891XtMz/8uS/+wRf/g1/+G7/xG/+l9q5mpQgicEnvD57Op2maioibs3AOfQCoreeMScSq5hg5PJpZ7wq4Xl9dtXWTUsyDkTS89Z6BGGRSsyLFHQU58vaKQYTf+PpX3vroxwMwhD48He9k/4TrbrOZK67dN7UyRez47KXISTebAisSYWXW1oIIMZQ9zAApwFIYH115AFKkoAyZIi2DlhHEYOE0YACOs4Ept1aUOmwPu37lyfcdDsgQHlPdLev5na9844u/9Vv/7b/456d7PVzvdrt6fbNHxuN53dYOowIK88wWpnlXAzz/+KVO17fXH/n4Rz/6sY/fvvY4aT9u0Hr71Pd970c/9nGe63DCE3l4ELhDjindelq2EFAYfRTDAhMhwtZbfr1HONENCCNLqiHQAwbyN2aiasAa0ayUCjsypGVpfiRduj5ddbPddJiYl61HsXeefXje6YZ2dzwBFov+7O5+bZ24FGTVFh7bsglx7i3nsstEZ9saBAjJclpuHt08/+BpqTXSXnHpD0nNmohQUmtBBNARc40iRVXBXWoRQVMj5ogodXKgH/rhP/mrf//Xjqe2v5mE+Hg6v/WRj7gpMsWwa3LvWyllW1sgCokQPpyPBNSWNdRur67u33uG6pyrHQKuHD0CPQjTQwHh3hUiWm+5ma21bMu2tpURpt20rSckgghicss3CIg5AETIzNOFlYkoj0DMEzJJPEDEahoR+clx99zHhrmIqCozA+C2reE+15L8GTMd/BsWM6PLKjer2wkopUPKAhDCuOD7gQAYsosxSaSZk82wZHoZE4ts7ihjkTquR5ZRQ0w/wci/GjCTmQ/0VFZtpVM2UC41FXnYDecssyBQYerewZGQYzxmmQkdlBgjhusG4DuQfXVFSJnsYnQlys1YFnMjk7uau7uPwKk7Ocgk4hLhIIBufV2lFAAMdS5ikSRvEIZMYHl0QMh2wAjkVC8wx5fI35OL5HRu7gioZnWqlAm/QJGipkhEGNnhV7gEBDPnoUyMFkpEqtpdJSRPzUQuQgQDE1IpgojIsK5bRDBgmHEVUEAiCCYo93fH68evllIAh8Eg8wvn8wkJr69vlnaGcACDgLc/9l3/n9/6l9/93d/zmc989nd++zehkXuIsEho00u+2jGYiIAgPLbWOVmAWaeQvwWhe9SpmEcp4u6qTkRmJkLLaSGphCwMbh4MGRAfZ2RkOQJME9/fPdfWaGLDOGr/ELY3y402BgNaO5w22u24HKKZbLE/lKcPz/ZvTKft2N2K1AgnKZHYH8neC2MW4ZoaQymcmy7zrHbID2Rkoio1Fhx+uDQconlILeFmZoQQlbt2Qrw7P1Dg7ur6cz/+I1OR3/vd33nv3W8b6G439d6e3F7Ro1HRJYSAoF09ggFkmstU67z75Hd/6ns//X3Xj24tHAqdj0s9HJj4+vZmN8+Q5rQIIjLVvBsjhJsFRhYlBUQecnnDArCwCPBSKzDN0x4wtqZuYOEQQY4RTh4IAB7iOHWalUWJA/qirGgbSBNaaTqXOUM8bfO2hm3HOD7EsoKddZ2udi/ultb9K1//OhEw2rYcmQQjRMhM27qJsGpjZg9glvwGSeFtXZkZEcyUWZhw2xoLhysTeRgjh/mwted2FAkdGTh3SRBAwtu6TbtDU//sj372V//nf/90PD16/baWend398orT4SwG4JlVSf21qXItqxAyIhbb1hnIi5E59NRz0sxuN7v19NZiFpXQiQngiAGR077OBIGuDBqg946Vzqdz5PUeZqtbebqEFJkO28IyIIAZN/pPScA5wyiJ3RlZIrTJ+0cPCaD4SJHosFLRqZM0ruHo+/miQl1a0iAIEVK2zZM2iIhjCBrlmJSwYRRmzOGu1tIxoEdmJEKIiO4YThAGpw0YHCPATHcA4dAF2O+oPDL0ticEIgphgkhCAk4C1hwMEFFUiaibGVhIUAIy/YNJyZVzQtwunUsf11GM02mJjMxcWSQjIlFVBUBRQrSMBgEoKrRxcA07C+WAYrI8tSMOGUIJrhEEawstYADptXL01E6BKUcKQLQVEdPwmXdHgHfqY8fYb/kKkYAMnE4hPp+NxOiqhEJkwiX5Gn03nJvHgBqaqam3lUB00FP4SYy+g/CzM1LKfNuFpFaJxIkQg9HZiDU0MAIDC7lfNqsey0SERgwTRXDiUAKT2Va20IEtUpCiliYaHr3W+/97f/J3+1u2SCqTRmHDWmSCgDam5qGAZEIi3tM02T5ake2bjIRuYUw1VqzYlNKMVVEurq+luTfhgfGxZ6YMllQSV4KCtO6HE8P94x8Pi9a/H0/P/VlYbVQUqV1i2aAu8B9Wwk67cocFvvdLFJypWCtFQrhsGyGRG7qXU3VwkPVeob13dMeH5ndNpBaPYt5I0g4EFMegQhTcwcP0HAkmg97rGV3faNEvC84lY9+9yc/8+M/9ie+93tCSL0DBEO4boxWKAic3BiAAEst0273A5/5oZ/9t/71z/7Yjxwe3Srgoto15qurm0ePrm5vp90uiNfeZCq53clsxiUckyv3i7kpwk0hAiEYEclzg7ltvZk2NTVlEUJiFhq74xCPqcOh8+7Eu7XSRtHDFlsfNl+dlGNzUiB16B3WB/WHDc9fe/bONkcT21zVTd2A5dmLF1QkHDFgrmWk771zQRYuJIiYZfcBMc21FBFmyGmsFBLuXae5zvO03+/3h/08T2pdeCSp0iBDifQjLLVk6rW3XqfJIL7w0z/1d/5nv/ri/lwPFQHP5/PHPvaRwgyUUSmk/N/M7kEixOxmh3mK3ndT+fa73yLGwtKW1dUEsa1NmLKrBTk8zNCdvNRSaiWi3hQBq1QE3E1zXgwDvdbqHsmmREgXOuVPCxHaeyZ0+eIkTF8yIvp4PiJApNc+u2STODTWCB65P5AibtZ7lyIR0Num2vOBe8kXJ93SLmboFHYwzc0R7uo5YRBRJBw6RroWLzf6uBgDAyBb3fPDhiPqixAAHizJOEoJSJJQ+x35KCBds5A1MjZ8RZSLKwQSKdadibtqOGSMCyEXFVntC9lv5RDCklO59p4/GuHgGuaUlANKzkHuSZ0asbIUtfIAAgdzcwIspWPnWRidMJAvcNe0wCKYmoWFe8aO8kjIr2MqP0Mjx3RslricDWUqTMzCSKhmRWp4tN5abxGAwVPdEbKIIEK+tumiBQIg8NDAcFOEYMDKzNliZVFEShFCKlyE2MO6dUejghohpbjDcmroxESJgBEkcGdA9bZta3hQEBrWUgP8x3/8c7/5W//iT3z3J9/+6J+YasXMUhCZuqoiU+8qIoVLWEDACFQAiZTL5gUy2+sRZgOG4eba+zzPRUradDLHF5ixvs4UiBpgYLkrs942U33/3W95V4A4t+1U4kNoR7DFtlrJT+c4bQUr765dRbQ8Ko/0QaGT9/DwysKEYAqmgsA4vldmI0hoZgDBxAgYGLnjJcQccC4OyhgBRXcSRIL070qRJK8AkAN0V5kKz3NzmG6uH7/5xud+4id+6Ic/10JP67m1xbrqqtZauOUsNe3m1z7yxuf/zE99/2d+6PEbr83XV1hq3e8evfJK2e2ApZsDo0gFhP1hv6xL057bi5HOMw03AqS8RMbl/hhh4BYp7iNCbvygtZ7tMNlFi+4SQAGiuO80n3G3lbqVnc20YZyCFdkIOqFGaAPdQM/q5xWP33x47zmfT9KOvZ237XxaA/Dh4XRamgFFIaPYeiPGaVcdHdAtlCvTKLx1EapVMEBVidHUmIkJWSgostM8XZ5Tneo0MRITV5Faaoq4XMQsANHRuU5Yyk/+zE/9B7/8y1wFBWqpxPTqq68ABAF603wOOUUIbtZbV3VXt3mq59PRrB3vH8BCezuvy+H6Zl0XAKsTEyF6IFiAAoexKZiFuhsiUKEgXFuLADV1C+uuaq03qUVNU1HMh6lk+xVQogEgLo/pxJSnwhNOiAFoZiPhNaQiDIR8bHpWNrq31tydkNZti/BpnkQS9ZOkJki+D3FkphoAiSQILZClZjyNBhEtQTgv6xDjcu8fmKOxzh2JvhxHLg7iSJ0HitRsD07EtIcn8SylP0BUTW53UK41wkcrhaTVX2TkaPO7lyIggHYd1gUE81TxIEsJCJmQGUlSt011CIFFVD0V3vElDx/L9/FjAxdRNQSEbv3uASw2bUk/ypRhjN6MxHoiEWVzQw4f6W8d0QaIPKJoBEwRISFOhMAAIFIxCBEz50XItVQAWNctIFT7CC6Hl1J6yxQCEFPbmlr38G1dVTUbnMPc3VmkWyuTmJqpA2A3jYJQMR8BpczPnj3HEEI2d/eohYWJhAlwmid3U1cQ1KYUtPb149/18T/60h/9zf/oF5s1Yty27Xg8siALhdlunlV7quF5ENZal2WFADNLCv/4uBKJcDrTpQgAErGqImJObNM0FRYmZuRUKcPDVDEAFIioFHnnG98wVesNAE7QX1C7420NDTOB8PuzHVePQrJrd237sF3FlR5tmiZmUe3grlsTJOvNtVvvaemNkZSkDI2jJL0Kh83Z3dwgk5wR4RAeKJAFswDOzEJlqjUIttaYeFkWFlra1gmO68a7eff49vs++9nv+4EfvL699YDee7iXKmU3YZ1ARPaTzPP+5rqjt/Bzb87YwhfthoCFgSgAm/cAWJbzVCsj5Q8GY+CM/JAEAOCIoKfGahaqBhm8DGDiwKC8SkIMSJ46qIth6SgL8jlKFFDQ42qnDuYYREqsIBpkHbg7ric/vt+efUD3/kpZaBtUdkR3/Po337lYiyA3iVmSLKUQl967aoeLB0aEzucTUABArRUQkNjMmYiRkq7j5lkMHj4Kci2xB4W5Su8OQBFY5hmE3/zEJ/76L/3KuenDenryyvVrH3nt6vqgqq33ZAq5eRFh4kzOI2GGIFT7VCt4bOeT9+5dhWA9H/NprFtDt7kKQSiYi6mYiiNySjap9IoIE1UuZoocpci2bUxFuCKhmnZt7saJM0NgYlUdAdJsbQPwscYfE2levtw9LJtpPbMFGY/Nh3EpxUxVtZbKUtQsyaGpK3j4kCUC3BRpPFiTY5DCUj5qKK09ED4mYUihMg8eTJnQX7aqAiEJMyC4fidZiSOXEISsZhHDjTlSvcMrjwBAmRbLREA+5XMLEJlfh0yzI7EQIycyxpwwRIoIIRITt63P0y6HpUHgGKuW8OT2JRc6AiIYhm+dLmL2AHiLRIfKWG6vpl11xHx8MzFi1id5NotZmKoziZMFEQL3rRNzqeN1D3cAMFcCcr+YIB3MlYS3bcv+ilzQESAJodLVYfawWiuXot0joLWNRSDA1JmAuVQpblZ2VS2QUVCAZNpXJEcEVeVspkdCAmYJ6zRdotjNezdgECJ1O6sC4iTl2FdtVqQAQJEKCoBepRaa33n325//wudvbx8/3N8jwDwVJFiXJkUCnLkQc44/gNBV6zR7hBTpXYkwAkgIDMJimqbWYdu2/bzLm8PFu6bq2dqK7mAKACCjXBSAIAis94f7O91akYM3f8D2bF6W6Xa1OG3L1TxP4bE6XE+NdkKnfnqYb+YuHR3VFCcyVWFOMImrMlUIaN6q1Cq16QYAgd7NwbFK7WHgkdfUTDZQmIMHoJCktbm1BkjqXdVYpO7n87qWOp/OqzsYoFEq2l3NP/XpHyhc3v36V9fjsa997U5hwBDIXeP+YXnn2+8/6v4q17qfZZ7iUlvI6PM8p1McEOfd1LUVqWDg4Qz8Mitk4YNASxcKWLo/km8KAEQAruBIHt0JqApb29CBHUVZGvCGrORusQVGgCsFoHp/WP2sYsGkrT2c7fQc7t/nu3ZrZ/LW29pa664aSPKtd74lTB2CK5MVDEldGACpsEjFcDNTTVhLHA5XGNC8pbgZAMTkZq5ZBKaExMymmR2xDInhSHmjVN5am+VqU//IJz7+q3/v14hLPdCTJ9dVqLWVkLP9BgDNM+Rp27YBUpHSus67fbit51Nlbl21WzQDC0ZkxGU7AwMDCDJEIpHNsDfsCt7VLUy7CkEp0lpjovX8YK0zQzedSgkzRlA3Ysyehu4r5hMV0EGJaHBscFQQ5V02MDwMkdJ4iYQeCiap0gChd0ePHM1rnbs2yycscsIQIkZRMCQuJPmAENo3kQoO4ZoHoRSBCOuGAMSQFiYcmalLlRKN+39kCJnJIZMQQIAW2ehApooQAW7+svp4rNbyLo0RTMUsIoLGDJ6PV3d165vm2cKcWliaqACSkZRNY6oRrl1VNWd0QnQL8EgUGidiYRRO4GVuQELK/YOIXDw57t3S99oV7Nmpnd0VUJ0damInCNLSNAwciABurnlK5wW/bRsGhHlqQIRj2oCxCgHV7AYgFp7nqbUOKX67FZG1rW7ee9u21byl22E4VhEyh+wQ3d0BLLyrLuvaVVtrETZNRYRYOF99AhRC8CAGtcZIwvLBu08LT3lhEabdNCFE5SLAQgzm4L7bz0yi6q+89nrT/uL5i1/8pV8x7A62blvvfZqnAM/Mds6tvStlk2K4m0NAKZLzrLtDBBIChZvv5h2z1DIh0rqupUhA5Jurlm3dUEoFFOGS25G2rCxo1t755tc4WXsIW/Sn7WEtsELvfSvuenffjwvjxHViKv3YxbCdNmHRTVOnXJYlHAhRhMK8iOCFIA1phgs00249MpAZ0Jtu2pb1nFBoQqoiXbfWN2aeajVzEdm21ntXNTNblrO71SJXV4cyTc3cEUP41bfefPL661yrIfSIpfcOphg8S3db2gZC96eTmm1b710dfJ4rs3hEt84iUmXtzcNaa3lVpAsOBSJrbcxCzUwz2g+QwkgQOkFQ9PBuvau6m7WG7gI8kVTkoiiKYsRG3g2SPg/EQqBB7jMTYT9vp3s7P+z6i52tV7SJ91A3R2ZVd6DT2p7dncyBiLW7muWcXniCyI01joRmKVOpCKDal21JTYCRUmUeAzeRsKRTvpZCRCwFgbkULgUCdVPrOvHUtH3605/++3//19TieD7upt3N1XVhxkASyCcJIAQSMqdhj5AiYJpnU3UPlskBH+4e2tIKiXcDh7Zs+92u1CK1mse2qqqTMDABUzNFwjzb0v/Dwm7qOrJdzKSt50OplIKE3TQ1iHzc5y0eELKhNlPaIyo1WJoA2Qh50SPyKp0O80Qh5O9FRFOdxqAQYzjILMuQcADCfJwBYwKBlNYRoogUYkEiKgCEgYgSiG4+cqpDERnS9PALpeSdTezIMBZpMKoSk76TslV4bmdHbIuAJdspJRf4AWARxpf81fg9hks1MThA+WQtDDDuuYhYS3XzsMg+0IsxZzS05djCuXxiSoEF4kKvTu50ODi4BiNynamQVCEIitBNMSAfx4QZX8/uB0DAPH5YSIqUWqUyC192AZF+28tv5Hka1Sq9d+3dVCljlIFmVlgAIrcaAJjCYkSirjGxIV0TgdWAxnsMFA6+2+9cTVunQV6LUJMUU7Rj5LZflvM5Vx3CDE7u0bctxSjrbZ4qIXsEghCU/Xz42Fuf+OLv/e5f+Ll/42p3LVWCEYS7GQAjk1rPDoBSmAjnOjGih3bt+fAvVcLT1c2INE1T161bM9Oc9tR1mqcUCmot27Y4dGTYukZuCMxZqEcHia9/68u9dQLwrSnYN0/PHsRhLm1ZYel7D7g7creQYsZ20skLK0dP+HiYOQKotoufJwC8a3PvBMzEvW/mCghqSkK9d/dAihygIoyDECILSjEwArppADU1EunmXOrSGpVKIqXuzMMd9vvb29tX6rR78tqrb3387Uevvh7CRqABBkylGpALBSEVRMHzedla62YBuPaePbe1zl3DnKiUZkFc0ornARDkg+QAERSDvhjq7uCBJFJ7QINYQx0DAJi4cmFABiB3AkAF7kSNoKE1MPMgMEAuVQ1b79Y7gDl73OD2CJ/vthd1W9iXrW9LO58XcACUdYMPnh9XI+QZkCFIWMAdErftnpp+3sQAAYmkSBEpXEWEhYFyURFJs7vw+yDLzSPcLDs6c3nkwIOa+fO/8PP/8T/8h2997E1Ae+XRk1cfPfbWUB0jwiIczMdpZO6qbgHI5GHrugCAmQHj0tq6tjBHh8o1+fjM4WbCTChVSiERJuEqUpkLYfZGMyGCm/WNxKUgleAyHtaIqdobeK4eEYACwhzcQ1jcHC7+TkLEIPALrgNpKOSITCws+W1ilHzMeKJG0S3M1IRzUBmQ7cv1IFKTAYKALN2O3ru7hZv2jkhtbRhQhU3dDUxVhGE4d3I7DONON9xl6BpDS4chgqVUlRGocHjpvskzYJxnNPhF4XGRUyNMjZgAkZCJKAZAxl8C1Mw8bwSqGh611vSbI4KbFynMCX3LMwZCIyUwROSRQQO73CzGSQaeY46qIWEtLAF2dx/hXAXT45hg0/xTjUc7BLzccSMCllqya0zVUsh7uShHRDNNOxAXclPtXbV5GDEFxDSXdGqrdhERJrdOGK1tbpp6MQsxMyAgBosgsru13lhoMB4BwbwIM6I2zQ8uAYAZE0yVt/MGEbXMH3zwtEpV1VIKIRXhuZaJRYgqD5uDmgkJBl1f35apblv783/x59a+ShFVK1N1cLXhTVJVNyNGdbNwJBKhbG9O5lIyyO6ev3Czqe4e3z5JwEud6qhxxiwI1HSGmHYA7E21GxMykSDOu/ri4cOH+xfWDVSX8xo35QM9naIbgeoGZtPW4HzGmLhcV9nZg7KyrcDI4BiIDqgWrfUwu6AKwVxbX82VcgJwcwgz5WQmIWomupk3bdlMAoAklNdZRw+Epn3r7eHh3tS0Wdv66fiwnBc13drarM/zjqRc3Vy/+tZrr7z+2vXt7Stvvvro1Uc3rzx6/aNvvf2pt1959YluFu51NxFj5nFLKUmY6Wpr35a+rtsaGIamw6Fqm22DDhajJ2SAYIjUrenWU6XIADC4g6lrcr6sd4jgIAZmIAHCgAgH4hRsELhOhzLtuEqU6KTHWJ7b8R7WlXRxCwjtRlKWtXeFut9/6atfExFzdIOXASDVDgC53U1tUFjSrE5E5mGW5ACspZoZETERMmbgIemS+VUcTSdIbt5aZyko8vmf/MIv/crfen53vHt4+OR3feJTn/x4eGckJirM6KBdEQmJkaRrZxEmHqrUWNHh2raH45EAq8i2bNGNIkTIzcHAmoKHsHRVa+7ddYvt3LQrX4aJuU79vJ0fTg8Pd9qabh0Jicm6eh/AqXwiZQ0ARuZ5kMb/SW7q5jkWJLQYXkZqEQDyk+sQkdkgiCDKM4EucFVIW5d1TcdKPn/HPADfwS2bKlziZynK504IKdQ1Lz7uHpgXnfT1D7MOIhJTHiSWzC8cHiHLOHqqIETulvBnYXG38BF6MPX8xFJuPXNlxCwAmLmhfEUiEk6e7OAwNQq0pmlpch+1Z+nmCY+w/McHHNRhwHy6NsdhhMoRIDcSNFaAkBb+cOPriQS2pjnscElSz1i1Db+4mYVruGRJi/m6bdu2Zcw6iezhoarbtuazhoiyWrO3bmqlCgu4qWrzULPOTJklIaZSpNZydbWvtUxlCod1W827eY8IGx5iRERmmWpFiFK4CJeS1xMiZMYQhvSqc2FzZ+Tji/uJZ20KGhDIhQI6URQmN7NuACAk2oOlcK27/aP/9re++Iu//MvhlEv1bVmEREgQUbsRs1lAZDT8chkYZ3Yi88jc5nnHXFprz1/csQiLIBEiTbvZHaUU90BCElRrgEEiiEJcLFDdgwzI33nnGxgwibRtO4I9o+1BohVUU9garBucNj+D0EE37qcQEw7OALh5ACQvmil7LiPIgQIIAy2mMrkbOgixRyqYFuObhhFu1iGwlppXFzNX99a6uUHgtvSwcLXwLoRFeFeLMCHzua3NfXNftKPUJ2++8ei1V6fD7pXXX3/19ddvX3n82muv7/f7eZ6urg6q+vJEUTNk3loPZAgCZwfpFg4UwETVAREZgQA4f6R8uKQ5DcIB0cLUfe2bXXLOCJE926HBMVozMTAMwQABPdAd3RCcwZBIoJBXWKm3Yr1oZzfGHrE0XVp3oGA8rduytG+/824RIUJkFqk08jlEkkviyNSCuWLiQFtT7YHQemutp6Q28O0WOPaKY69NjsKS9DQHQGZAfPvtt//eP/gHz56/4Alub64Ou915PRFaIsEzMS3MZt67tk2neb+27eJQRxHuvYUDI58ejtq7bp0CwgzUQU2YqlBlroXn3XTYH2DsX7nUGaUAsLkBuntvbXnx9Hmo6dbaup7vj9t5tURGJpb5gkkgSv8LmBkBFhYhxozYuEFEYtOSWAkBpoYEXMYpP+ywTIARGACkERZh6q7fIecQcz6Ks3+JiDjtpB7MwsTCJTO2krzSHlW4TFKkJJYGLzjPcVDExRF3GeMiNd5EMSdyLt2e4dkAg4A+pPBAzkoyZ0mcGwoJIUbvGgrEwIDgkCIVALCIueUsIyIi0nvzIOtjlkyZSb1j4/QVpJUKEcN9TFQ4khTE5DZaa7NkhgIioBbGZoa+AejawBG5OFCkLpXA51Thc2kOQ/xytySd5Wlmea+/VI1kBRghueVRCUQcDt2gn9N2S9E9Z7laC2YTZfi2NkCwUDeoUyBg21qdmYXX1QqWUgsA9aaqXQofrnZt3bQ1QNBs/nMU5qmUlaJBEIOq7qZqzfqqh3kfAYWlk2Z61MxEIMyCkIlqLW6uqo9feeWrL5737n/jb/7S//HX/3enu6OQ4EU9TLRhMKzrMk87gOiqDBzhzAhBqurhtVQmXtdlmqfzsorIGCCErSsxSSkdWgSO960HIzuhqqZNq2urdff1b3z5e77rB5gOQvLi/u7RdXkBy+O6r92mbSuFt/MKZc8yEd0EdPDNtbsbVQIP1c45XOImQmBq5kG8aitlioCu3cM5BIhzcPbwPJnUNAhNzdGAMLvPmioR3x8fiDjcwn0q5XxcLdzUCvJpW5nKdLg6PX+x3+1Ybyiw1vro9hEQUpHD7fU870udS5Gual25TgRYqRjFtm1IDERdWxFZ+1ZFTH2jjuBTLQjARGFubsQMg0OYt6b0fuv4jkZEqJsnP9q6UrbBILi7twgnH89nDEwiLYQ6AVLAFhbFz6QL6wKe3iAP03wBTY+bYinvvv/B1hsCO3mtNR96Tpbud6DwtKITpFti8I09csYigK498v6UT5y0hRHlMJ2lGprCmAgSIsvf+MVf7gp3D8fXX331rTdff3g49XVLhk/qTAio4JbpIlPVmOb9tpzDgxjQMbqh4LOn71fh7WGRi1wBkTlqdIRwb60jsnUjKRnhT08nCeWW08xKYbWMjOK6bYSIEYgQqYznQOSet+iLYO54Ae5npQ8CBmAkbxkhMNwRAD0cnblwVyV0AAR3uFjCEgeU7Ae8NACnkP7SqZGmmsw/iaB1H4KzmWQ3fWCGrrybmgNRWBCC+SUfeXn0RTgRBY6aFzUDH8cagIcD4ogpJHDLzUUKIkUYWHIXMQApOzeIMDsqPQyJeteUWpDQbZjK3Dxb14uUgJBSmXlMT8iI6BAkmLd74mwbz2syCOeo4Uz88o+QYk54EAAYuBtWkcMei5framCptCKPbxAzYa4diNxDiHMg7VsPCyRy8966DuJ0MCJH5u0boIEnZMrNumsHVQTLWJr2vm1ba5uZJsCycEngarh6aN77e1fwsN4xoK2rq/V1S+J/qE9lMjdmdjVGQIyufVlOODYouHbd726+9a1vX03XCDw6jYWIaKrVvIkIDl4YMNFcp0nku95++7d//zf/1t/+xVAqUgKg6QaXVKKZBngplMNjLYIAhKCmvXdEFC65sRcpvfdaJkdHpKyL4VKEJS9iCKDuDsgTd9sIc7XFwhIRam1tD996/6tYWMHc7enx+YdxXvbswrqeyJZqm90d7cHCDm473XwSmTiEHM0FhwZB6e8CystoQSZAN9vJVKUIl5GndQhwYoosJnI09GwEtMC1taVtx+VISEUEIpiAwISwIOwKU+jNYVcrudnucFXqXObdo1cevfmRN9/8yJtvvvXG66+9fnN9s5t31/vDxLyrU+XCiNu6nk731jsEqPa2dSBBlgBUCwNaW9+adQ0AdsgKa84YTUSM3ohUO2GoKBQE5uGamF+HcHMEcg9CDkswDSCI0MTObIyGbBBbA1P1ft/OL/R8Bl3R1kuWHgLCwjXWrlTrN775DkqB8ZhWNzXvEYru7prZUb9Y3SNCzS4iZm5C00WRA3qm0yAjrADIA5icy0kIQpl3P/fzP//2n/jk/mZ+7cmjWsr5fALQUkiE3KM39XBgCsY67brHqj0pXrUUZhYplUspYr3bebNlA9WCxIF9beaGI+oUufMP87QsIiChJMc/5dl8MQCAEFW7qe5qmWtFGIUHuajPlVKmlFLcSrhkNh+klOQRcVmfRpLULo71vH3nU2g49YdPBBMFePG6f6dcK+UjGhar3COPSC8MJz9AhKuRgxCEAyBbGDGmEXVoPxnZzTqovD0EpJc4M7ljVskWgYsfdPyoli6sdPEI0OAIAcRwCZkpQKSBIeVCVRsWeCJAKFKYBQH3u106uvITLCLj5HBztQhAALPsmbLWmmXqFzyDqYlLHT0xwi/PtDyWTQ3WziTaPdNkagPQSkxpTojRR69du5lFZBqAh5ooDBCJUFbt6tZ7JyAhER51DXl/VjVXf0mnzp98N+9S1Dqvp9Y2FmKWlJ1oJMuYKHuvcaoVEWqprXcH3x9229YIqW+trY0caqFpLrWUIiUIummpZVla7w4R4TbPu2yPvbR34VRL9sslDbyylFqI8O7Zi7/7d/92kElhJlYzIhS5sI9imPqRMBWSTE64u2rPkOe6LIiopm5eaiGmbupuBLSc13m3IyYihiAAB7g4HMLVhq8p0L/9wddP52MzD4IF+vv+8CGfz0WVAKyjbcU6Lo1jqnQDje3cMaCvW2Eh5Na1J3zAzLynP7irAlIgdO0AmLZgMwvwCNRkMxGSMCK11hCptW7uGMAo1m3btgiP8G3bpNBUBQkkER0O+3maJiEGEi7TvL++2e0PN7c3Vzc3xBMmS56lznXdViIEjyqlSgl1sCgsy/Fs3Xrv7t7WnjGcZV23vplprVXNtfcIj9H74qqaZ7O5mnfIVFGAuQEEMedSZIR90vXt4EGqOIGU7qIhGMju1BfvD7H6gY/cG0cQNe1ta701c9u2BkTnbl97532uE3JNn3em1pkYeRQiBQShEFKthUlSJ4zLtczcUloxTRvHS5tZzyt2qUWbbdtmHmH0kbc+/uf+9X/zdFzcEiaAhUthQYC2bQmMCyRLQxMCMU/TjqSk2QY8GBkAXPXpBx9GVzSfy7Qcz21Z9/NURRDQuk61MlNrWz4980sNDEjInMsFQoxaS0BA5l1GRK8j4m6akqzZWgsApmF0yfXpy9u6Wo5KmLpQvHRPpjyOSMRq1rVfoANj9Zpqe8ZxEUFKCYBIl/m4p1FctsEX1+LFRzNgDOjm4QYO6BAOTBfoEIHZpZ3GPT9eqQbnQJZoiuzzYGYc1Y3DNZM8M0RkYeEUB0epuTDT2IlHzPNUSilSmSlr0PJlzUBEnjPZEEAieRya5kYkvYaAuegYK1nKNhwpUni89FmnYJp6HOVrkcSinAKCAk1h3drS3ZQw0dice293Nw/VS4YeOe2kuUYOdO25XXAmym6ifM2Jki6prTckCvfeOjOyoLuFuqthhFuHgdlIlZAQsW1d1XIL5u7EFAiqtm3NuiMzc67u0CGa6TxPSYzYTRXBIZQ5zudjnUo6rhxgqvP9/X0tlQjclNNOhTiVKd9gYTRTNzc1KbLfzTf7m69//au/8O/8wptvfAQpetfCklgOYUKC7l2tQa6g4sL/I9Suo1QZcd7tiOhwmMEjAkxtKlOKexFGJCJFWLgwExB5twVQGWE3TZhs1Kvp/afvfO2rX65lBqVt8+fW3tNFb+ZWQFuH3tG2OG9+r9xkBwdUIS8M3Jdt2zaLICpEvLQVEUuVrr1IdQ83Z8TCBAHaW5iljpef3/BARI9gKVvvjtH61no31wintKmpgTshau95cFXhuZRKLEHkeNjvap2klP3VYX+4urq+urm5vr29kXmaDwcp0+FwHeoEkF2TwoSBCVbK3XgAlKmMFFuEq7vHtjWWQiKAnFcbS73Bwd0paAomVwBP+TgjOg3MKEKwu+X3OcwxEAzj3FhNwgBVbTnHstS+TH0p7YT6oNuidl63tTcWFpHu7uHP7u+fH4+A4K5SZCpCEAQRZggoVCihOW4lQ4iBGGHaM6I/PjNIAYGU+wtkZgRkFA8wswCAArwvh6urw83+r//Nv3642b/25iuq/ebR9XyYNXrTrmbEFI4O0cO23jfrq/Wm3cKJsG2bkJRStm2FCGtqraN79E4RV/M8iawPJ+7B6hzjoTHVaUDWGLkMRUvNlmVBokBQszRhwwCNu4dFaFo2EbGUkkedu5lZeJhphsAoQ7iI+Q/k3DkeTZB9Xh4eU6m7OjOBqzLSVCbM7JgZjBMhTDU80huSMTp35+x0Gtr0MLSY23DEpmQWHqYIRuiIEW4ZfBXh4WcaVwWLjLtG/gq53E5xK+l4kd+IuNTPRUD2PgFia9voIs1MfhYsmbuqhjsxIIaIAKKqj3EFUHhIAa7mAUQ8XFA5w0YQITG9XAvnKd3atvUW4QkBNrN0NeURkr92vovJS0JAKEWm+p0XHyBnnLhMN5EtJpkhTW3KLQ/koYznAy8IY6RkM0ZGSEnKFmL3yKhR3gI8PG+d29pESs4luUvIWQkps3IBAd1UapEidZp2h/00zyIyTZOb96aAGA5CDG7TVHVrhfmcxSNd0XFXD99+99387kEAI2FEKdJNATC6aetzLRAmTIwUao8ePTov6/vvv/e//l/9b8xVhNZtXdfGpTTTvKVM83RejkFBhOPbW5AYPSJlMWbati0JX9r7VKdSpJQizE8eP4HsudTerec5msopXYhXuUWIiG9888vL6eTmXMpxW1/00x21NvN5O1vbiAx0sfMqynvaYxPfMDTlEALA3nvvnZibuTsySVdTV3UnEu2jjSDfS2FuvQFEKdNxWbv6adm2nh9V7KrbeQ0PTrK3yGG/L1wOu8PV4Xo3H+Y6V5aCUqgIylz3+/3V/uq67A7Albgy1anuDlfXV7ePSq1XN4cqdNjN4I5qE1Nu9adS3MI2tW5h3rbmDmEAyOvW1MzM2tbzWMVwBkJHRAqg3rv3jo7ghMTugSxqbuEG5g5qioyDcOWOoQgG0bQvazvd+emOl+O0nWtfpTtqmIa7eta2xdbVAbBOv/v7fzjt5w6wdQXErg6E4yYDGX0vedfJRwExw7gjwTBljSdY+sQRU3+58B4BcVubqRHyurY/86/92e/5/u9lnramu/0UCLk251rTBGUAxFJYIoBJMPvvIiKglmKupiYiEf7s2VPtPds0wdyb6tb28wwaaMCIFOG9j9sSAAsjEni8XKfniGipFgCER7Zi4Uuph2Q8CAmzKDiPcx4tliNhlaCyVMxHjXhEhtWFRZgh0sqIwpJPubHbRxx9W/Ty5IiLkjZ2zswyFKF8/HmMZ7Z7b12IyrC3cwRhOBKpe0bDXoqK+fOkWpOp7PGeeW6eBltluAwifES4kn/sqpYJBETo3dwjhyERLhGXE9Hds3gt3C9SQM7d7k7MaRlGRGbqpuqaPuhUrFJ7ifCsw+69rdtirumFYkrUEsKlG4CGzufjuu5g6tNU0Z0JiQafILEwSfP3UWJ+MTXlGRWYO94xaFOkIXccYY7CLFIgohQhIBbOrXIeJ4AQ6oiXGYXZ1Od5VtV8+8xGHU0t89aUSz0ez33roaBdc76WWgGACcNtO6+gVqmAGbjXWltvWzNCbqfu3THA3PILrWGehUMQhYUJpsKMHr0TwPX11ZtvvPWNb3/97e96+9/++b/S+rbb77mwu9dahcnVVTVz8CKcOy1TH1EGDDdvbRORHMXcrVTZ1q1rb61N87StKwulFTwCWtdSKxE6RGsNER2MEJjo4eHuW9/6Ghfpamb+/PTw1HSdK9RiW8O+1ejUN33Y4BiHvscT69FMg5g8QrVnfZ4F9ks76dabg6eNVU0BkaQAUjed570GrNotfGlbN1XrW1uZmABd1bX1bQWIWioEExWSymWq81zq7rC/qlJvbq73+0Pqd0wiMoEzk9ze3B72BwEC87nOgryfdgxYhSmZ7KbuWgsXpKmwAFCENw0N1Tidt65+PK8PxxMiUEI9PTi7f81IrSAxoJuadu0KQGvfPAJZutqABRV2jCAPasDb6ucHPT7A8Q5Pz2W5n9pR1pNvBuaqBNjXVZsC8vG8nrbekE6tffmrX5vn2Xrb73YpD7et27Dea/qohEmIk2eAEEUqZe/PcI5d9pcAabbLsQAoShEfqBlys+/6nrf/h//+v48B17czEZjp1lZPMYUpAtSHcG9mg2Rm5uoIYNaLCEEi2Gg5L2CAGrp1DNja1rRZ17Y0QvTQSQoDzbJjYFMVpgGQMH+ZtxpZqxiqC0Ck4QcDCcmGFj8aeCxrvwbTMyOzw5ec5Ui5WXT38EgHjnuGXq2bpqP/Yr4EszFtj9LJGMvmfGpdLpIprwENV/8QjdIzhgBCucAKRojAsPCmEZ5coguwOh906JeK3LRIJLQ/FaFcODEOaSc14XzWWFhm/gfWIoCJAoJMLf0E+RqxyOV1GV05aTHN63XaAzJ/KyK994s4FWMDQ+QjqzAwn+bGwuk9QmZzTQYDjuM5eRAjcAbhoD3IpKJDOEI3Qxrhmtyb8EXSQkDJEDAxDnlehCR/GbP8mdAdCJiYI+U+5MwNurn1bIpHN9NuSECM7mARIsLMgNm36SI872YkMgskqtO02+3SMNBVqcimzdxwVJwTWDDRVIpunYLmede112lSN8R49fErX/7jL13tr4kEAqdpIiKShBml+MAj/Gc2l9qOa5EyT7t33n3nP/6H/8lHP/o2MKQR28yImUtJOS5fzzSYhcNLwwwRDnmHqbcmIi+ePyei9bww8cP9PTPXOs1zlVIAaL87bGvjUvILpmoIlIswi+2Pv/S7p+MxnCmiQfvKw3tPqfeJ3COOnVtwVzGwk8SJ4OgzTm3T1tS7pVFWu/fmXX3tzcKk1GFLIGhdI9y8d7fuftrWbta2Fl35/8vUn8Xa1qXnedjXjTHmXGvtvU/791X1FymSkiWqSEO2IokKRIpWF4kiIyWxHSUXgQ3EsaTESG4sGwEcxLJkIUggAwKCXAfOhWE5cZQQiQRLlNWQEtWwq2JVkdX+/Tlnn73XWnPOMcbX5GKsXUpdFKou6q9z9lp7zjG+732fh8Cahmpft75t3iyntJ/37CiBCaigiBO00LVH81DnwOur6920e/z48fX1oySFUcpUrq6vd7u9Rwjyfppv5sPNfLhK8+Or652kHacMtJNMamzo3SGitbZttbdeShnAD1U3hyDaajudt9qN+LISE2EiJofRhBKSrgoBxDK+fuY6ELwO7hjN6xbrEqea6pLrSdaj1GPe9Co20U4GjGaKAdFsWzYIWtdGUtS5OX3la9/MObfa9rvdw4kNHm6u6A4kHBGmcdmZj8v3yLoj5pTHstfdmOmhczPOWD6WFKM8nHNGgH/z3/gfP3r0KBC02/5qHwjTbkaErtbdAoeqJXkgAQrLgHQiBCMlTqZmrpyp9vrq9nbwDcfkXXsXpsNhJ4SZ07NHz0IdgY6nu1IkiXiMztVYJQEMCxihmbLwqGIJMowgPcYFeHOZs17Ykeb+4GO5EArgYUY/pvNEZGMdDoCXfwoLc2JJlC51MCB6eGCOPeVwb+DDOuCy7YRLKv7Srx5E5BG9iksCcHRfIsxMRyIYrA+Y3CiHjOXvCFKOT5dZTPvlhQIB4zVmRoiA0bUBhLmZWuKUOIkkYXbT8ah8GGo5xSBGuT1svQMc7LIKFnwwzSPBuCkM4RREhF1CA/RAp0PAh+UJMHNKzMI0rIPEl+EsIDw8p8aw5iKnFwpADwNwdAgPIBr/P4NkOWZZF2x8ADi42yjI+ciLXK5BAQEynnb4gN0a3+Cx2CLs7mrh7iyUSzFzDEQgN4BAYc6SeldAdDP3mKZcpuIGpq7mu+urx8+fSEnTNCFx2c88JSTKKc+7SXsHjfW0CHPOKfNY6mq4elfvphq76dC2ps1aawGhbl1NJAWGgbtb7z3MCWAuk9Z+ddhfXx1Knl/d3n7y6cf/yX/6HwdazjnlLEkeZjbj3oNuIxuGiDRiCRDAwg5hOnou2LZtv5u79ifPnvTeRAQQ7o/35uHuvXePAPreTpgQUUQASU1T4eP55Ve//muSERGOdXvZ6kmo7/eeC5jHUm3b0DpFzj7lJVJ3wTHK97qty7KOygITTzLtyi7CNPqm26ibB6IbbFt1iBjT0N69a3QFV1APtVBF90TCIEIpQ4pN+3Gx86qn1U9bf31qL1+318d+POl5o+4SfH24vjrckLM1Z5BD3k2U9mm6ztOVJNpavz3iufGqkxNvhkuXptw6qROi5KQQ3WxdV9POFILgfWQX+jZ44o5IbKNJju5gXfuYAiMiePTatXYcnPMIZgqOyNSybztd5naa1nXft0NfUt1irboFj3gx9oHKMWlbAHLvsVUN4q/9xjcllQDo3VttRDRKCeDBwARk5jqWwEzDejo8NON5MspNHuMgeCHaIQAPBkvEhV4Ppk1/6Id+6A/+wZ9o2/nRkyuEEKGS83hbmKM7BFOS0lRr72pOmJgEFPqmporgSODgQVG1rdsKYG1dGMjVWBDImi2S0bTXrTbzrkaJAXHwq9XNARyJ0wR0MQBSgJuO6U0AjFCVRji64Qj2A122vqPZhv4gkR23QgBovblf4ioDYfAvjJ9IA8s5wosoGBgXInkMQFsQEYx0qY2fdx9ToAFCG3tEf5ipjShK4EX45TZULogAiPFAa37IEcH3MBCXvqGZ4kNWesR5L39OQiLOuRDgQOq6m4eNtTUSelzO+iOVKoQ4OJHLeSEkSYiMPlKVcUlsEAsgjbnSg5MDAGFsNuDy38FjBKJpQPMicIg6zdytIWd4uBX6wztw/MWQMCwcoTNBJg9EEEIBBxnfwZGEAgAayU0bb9bW+3BeO4SH9trzYIuDEyDIENuhXeahQN9rS1zwRBgAOZcwA0JG5kS19pE8hSAULizH+/P+6qDNnr357PVxmfJkZse7Y+K8bGd3xwDr5mbEHKS7eXq13M18o6pTyd3Veispnes5T5O59apX8+MPPvz4+eeer74JyuEw1bqllCN8mCNMnYS1d0bW5g6RZfr0+OJ4vPvSD//oT/+JP/Vf/d//CwzetlYkp5S2bWPmdVnnMgFCbS1JclcAAndzTyKqlrMQca+9bs1cS06EdD6f53nvEdM86YDqqPGILgCMXwm1sUZj9Zrm6Te/82vvfe5zzx49crWe2sfLy2f7t2QncVr3gL56NDJhJyk89XWbD+nYKlDM02SbuTpBWuuK+6SXESznMpk7MZm6h5aUzLzWui1r7wruRORmJGVC8aYlZd9q7VUSrktbT8tye0KEAAcnrw0hUs6HR1fTfnf99BEIU0qSUtnnlGSXCyPcvXh5/Oz1V7/zndcvPzufzm7dw5EoT1OaZuB08/Rx3u14ynMmJLLaKTwT9956i7BAQUJKiVtTdEhMWYBxyLQNHgSwBKRqQU6XRXAgCIBZD0e26MDm4Q3Asimpk1sfV9Pu4OpGxL17NUfmuiwgaVlWkvTt7366LJ3zhCjD75ezxPjURiqeaMS7CdAfxOgRwAiScjdTVzcnQkk8No3uRo5IKEPXDAAajPm0rv/G/+TPuDohhwYRulqEN3dOWShsTEvYidnG/Ud7mBNxThkZIKz1hkzLsrx8+ZKI2nmbUulLzyLhHm6EQQy6dTEej0AWCaJt3dQcsxAJRUklM1MYjtg6hkOAgXZtI2sMFj4SlsTgHo4sBICODzBKhsG2SPywgwxQ04HPHKVnuAyOFJ04s1tHJ5QxSHC7bLyDkwzW0oUQe5mgPJB8woec/GFFOerIl14vE5HhQ9aTXQEdHKgPiSOihl2S7h4WzglhYH3NIaKbmhoyuRsEJRIC0iHxBAqIi7ykpABAh8v+NCDChYjDvfdWShljE8QLPIiGcwcAKQCj9/4Qub2M+8bflxh7sxHOvezQ6cLSIaZL3B/BvJdSLMzcCSDCPBAf5hQQ7qE+kB1hA0cxplTDgDZGhjEs1MiAoRcYU1wGlcicKAmvW7XoSAOV55fOByLAxV+BQB7OLESkBqoWpiQMAKP+zpLYQxJLluPdaZrn+XoC6o+fP+kGrda7168JWXZ5t98jUWt9KokySUl6XLV3IQQDsEjAAm6u4V6m5O6teWLYz1cffPqNz73/+bWtlNG6AaKaYpC7ieAIF44pJAQ6xNXN1Vv+5vF0+uDD7/yH/+F/8Otf/vJXvvoVidS7B+iYPCChQyBiEkEEQOq9Z0kx+nlIGBhqA2icJK3rOvgLW9tqbWWakHhc82ttECqJaJTewQGQiaspYo/wX/6VX/i9v+cPRPix3n6K/Prwxs1+mrT303F3hdvdK8IJ09QrR0/1uOEBgnyti0DKIha6KwUjhosjcNz1bEQ/kWJZlghuW2trQ0Dr3q2FWiS92R/C0Y/rene8f3lr3tvaMVCbjeGmK2TB7byK8KsPPy67+fDoZndz9ey9N/O0y3PRtd/eHu9ffPqtX//a/as7cmitIgIjtm2L8MoLMATQy+9+2EMPj24ev/18urmSJJQmAKDQiGitUU7MEph7b1arJKL9RMhAPIJ9zDwGKhAYFuBYSgL1xCwB2A0wggITIOHWNkfv0SggvI8q0riRn5bz1vrxdEJmKWmzsGDi3T/71X/AMo/5BiKw5NYuPChEMHcmqr0BACqmJEED5ghuwJxiKP8o1D2xuMUI8UXAePy5BwoOkP33/5Yv/u7/zu+utUrhrTd0yHNZljVPhUS6KRCHae3qbtM0J0lhY8aC4YYBHsDMVduybHXZEgICbOuWSVybri0nTimBhSTu1rbQMk1t7dY2QszztGrv3XhK07QbiGYchg/mCAtT4vF0Q4vA4cjFMXGAAByP5vE0QLxcd8YZlpkDwc0HnsDNkHkcGcON6BI1N/BR5IyIkMtGeWDYL9MzJCK5SJZg7M9ocAoesioRbjDq94NJ/DB3u8zCtZnp5bDv4TCIs6PLABExVrkxjOuBxEJCoIgATRsjqdqA9wCghT8IidEjhMl0ZNJCtHcWoovch1jILcCHk/5S3x1b1t1u17W7mQg7hPtFLzWcImMTgkDuNiYGXZURObH2PnYpZgqS4eJuBhEGHTMhjwgMBHPuRhCcaKBFe+2eMQKCAIkIwsFdjRwZ2R6GQkSUc1mW9bLGIoFRYlMn4rEcGmSMS6YzfEplDHmSiOMF8ElC7raua5mmrbbrPO32V4DuFr3rxx99vG09NErJCHg6npGr5CJlFnYNdwoNQ4FEsi3ncjgUBNoaBCz1HDsBpvO2Ha73AO16f7Mcl91uV7WLsLA4RG0tY0pM63rOedq2NWcmQnBoWy95uj8eXx/v969e/kf/u//4z/xP/3UKcwI1SyIAUWsjxCQ551xrg4DdbqdVS8lDXYCGKae61t1ut6yLCA8kXFN/+vTJ6Xiepul7Mz0YeCXzVJIaWO/AkQpra4mnz24/+NZ3fvP9L/7AFv1Ep0/bq0fzjWQHAbY2lWk9dpZ8eP7UQLHVwtxsS/MkkcyDE9W2pkLYWHJu2syBkAew1bQxkEfo2qz2tvaxfdpOS2LSV6fldFru7l0VFVrd1rUGYK/qEaAQiszBiIerGQC86unlPc/59acv9tfXh8dXL1/evn718u7jT8XDm+3mEpsDhSOCQpg7+8ga2toR8PjJq/PtPc9yuLne3dxMu93u0eG0rmnKtjacoIdNU9nqtq7h7vv9TohrM4QggzGeJkIm8gi0QAjrHQGEqJt2bQxkpkERYYEj8m3ex7sGIfB0XporFqndgHnb1s390w8/fvHqdnd14+4pp21THM3Yi3JwBPB8XLJZCBnGtRIYImJrq7tPpcTI9V0u9wgOgQCMA8oBl5ez//E/8VOJS4vzelyvnzxqtWl4EDU1VzWPlDMQR8T14cpMw0OtI3gYElI4qNlwbm3LMqW03J2KIWlMe3JFNdHW160lEg5yB0TsXVNJ1t0caHRUEYIDmMNAiIE4iBGi6YZhSTJYAARx0jAA93C+RD0BLpCbiIvx6XLYd3czvdDH3YV5dHRGkAT5wlBjEUK2cEBxdxuhr0AW1q7MSEStdrhU0jQxEzASuevYX5rq+Ec+DHwwLq5cB0RgjN6sd6TRdAhzkySjEDDeIB6hvU28y6lYuDDphY8TAYHENHoEETA66h7DcM9Cccl9BV2CdsKE4DFg+xHKNII5EGb9kiUnrNtGzL21y60ohgXUx0tv/PgQ0KNHgJnS6KUB4EB4O17uVxFhAUThYWCEGENFK0RO6A4M7q6uTBgeklJDQyQHCxtFG1QzZjIAkgzuQi6cXFWQInwM+Lp2lkt6E8ITs5uVnJbzeZ+us6TeKhB64FYVAfKUMaGHpZzcom89J9m2LQCSyP3LIwb186a1E5Na9G552udpDoSt9f2haLPrm6uXn7xiooQSQIkkU2A3lCgp1QgHwMxKIYYTzx9+8OFv+aHfEgyc6G49Jkn7eXe8OzrlMu1q66kUg9Cuplp2edrl4+l+29qpnt589/mf/3P/q7/0F/8iUxK69M5HrBMv19kYcyzA0K7hPs1T3TqZ5ZwRwczKlLVfcgW11VyyqpYy3d/dpVR6b0mAc9LeATwnMbVwdg9KtJ/LV379l548e344XJ/69t27j96Y9lfzPlfp1dxPKRf2glBQcxbpVSULOJlabQ3TmqdMiGoaNTgzsXRzIqy1a1c1a1VffvoKPULDm7ZlRQ/FeHV3ur+9HZ+sdT+ezohoOn5Q3peGQClzzmVZV0aa51LKtC11fX0m+RQTWmBE902rKgFtawMUD2/dzN3NiWk7VxhnSUczz5NAp+ZxfHm71ra7udrdHOarfbnek1uH2E4rJDALAJMk3WM3zcv5hMQjCBijC+zuCNY7ecg0r1sFd28ts2jrxBCqw5s9SPet92Vb/ZIqQe8QActWe49g/MV/9s+vrq66a97tejNkDnDhFB7jnt9aK6UAJLP+PTQCEgzjaclTx95NIwIJzE0kjcm1+9iVgRTW8BTFwX/iJ/6ARc+7DEpGvvaapFBis8HMvzzYSi7aq5tGeOIkJD2MxtlZAzzOx7PW7k3JHYOstVM7pcR1baUIS+mrJsm1b/kqWbiiGXhmJgwhIsNqHsStdyF9iBRCIkEQt4F+MyIRoOH4xQd4cgDEeCISICEERsTWNyFhliEHQxoHccDRnRwCHMyj7zXeCU0bAiZOhNC7AhKzDPqziGhvEJ5EIC4nTg9AA5Jhg0fhywDHzTFAe0eeencSSZkrYndXdwRKwuYPTWSDQMwl+4BemiHzaJIADzC1P/wNA4a6ZyRcL3Vlh0CzYCYzIyAxc8cgIUIwc+QYCc1LNHgg+0fhvBkLj0oOEwnJiPa74Wi+XcrFGMRkpoiYchp7SCbqYRc4MyIzB7h1AyBGAgTXcDUIBiBICZgvSA4AdBjGIjOlxB4ukj36GKgN0JCbsTAzkKA1BwAkHIwOJMxJ1DoE9tYPV1fmhkEjsCSJ1TyJuId1TTm52nhxhQFQMnVwkCRMl0McCQ1iYrj2dUuI17tpuTutN+ve3a0N/8P93XJ9s5OJGDVRUVMS0K6S8+3p+O71oVBe1tWqQsbzukxTaVutGDmzMII7QJg5SwaJwVRy1avD1Xc/+u5yXvAR/PTP/Km/9Tf/5q/+6q9sy+oGqSQk0K6liHWNAMBotRVh90Ak7cbMdWs557asJRdC2u1mhyCDtjUSzpK198Ph4OEIyb2llMaMVUSGhgIIrWsgINJXvvzPf+RLv2sxOfPyYn31xv6dUjL2Dd1yX+yMthxwJ1A9msucjsfjYbpCRGHOqSBjRB1wglEiCY9wOJ8WM1tOZ621nbftuLZl8977WrW2cOtb3QaNQ90jjscBwQdyzCIMjAx1OW+9Jk5bq9Zur6/2h/0BXNelpZI8QpsSRnVbWt2qpyKn01kyQ5ieu7qnkrwPvEdIZzhbOp+7WyCa67Kc5VXiuRyur3nKwVwOpcwzWtjWg2I7myD3rgE+Mj8QwMgAwSLetbYNgd1cRAaDoXcbNOYIc4Na+0hJ3R/P3e28LsTcm5/PVQG+/dEna91wnjBxVwOAacq994e9JZjZAPojwvC5jnSZuwuTcGKk5hHuiGQaxDgMnYQIl1LYeBayuf++H//9b73zznlZ10vkxpGZk9TWtVve5bapR6h5zmkqxToSYqvdh4alb0EoWVT17vZ1mFLAeBUnxP28wwTMsp03AxNJ6p5S8j7uQ0CB7h1IUBiA1tYhpUAgpFGN1F5ZkraKhBRIlMwMB7IOqXlnSv6QwQeEcNAwBCLAInkkESPM/ZLBh8FLY4IBXAMgIR+jSvCU0veiUzklD5ectPUR0mTiBz3JhXqDQxZkPoAuI63q7kAukqADMQhioLgFmBLRINEAjCiqjXI2PvCriQSH+RWAibo7ADAyEEKESLq0ASBgqB6Z/CGneqFYEElcZvSIgCIcYeqGwADBzMSk1q3buApkyDR89jSU62Fu0SNJGhFgQnJzH77GQO0qLA8FkxFmfeD5+GWHgAGMSB6CJEiA4sRpLhTjNowxduIXzrUDBCGFjym7j08obLTf8RINA6TgbirCqo4kMaARCAiE4GqKASSs6gAkkiPskkpCJCEm1m7DAh8QZg4GEGAE5iFJPAzCXbfkEWtLhI8eXfP4ZeqWpxR1o0AHuzns70+nNEFrbt2YARyb+8RcKL9+dTs93jFjqOWUvZuwjCKFAQR4xkm1CxG6q/p+2r3x9NnHH3305PrRfr769/+Df//P/Jl/M5WiqlV74YyErTZOMpWpblsgMCfVigRdO3Pa7XdtayXnWpua7neTdVX1lDMgnI7Hwzx3bQgUEPNut5zPA5W4bm0qeWSxiaRtWrJ89ME3EtHv/J1fOrbjy/7643Uv6TpPOz2fICm11c5FSDixLyvt05wmioHPpNfH+91+Lly69pzENYAg1Na7c9/6el7qst6/eL0cz+tx6etmtZ2PizYlRu09ANatvn59bxHMUuYpYUpZcE611m7dPSSyWy1zmqQcz2utmhI7Rm3Seu91A3Jn1vBa1Tdft4oV1tq6VUqChKEqxAQc4TlzSqymyBS3r4nx+vGNE3JK8/VhPuyvnt60UvfXu+X+OM3l6eMnEYGSbTD/4kJgTknQsXeliEEP9IjoNihBa11Lzq1W645IZta6tq5ucF43TmWttpmnafrlL/86pqwObujQx+8aMxGiAagaE1/Ey2p4mYoGEYcHI3s4YDCLmyFRgBHSQLmMh1yeJzPv2iTnLfSnfuZntlq5iHR0dxYZ3s5x1AsHJkaAaZrCTJu6hroN4D6xoODWe1h/fXdnZqimtXJE9G7WVAUDOZX5Riaeovp639yspGSuCD4cOwN8trTeECER5+RtG5v/oWtU7xBBToiXFJOFD5C1Qww0vanDWHHQ0BdSOF2KcEjA8LDdJESIkYZHAAAzH5JEROTRJx3y9nBC7rUzISXsNR54QwHo7kHM5go4YjVIRINjQ+Mj9xAiGr5hSqCh54XDGTHAe7dAv7xQwkE7T2kkzczMMczMAS184D0v3CKMACCkcEfCsehwVRzUfkBKMtBAPC5BAx405kDMiChIAxNPIqBqOedhO6HBICMaCdxBGB9XC6AHd+VAgtKItAQiu49EIdjIaTy8Dca+3UMhnHqDWunSP4wBpBqRHBhoOWLGsHAWHv3ekQoVYRIMj/GWZKEARx/exwHjCyIy9649wkspA4TpD+QWvkinnRP31h3dzIFBmBi5q5Us4dBcGXlrG8eYniEDaTXzzUJxHK5UZ96T03Jeq/Xdze7Vi3U6XBu7yLw0FZbaNcznPN++ev3u9S4EL6Xz8Np7mTIAhnsuO22990qcPTRP0rUzpQD48OMPP/fe599+++3f/2M//rM/+zdGU9HCE7GHjRtYhANirRUQiNADWbj1XkoZgHcEaLVLER0SZsRSJmI6nU+7eW9q66rX1zcvXrya5olZIjAMMXGrLWWx0JT45d1Hn754Lm987rPzqyd09XR3mBsXynVdyiH7sVOR68OjYzv61sphWpYVmMxVCrW18yzAeFrOJU1guJ42syYUum7L/Xm5O7W69W25e3X32ccvIaC7ddfj6dzdT+c1DISZiJIkCCQkzlyKXO1LSpmwQ+DpWJNsO867kvWud9XD9b5bX84LJoQ5n+v26tX9aT2ejquB167AY6AaQjg2fuCQMhFjliRIOaXDze716QyCELC/vnr29rN1Pe+vrsIf5VSa+r3fTftihELcWw8LhotFSwDRwVTJ6MIZtADrYYFBYARGXataOMHd8VjVzss55/m86brW3v1r3/qNZe1pnsABMQbxqfXORKOW6Gopp7HFHRAzHy4mwnBHhCEx0ehwAX3iCIywkAeQoJn5kKg4vvXG27/1B3+wdbUOaTe1VrdaVTVBJsZalXk8ekAcItB00OfBzJCYGJoaCrZNt3XNTNvavSn0drM/5Jvdq5cvooMzhHuHDgoCPPCOAIGh5n2adyPwARhlX+IWLqTSURRyRwomicvcH2lkYGAkidJoZQ1Kz+UUDAgx+GCXRlNEjK2kSIILiS2YaCCeR9LvwbNCIujgpNhVI/o0TRSQi/Rz1RGRH7nDhw0zXILoeFk+E2HAAPikJGHerVPmoAfnFyIyBQARI0EMmk5Y1/7w2AZmHi8ZEvKu39OTjbgpCTmAuXpzyomJgxCHvREiAgThXyQ5h+qekBHATDMXDdVuPOQOjCPWduncjkUGoJs5ggi7h1mDABmSKsCwUSMBsDHCpBgRMwRGZB5XGLskh4BxOHTcdKCUAgjogtVDd3cwCIQwB0YfZWgCUEDEh4I7PeRkLSWx8JGrQQpiJE5hyimNpFOttcx7AGQmd6vbBoCS2cxRmBi3tiFhN08lXZ6VmdGxSB6xuTmVC6Ivi4UJheRLE63kpKYBBET7XTFtAlJ7z5zCojdPTFmyrWrNHM3YBi5bEiPhurWcS2/rnPe7VFqtoWDdBvjv0c3j2xevnj17Jsh/9s/9O//N3/n/mDoYgjuOPRQiQKScTD0GNC08lzwOJt1sV6ap+GgkeUSZyrZUEXaHHn5zfdO6ppxab1tth6tDbVU4e4ShMApiaBgRENKynn7tK79EUMjh4PNzeXTIT1IJaOFrZdj5ZtjSPM9r3/TcCDEQzUy8cJaqLXNmKQC8nNbltILb6fVpuz/rum3H4+2nrz757iefffyiuy9LPdd11W6EPBeHyJJ97RKYRK2HByqBo5eCWRJLnqf55mYvoS/v7/bTNDYZn35wBxBSxC1efPRJtXY8r823+2MFoUAQYXPX1pkYEQi6W5DAWNuyQ2KSz1CEEP3q5iAvXt6/vnvv/fdADU0fPX40PblezyeE4DlvWnMu7sqS1Ic72jKnCKi1jrJ7NxtBh7B2boupTXk+LqsbAot32Korxv15rQbG+Bvf+db+5tF5rfvrK5Z0XlZiQJDe66jDppwBI8wv7kq8wFQQgVncYbQIk6RaG4zTYsSgTVpodAge9jlu1v7Yn/iju6tdbVqbZoRalQT3h935tAqnnNMAvYCNHYaL0Cj2DxRPADQ1Q6tb1VatVlRLBIfr/fHFPWG4AiUCD9eghACQUrJmEEGISVI0d/Bm1gMdUaaCmSkxCFtHBAwHZLyUSwEG62YcbYeYBMBpAO8v2sQRCYSHQi5cxCgPHVi8nDzH1GxUKAACISDlJIkhoKS8LSsCqDsCZklTLmc6IkAMgBiMA+6DRiYQaPwbDYoaIbm6g0OwWzz0zSTAuykAcWJ4QI/SCJm6GVCZ5u5r18YiKeWq9WGpjAQIMk63EQF0gcLJiCiZ2oDjB4KoKg1uXwQheowcKyCS6WiHxdjvjDMpABAzEde6MTMSGIRIIiLzoBhzpCCiEb8VZoxRIMrjNfu9zweRrHciDBvPfwLJwIxJeL9zIoAYS9xLbxvxUkKDeNAkAyFxYYCL1cjdRwtcTWVkoZiQcKhcciZ36Nrcw1UDoPcOSO4IEWXOrTYzl3TxZM67OSASUclpO1UmziXX2lIu3bp13Tr45UgFvfZdkrF6dUft3YyZi7keHu1fHG9L3i3aRGiMawPQPW4O1y8+/uz93/r5u36fSCIFMjj4NO1q3bpvmQsAq6qDY/BggOwOh/v709Z0P8Hjx09/5Ev/8j/95//EzEm4t55ysm6IVEquXomYidVdmwKAJNamSKhqLHzY7wJiXVopk7nOcxmZudbWUrKItNYBkZlbrUQCQbXqVNgDTDswsIiFf+2bv84Ej3l/tPNWblJCCojW2Bbuxe5tSrNWVQyF7mG5pLquKbKhEwmLrG0zN3dr2xbqjIwRN1c3+zSLiW5xWo7beetLBQgS6seFi4BBrK21y0AaOKUsq/bgvNnGYbevX9/e591U9ofy6uXraI6A+2leTuetbVzwvLW0y+d1a9bCTZCJOaxlGIEaDfPR8QYf6REyB0ZS9d63CKu9zruyLKem6+ff/3yE7vfTtkiSqbcmJRGgmzKyNSXCRBKm9/d3mdnUVC+T2W6gvVl3JgqH07pWM0CsVe+OR2TZ6rZuPST9t//wH1tQN532+/N5o9RcTRVywVLygEZu6zrPMzEN/uhAg4hwbU2ARYiMAAKAUspAEA5E6N0iCBDLlGozUxtcjZ/6kz+tDR3ocNhpQEpCgiknj/Pl6QrAxBCBEYxkGmPyMDqYQ0FFEOf7I4angKZqrak7CwxFpDlSxt4UA8zUIHIide9q6AhIgGjhjkA5NbcH0A8Lp9bbmCYYAgFYOAIDweWcjGOwwQToY9g/HsFxMdNd0q4DzDnkKW5IFAM6g8BE4SEiwgwX7reb+uAdRERJWdXYiWZyizDHB/5bhCPgRckC4K4ROMr+Y4oR6o6RJAsiEkG3gVxDQlcPNccYlJeRTh18hq0uSDilCQDMuyQZLxXr1kw5MzjC0GPxuGX28KBhhhsVP2a5CG8wrBvKaLQmN7g04QCTZL84YS4lWzUjR3PDUfwwRzI17a2lnEdyoAillIloxI9gYA4pUMjdKaWxSncIhgciGwzcEXfXjqBmkHBc3wbMIcLGjj6l1FXHxF+EiWhI0lmw9k5EXTsitN59cEOAgsG8R3f3mMsOEdOc120zUwLmaVbTrW7MWPLOzXvv4U4cIrStSxpUMCR0EKSo3WpLOZt1V0tJVImAkzCLmOn+sMfltaq5tra0J0+uchNQ2zE37QLUtU2yM4tC6Xh3C9UxMMSRKDyqtpQSABzmK+09lwwWLORmyETI4W0+HL79wYdZEgr9W//2/+zf+Xd/IaWCgR1tlM4DfN22JOJmo/cS7gCX005da04JGUd3GwKRQCjnlFrrKEhEHjA25DGSu6xBLiTW1V1VWwRIYlfrtd22lx98/MENHb4zfbo/zNPuESOhNbGVzyayJ72+vz97eDrkKEAY05QDIKXCxKrmiN1sq1tfGhNdXR/2u504J+bf8Vt/u/fQra3H08tPXr5+fXdcTl1td5h07Rywk1SXdV3W07q9OL6+35bN2+157bXdTNlVT7fr6d4DgkDcfV1P30NB9u6w2ES4L6XVlTl2EzMJBeyn691un5gBIOcpiFvtHgScWutrW1pfm9Vpym+99/yLX3z/2ZvP9oe9hRKitR4ah/2OEQhIRNbzskuFAOp2BvMEggYJuYM5hat2tUFqdI/ubg6msbTaDSjl2+P51Fwlfes731FwR+oRrpqS9NBpnlOS83lhotPxVErazXPvvUyl95HeFkSqrSXJvXUO8hjdIkNkJu7aLHxMSpnYHJGBOTnRn/5TP3N1uMEEfTXvYO6IlFMyA2aJ8HB8eHY+aAQutU1rqsO4yBDn87mta2Zaz5U8Si4EMZVSt0ooBu7qu91+l1KLNaoxgwWLIDOGo1sQc8qJUl567+AOQIMkFujh3cZth4gFPMwukRZEHofFh3dVEF528uaG33sJjPRrAI/ea1xiQuNkTZezdZh6KnloqxOKh5sHUsxz0tUGSP97HE26NFkjwgFkDEHoouobewdkQozACEFCYnBUbRd9FjHgyNS4O7CkUWTVrnkqGt6sCyUCabWJyMBPMElAsIzY18g+uVkggrqmlMHGQshl0CsRgRgfVlWjOuWJs4eNp8YAkIbFKIIBXgQywtKim1tOibAAIhMGgpq6Wco5DNycmZFRVcNiXK8CAkd5GhAAh72MneDVPUbsr3eAFy0RyQNIGyMladrHRtkjUpJRBBchB2i9hUVTrW0bHxZnMoMBoBtQyzADhG1b91d7IkbgcOytI6Mgh4WbAZAwS54kS21tTLTynIYYGYi3unkoYAoAZBywmqbNIo1yOBgICXALhzA4bQuJcMOqSgiUY+29cRK1XebDdHX76W1+Nte17XY7U00psYirDx3ocbkXYRaywGZ93G6FU2963rZ5P3/pSz/yhc9/8dvf+HYoAAAzDf+4uxGXWtsojBCSmmnX8XPziDnvXHXrW5nm3nspKQBqq31p87RvreWS3ayUvCzbpYwdhugImHNyj23bcirMrL1/+PF3n+2fvnx691k6Pr0+YJB4aac2E9nJfYrnbzz9rL1EgK3XChoQU57aVnclj2GoeyRJXDAJT5J1c1t7YdZN99fTRmtyfPT+oUgSIVAP9avDNTnU88aOSOiIZnZ/vrtb1xfH269/6xsvT3fHtnx29+q4nnpvTIEZ27ogwqOrqzylnIoIP3386L1337razcJ0vdtdX1/NecopEfG830HY67sT5hKAH3366nZZrh49unlys65nSvD4+aMnz24CPc1ipsv5vG5Vu4ajWreu2k0SUoC2TgCuNlF20N4aOalZEPRmHtGbUUBrfas1mBzAEWvXVe3uvHaEU91+5ctfzfuDguMwg5o9RBvh6nD1+u52nguzIOI0TXWrg0c/cC/MHGF04WuNacIY+ntXNbfdPOcstbZeq4OJ7PNh/lN/6k/XrVuPlFPvPeXUmkbEtixExCKq49gegpRY1BUAACge9g9E0LZ69+o1hW/HZS4CPUy1Vw13AozwUvK6LHmHEK6tT5J6s0BWMwTUMJFEAEtrDbF6ciIUNvcxD0BE1X5J0F3gaXBpDwEM/ADGuPSAe/BFoIrhERj8cN4fT2VmAQgEAr70ISKga5/mGcAeCgRxdbi6v7sXlt67VGFgGsxXvEAhHGPoQ8YJzMKTZKIBaht/bGDhvnYni0C4TNfDtQuLmppTSjKo1Kq9aR8MGAQMtzxNLCkQZeg6iU14bK3twsfGCyAOApEsrPWODgMoLeMWFeYPi1aKcCaRVCKAgWLM8DRAwMPDICKQSXDEIZBHbe7iqAxVw4FLDQJEklE8Ht88N1NCNDMmNDf0GOj+aGYWYAopE9L5eBrw/fFpMVNTG0tpQlzXJZU85amrirB13bZ1wMpMndNY3A7ELiGF9i6ZEdDMU0qEfH24btrHzUO976ap1YqARILAdau7eV+m+Xg65zylgmpGAWbWt54kzXNunba1zmVa14WYPHxbN9rPHg5gSLib56N1AIjAri6cGKFgqDkDd2JtvYvkvPeu97evP/fWUyYhoGAhcnNLKddtQ6Jp2m2nxUBzSgloWbbEJED7af/q1et5P13vr3/6p//7f+Wv/OUiM7hvdRPJg3rYTDkxBobTwE+NpZOqMvHpdCLErppyHK725/N53HPpAadrpoOsJ0LQAyw4IzNpu+izp2myZk1bKgIUv/q1Lz9//PzJ7s1P65rzVSLLiXpTST3O5vdadjjqUVLYwbet5qmcTwswc5L13Oc8qTVG9o5FyvTkqi1bkgySEnE4ZeRJEkUkB1SXSAwiZc6SPaJbK0Wur66ftvp98oXf9cNfwgznuqy6bG3btnU9nwOchVkERBBxLuVwOHBEWzdwTZn3u8Pl3ChJPRCIM8pNOldbW7++vn72uXeolDTL27s3paBH54K1a2bJUza3aT/fvbyLwKmUQXfR3pMwOoA6efSoHEjApoaE1sw1evSxIzSrORcFWo53p7Y1t1evz0szZ/p7/+AXpuurqhpIcylIZN279VobAK22zfsdBLa+AaB1oyGANJdSAIKQRwrexp3Sx4PvUhkjodZ6JKAsjEyYTuv5f/Pn/tdPnj7p1s2chARlINaGLhhwBLgHqDECLAIJqLsBDPwZmalW3da119a3jUN7B2tNqyZmFg4LfsBsDPnAGIuPE8cYswCBaWzWIE9lP7ELnBgJtbkwjEPppeYJ7m5CjMgAMbJDNFZzQDQCMXGBPDygLgMjxkN7RCVHVirAMRD80rm18FabuZs7IAinZTnPU2lbH+8wIFZXEkJFv/jLYNx/huNImJnI3d2buwvy2DszgncNABwAdqLWFQlTSsxsagHmGMwyTVNtjQGIOQDMLr5tD+9VO3R3G6MeEcHAAXAdOU9iJGfky/to5IKBZCgsgoW7KgEBYuudiT3czShRxoyAImhqQ0AeHq42EM3wUGG+MO4QkXB45C+U7TDxAIetbVMu4EFEbsFMcCFmQzABMTRjTCnncEVJo/B8SV8RBIGFlZJra6FhEV0RNJDGjtglyejUqRrRyIF5KdNIpuZSEMk9Vq2OHg4ly26atcc8Tb23aZrCYL/bIbGpZckAYB5ZZKiVkQgIuikAzPs5SQEkSRKAJOxhoz9CTDnnduyjvhYku+tCZPt56sui2gBCXZ3YIoQFOpEhAXawPOXldCShpnWcUVpvSBAGkKC3XiRreJZcynR3uu3NlnX7Az/xE3/1P/urZOxgOMJJQO6haplHjmo0vQeLCVhkN8/n08JJiKS33ls/XO/WddNuuSRwmOa5bluAExKxIAAC9VaTCARMuaxbdQRJeXy5davB9Ctf/bVreXydpqtHmUWKMHqYNVLPyjMkXeuU+Vg3zBwBDpCy1NqHvSG6TqkkSdicAoc0wMO7KQnvHl1BczNPJBmZ1H1zQqCccp4sHCEdnlzXdWNU89Z7pxRAdC076xXCAn2ra5rS1tTcrTsHT5FCW5aS+SrnGVG23qfdvLs+IMvd8T7Q8m469nNHf/zGI5iFSk4TX13vu1akxBNRF2CQnMoeiKlXXc7bWrdS5iwZA8Kst5YpDaKXNjMNBuxq3lxItCsit6puSEit995UNY73W+uayvyLv/TLDtTVAImQ3aNv1SxySbkkc2Xm3vr4jdKuAJBTqbWWUgKCgEyVmFU1iYxsYIALE7Msy2pupWQmXuua07S6fulHf/Qn//AfqjUMY/wPXU2SpDTQ4kopmTkic5IwC48WBgEIPFKCaEFArbfT/bG1LTERkJsSc5lZq4Y5Bw1kI4O7tr4owUiqEDElRquNhTEJox+3s9CjLFkkm1sqEmoPlAV0dx/yjws8LSCFu/O4ZoBh0BgqwANaGADUOiCAKQ0y87/Ydw5gWwxRXTh7GARu2ypjuAq2LmvilESG73Q8Ct1DWCAsfOxWbZz1BxMhPIY/YPwpVfskss9T6ciUAdjdSdK2LOEyJpBMrOA26kop2UC84VgPOxISIMugWCMnxgDrnUWQQA3GJCuGJxpxfFVMTSLcdOD+AofRMi4MI0IOgAADR2IaZjIENDciTCkND85YUI+NMTOpBwKaDjeNBgcRErJZRGAAIlP4RTw5+AQehIQQgYyA2COmwx4ZgOEBNB3u1t1RcN1WJHC/YF0vhWTmsUx2cFAfgp0kcil8uCFQktybDuAaADERZTJVaIFI8zQn5trWwQIixLq0ebfLU9nWtpy3aU4DsoMi1k1VJWFTPa+bBdStd3XeJ0QUpvV8wnGuCQKCujXJLBa7qcgSvbeUsgP21pdzvZlTaul0fz8/2mvEWlfOklNqUQf8DhE5pVq3C6x8cBORbg6Hru31q7uplKdPnv++3/tjf/fv/pyZjZza5ajjQQndAxndbCy+wAMM13U111nm03pMXMwUbEgRIElqWx05CkQ0UwRIIuu6BsLaNkIBEpGQnCLCzRKyRrdot8uLr3/ym09vHh/yPpcn+5QkELjH2vCYMiNvYWKUomnbHa4UYj3XXrVvHczzLmcuoIQUJUn0QKd13RwHyQCCCcAlAAEAAElEQVSBAw1670TBhkisAV4V2HjKZl4hapY0791acgyww4zRqsGiWwVShQQ9oLX9bpevMgbb1tW2lDJRck+AhBO6TMpzbRuV609ffpb3uzV6Q3/+9A2V3q1xkmVbJVO3PmNxCBFmyZLJTB1xmncIiIHLcp7mLMiM0qsmYTfzcLVOUkwDgNyBIm+tQiBSuj8et96Pp7OVdLes3ejr3/72x69eYirq6hZJqK7KzBCKiLU3Zq5r5URICH7BgJtZTnmEwUczSAdC0UNN3cduyXtfx37TwnurSAiM1ze7v/AX/kI4lgOdlkFGcMAIdyTC4XgB8rEwjCDJ1jpCqCsSpZy2ZfFQyXL/eunLimqO0FsLc3eT8WsY4F1HcDCXTIjmKCLDTBn0YGQKsN6btlxK3k167Ke7+1414/ce+gwc2jshEsJl+4gBAUwcbowJkdwcjAPC3AKdkJlYSGIswYfeCymlNGzgbjZNU0C4DU47ddPdvKutIeBu3llvjNT76KLK+A0FD6DRSHN8EACYqyCP/QgiuVnrnZEKJTMDs0yMyGML5OE5ZTdVdSQcIyBmvERsh8tkvHIIbOikEL7HtHMPHH95hwGZHyQ3Mw8LAuQBEL00tGK4f8eiAwNC1YYshQh7b6211iqEI0JiyZLrVi96FvNwJ8KI6F1xvDYRTC2XgoB5HOgQhzp7CBVU9SG8a621MbQLd2gdcPA+ERCDIMCRRodGa93i0mQ0Qsw5jZCDakckwCFEjAuyg4gQ3eyCknbPU26tM3O3jjSaBEFE4yWhreWcNXrvzUwBMQJqVTPLJZt7Vw2HVhUR05S2rQ6fnDtMuxloJHaFkPq6HXYHNw8NJkmY29pUvXuXQpK4IBbi3vu6bRZQpLx+8drVtPfMWUjWujGz+8V501pPpQSQWWxNES6KB3S6Ox5v7+561z/9P/gfjeGG+whdBEQwoXa9pG/NBw6eRTxsYG/P59Ojm0e7/S5P2cPHfe58OqeUTN3d+XLDI0AUTmUqzLzb7bftothkxJTE3TghM7S2fvkbv/zB/cev7PTKliVCCc01s8QR+JRu7IqPsIOUQZbzompZxNR1Uw7s3ZfzejovS63nrVZVYJacJQkxYRaaMmcpJXtYtx4UKCw5qUUIcpLbV/e57J2E0hQkYAJGCdM8TbvdVEp+8vTp7tF1mvdN4f7ezpUxXb/7hR+6fvoul0fqpdn05NnnOT+qnT/59P6jT1+DHD59dTZJb33u80ZYm067uWtblnVZllzKeGS4xrqs2vV8XMwCAl29dd3v9rs0A2CrLSBO57ObI1LKOQARuHc7ns9r3da19a7drapW7yb0yYuX1f3Y1l/92q8HYiAQJ2JBIiaM8JILEWu3VqsUDgjr5uEAMIwdRDhNEz4Udzwu05LBiE88ghTsESIcFhEgXGrXP/vv/i/feudzarqeWpFCiFlSyZmRCDGnhJfcHQ67hlsPhD4wjxjjyMiMy/F8ursngJKkLRsDIdI4MrsbAeace90YoW+6HZsPWz1cKP5wQTiM4D7ud/Pbz5698+abrrabS6gSIiK31iNw3PIjMBzG8gMcwQARxnvi0gxwJyJhMbOtbq237zHliTjC1dRcgVBSarV6GDOp6mCFji23MCMAOJjpPE85ZzcfeAJixkHSpeGwsgAQFmJyMybS3iGilDLYLZJ4+AqBoPWKYIQQAFLSQEibuvZBdUMWGnxM4mFZv7hVMMBtJBxt4McZkIlHG2FUpr638FbtACg4AGtjUTMKxw4igpd4kz+MdzCnCdGJ0NS6NrkkXjzCcSg0Y9wPIsKS5FQSIjJJRCAh+sVc7G7jlvVQxBgztwAEBgAdI4oAdxAkIkHqGBzM4CiAPdR0AMvdDRwIKMbqG4GIDMwjwMDMkShRGSW7rqrNCblrlDybWUoM7HPJqtb6Mu92DkAggQDEQskBTfuw+TBSyZOp55ybda09zRMEBIIjVNV5t0NhYEJDb50Ik4iHWzcWbrXxYarWp6spKq731U0xEAHNgJFRYeZdv6ghQoh7UwAKMGKmAgMY4LENb0/OstZ1t58dra717nT3w1/6HU9vnr16+YqYWQiDmKm3Ptb9iYSELGyQipmltppzBsd1W5kl5xwRLEzErTcftyxwJKLAVmtXSCmNIam7lZx7a+qWJAVYs1amHKoBvMX2y1//pZv0+Pqdq6c0U7NDIQ8kL3FWECRwrhjSqWShtBxXV0uSIgBD1LqAhEPTEAC3Uc6Bbt2YMomBt94FCMIRQ62DOZHb5ladq3a6Xzwq9Kvrq9Or46OrXT2e+3pmhjSl+9dLupq8ZRa2gHzYt+Yf357X81JkN1/dWKCk6ZPf/LB5swBggkTAabc/cE7H0x1nOL4+AfRc0jRnAEMH1z4mdeuygQF0Z8kDT9G6UmD4EE5ID3VDa0aIrVXtnkQmmNV1t0t393dbbefWlt5e3J0Wter6j/7JP7q63m+1EqP2kCR1q4lFRDzU9RJyGEBZzgkgrKmIqBqLqJqbs2QPD0YFs9b304xIqoZE3gwTITKL58S1+U//1E//oT/8h7vWMufuY6ZDBOhh5gEdRs+ztZ5SRuS4FImQEptbgPWuABau53XR3tDctpqBCdFsHO1JmLzWSbIU9mhPnz+/fXEv6F238XR2R2ZhAnVH5oTFKn79l7759TvFChJogShiLgkn8xaAF3b82L46EIeHs6QBEyMiINTeCbi1DoAslCURIgGrqTsw5zE672rhupuny0nokpF3HLS4CFUj5NE1FYigocNBpsu8xdwggkkCYfBmcsrukRK7aautiBCRtprTzDrICECAzKxu4XLZcA+Yz6hoAwa4u+Uk4w90kbmNhJMHArgOh3kEPFDJEWAE6QndgwA9gsbjBnCMKL/HbANAkJzcYCCTAqLWtffaexvxKVWtrXpc8P6hAMNFhSNy4Gq6bZu7a9dWOzKFQ0pjUnbx+IyfacoXQhIwQCJEKfMcqgPWNCh81jrioFwE0tg9gaszEYsgk4/biOnIXlmMpXFHitYbCzFLEnZQFk5JRMS6ecBWm5vV2rtZ70Yi19ePTqeTTDJQTJILsUTAtvXu7mGJhRCZMcLvj/elpGVZYnwwCKrOOaVdng671ltrzbXv8nS6P5qpc4AwI6FDRka33ruwJErn81loeDNJWAKDMxFTVwUkDzfw3W6fclmWbV0qIR92O0K+uzve398Tyh/4gz8Zgh6hamrmFxE0EOG4qI11lgiLcASIyLBWm9m6bOOLVmsV5tZ6SqlMpW1ae5OUpjIRkbZORMuy5KmQsKTUewOMaZrcXLuyoKT45PWHX/nmlz8+vXppyx21e9JjNCAGRVSaabLTNrEIgqkhoqB4j+V+tdpb65s2DTcPhagQfXD0iCIcADiR5GQWnDkYQMgRWjfbHKvvMfHailFUJZHqfr/UU20hBJKWZo65NgzMzeL+eL5/fT63dlerp9IZz11v748ff/LZ1mprOl3t02533ur1o0cKfvv6dZI8blTj7Gaq2gwikojWrq2FWd9qlkRAdauEnLj0Ztu6lVzUbN7tuqlkISJTZSY1W7c6UrDCaW3VwqvH7fFMKf+zX/rl5rasmwZsW/VwQphKGbz+EZZjIRypFUKA0G4QMbogQ+g1Hh/MrKYRnlMmlohotUd4mTMJb1uN8K3a7/zS7/xf/Pk/26r2Hq252ZBampuOcHBAmJqq5TwNrBogmXm4ATgNK7l1hDi9Pp6PR/QANRwmkKagMUoDBCRA2qqgieDW2rbWB7WTMePwG2rXJFw4zTLNMmEPMr+aSvQxRQgPAZmCijp5MLCwpLGORATmS4HAzGtvvTXAQIg5l8zsXbd12ba6tW38vmjX1rbe65RyzomIWWTdamttUJXAw7pq7920tjYEkTEoGmNsjuEXSFvgRa0RiAEDnxMeYaY2lMSMWEpmocNhxqCwSCSn02KD7s6k6jGSjw4DeA6BzGwR4wbGSGMP//+3h4BwJCDXMUUfQ6cACMYhUhzZVsCR6RwLfRYZE/wYVQcfxWIghFJSklxKYaHWa8rJH3SUQyBMRGN4RcSAwMRMEuHMjERpVIoA7dK3uwhvAIGACNAuVAc0QE4J4SJ9dhuLVDdTbcoPYuGhGRteJQj0bikJEzEwOAjzaG24maSLqJIT7Xf7lFnKCOfaUOSY+TTvqqmGE/Lr27unT56nUq5uHuXdrAFbt2fvvfd7f+LH33r3nTxN0zSVnI93dxGKEOfzMorlwoLMJGm8Hac5SyYMI8cwLzm7QW0NMPJUAoIjoun98R6Qplxub18IMsMwyY3L7PfoheOgk5FoaysyzlNBwNb0cDjsD4e74+nTl6/+6E/91NBnhI2oKDPzWAYyy4h7I5DHaP3QYICLCBGrqpmH41SymTFKq52QiRiAWldEMnUgSrlIyuYRQNvW0zSNnBsz5ZRVW5i2tnzlW7/69U+/8Z36+kXq9yXurG/aE6RUU15lHymZe9O2VYjoTa25K9RNEUjJK3YLcwwPp8SYeJw5vXZs7quzJ9scQiyYUnGUtbo51dr72q1uT28ehUVvakGb4qL4etHjqvenejxvW21mBgHHl3dooN22ptX8uG2U8+vX93k3yVS23s+13jx9UnYTIeZSztvi7jJiDurogRHW7XR/7FvvWw9zviidbJ4mN2va3DynyTwQaV02Yunqa1ViqVsPA0Q6n7fWfFmbIy1VX748GuGXv/rrt8d74OTIgCwilLirmTsRt6YieWhePIKFIbzVat5ZJCKSpAhHJFUdv0FzmcAhgrtZEKacA3FZe601zZnzfH11/Zf+8n8qOaEgMBpBBJAwCuWpTFMuOQmP8LrDYDL6QK/T4EyEuZsxYKhtx6WdV+sdPIQlsYAHYoC6OGI4YYxorFW//+w4lWIRInloQALCvKechQTcQlWXPqUsQS8/eiHMgGTON2+88f5v/5em66fT4TFxAaBwQKJAcAfTQBLzSzdtpELVrfXeVYUl80yYHFjHGwBDGEtJWSQR996tK1+O/HoRUoJzKsK5TDMSjZqVJKbvNYeRkGmYtUZ9DQd1H8HVottuLpmJQzkiMYE5BiCwKWivdDEHOTDig7cZAynoe+TViLAYpZ+AcIIYNA+4THz7cB6MF+HFVjsm/jEiliExUBkUhDzSwfCgTNNxNEvSehtT/q5qoRFAzIERCJLE1MMDAOwSDxgWZsCEg6yZUwH3ripZxtjdLzEyGLtKrcZZRMQXAENw2E6VSWBYpt0loIgAdCdyNO0GRMOfMD4v8EgpmZmpIboIp5R73RBxmvdr3cxit7/sbSTjq5e3z56+EfOVRYMeDPzZi9vrm2uWvG5Vyn5rdrOftqbf/wM/8P773399ffi7f/vnfuNrv/Hq9sV+nl9++lKIrnYHIhS+FEQ0VCMcQrKExnI+IQYBURbVcIqUU4TW4zJfH9I8WycM29YNQNatMjkkAAf1ZhgpS4QPA62iedhUpm5dWHaH/bZUCxdhBrG6CPOybp+8+OwLX3z/B/+l3/7N3/wN96qtd4TwmKfSuqkpIpVcVBWBLJyQVFWIz+d1KmXeld40LHaH2bfGgrmUiGAh7QYAtVVituYQOmaJ1zdXRwIi7N1cGJF7VJGxEPQGy69845++/ezt3WHH2KnQ69cLw0weJCnncrq7n5+U7r527T34IU0QDi4YAeaRzRnZDRgxY8JVdzEXE+v90dPnn37wSe/Ou3R/PhOnec7aMTOPodHtx58Zop2WrXbdtk4BECTMLJR4OW9TKam49m5h69bMYT6AakAm2vN5WRydU0qSqrdXr47dW5rIw4CIw7Rt148OrTU2VINe1VwB0MwJ2KARpK11nhJ4hGM1hTDmYSd3YI5w7ZHK/nx/QuQyTa9vj9XaZna/9bO1u2359W9+w4HdoHdNKY2mk7ldngoxInzoZr13NGPmuewCggkJcV1XZjLVoVdycILh0Q50IGGiGE2CebcDTE+fP/vL/4e/EizjCtbM5sOu1+ruUvJWGyCYg7B0VWIGxNGZYiRE195TYkRSQ1W/v31tXTNLWxYcohlEJuIBeFFDwlCPgGmezufKCQNh6EuZMUDNLeUCHq11EUksEdjWLSNNnNq6AaCGz4fr5299bt2wHm+3pUdQUEcy6x09zI3USRJAeI8ylXBrtScR5qhbI3Kk8S/eWiXiJJmIe+8II+serXZJMmAEgDhNUwC6m7ox0tX+sNVNCuTMKaVOW3QIDAJEwCEFiogyTUNHdnVzfX97xxCFyLqacwiufZ3S5A2MfPXGpSBHN2NEwoF4usjfmVNrLZUCg6oibHjZNQz2+ABBmzvLQ9bIlImTpG59vAw8ggDwIQvlpqpt3LbdfVwhx14Xw30IHQHQzFJO5k4PgGkASjnhkNsECklOJUkm5t1uF+4AXmsdZ/qcOTys9+iVRiwhwhGtOxiAMzQgY+wq5juQK04zoKhPRAIABgSYRBgpcxJOSEIsSQoEzbvdaEMIszDnJLXWLBMR3d/dY2BtizV96823333/Cx998vHxbt26cparw3U0Od213dXTq6vnFqnkR1/60u/+137yv/fy05f/+X/+f/3oo2/cvvrk/PpeEJ4/f4qEW90YqdblsCvoZM2AorUttBOEBEySe7NAUo+hFK5byyRtWYRTKfO6rszB4NYrE2rV090pkYwLdASwcIS7q3Ayt25NrQsTSSBEYrHap5QFhTGr+mlZ/8hP/fHzWpFSSoWIWdgJLi08iN4ViB0i5yKSejc1Pxz2OMaCiCiw1Xp1dc3Mtbacc86FhVMuA6TFLCmXUsq6rV113s/uwZzNcamNRXKZzVHdI/t3Xnzj57/8iy/asihVzC2lu62RpESFepImeuyoMdYbI8NXt1qXtZ9rVBvfYFeDbtSDq+2B6V4f57efPfltnN969PR9gUkQnj69cbLTthhii+jhqh3V98R7QbLq2gYrETJ2MCMP4W5BKTtQBB2urt95/92bJ4/eeO953qXqTfYJZ6I9K+nr5fVpOxloSkjkwoDoKRMnADfwCPWwCA10RB+eHoZwEjYLABbOAyeAgEjAkkxDu5tFaz2n+by203ldejvV/tnt8dXx+Pru/hd/8Z9KnjElpAFRBwAMgzEZRQoUZ3KhkZEGJjRVSSxMAdiaShIiVrNxmxwBD0IEjKY9EEjI0dNMzBkj/pO/9Jefv/EGp+QYkKnMxTEcoXVVddVQDYTovUmSscsVSYTophCeUzI11d57r9u2nlcz09rHms9cj6fTGGSkcRztw2MO3RSRgkjDxuYywsNDxsYzwtQggogBwLuCm7bWaw1XTPz6dGpK89XNfPPs2Ttf4HKV9teOJLmwiJRCSQxRg14fT2vT/eEqpWzm27oxEQ9jtVmrbeyIj+eldfVAYC7TBMBBnMo0sKmAsLWtazd3DO7NuioCJEzREZUwUFiEMqFkmSJGHUq2pfXqIru10dXTdw9P3jM4pPIksNA01W6ZilDWLAv6hggkOQ2MXTARUsSYdasSIAaM04SOJfxY5F5yR2LuMIRmMPD+oNbVlAYGiRABBSBUbSxlEdHchISIVJUTAUJtZqYsDAjalIYwOQIChGWc4ofz0tSIGBF67+AwFuIeYWPaVbKDB2jrnji7UymFDJBouim2Vhxf7Vx0A8qHzg1lnogFgKcdKk5v7l6fzlX7eV2Hpmba5fN5cwDtQIhE3FpX05JLXTbmy4J5d9ipOVNGoutHj+er/fvvf98v/dKvvfu59//IH//JZV3+9s/+t1LodGxvvvs5DXt9f/7Df+xPPn3ybN7lv/5f/PUPPvyWMJLG6f7ujTeft97q2olFEOZpNu21nsERhg0NAsO060R0tb/yV58EUJACcUpZawM3BOitAXIq2awxYls3ESwpt62VmHLOXTsJIXGYCUvXJsy7sjPtNDAmFKqKgUJyc3UAgLv19Prlyx/7Pb/3//bue+cXL+upr71dX99U2wApTzkAu+kQLbSuDBARrXdVu9rvlnVLRSaZTuetuyPhvJtbV0QkYes2eNEAqGYjoXE8Hm9urpgJwqtGKTN6tAapSG+9bZ2Iv/atL/+Wz/3A7tk78/4JSei6Tj3N3VyEcLJ6BAYEwIybVQhEAOEMgHB5pxICMoG2sxiJz9fprahP7+6R9sLYnt08OtaPhtty076cNAMfDiUBz7uShLcFS0q7aZZDOveGiagbz8IlIli39vjJU56KKsxpp9rv7u7Oyynt8rmuClrP1cDNe5nQvJ/vVilyvl+mHT96fI0QQdy2OkqvIikckrCqujkGIRE5dO21b2N0W5sB0Lp1bYrEJKVu3XpD5nVtDrDVftwWNfvq13/TmVvrI2ufSm6tkwOETVPRrto686hcAQyAJUKesqt17SIiItqquzMLCnlVRLokr5lzydZ7VeeMoXjzxtX/8a/+Z/v9VetOggMUMfQAnBMPyz1RgDOloX5a2zYLxQgg0kD4OAaQYAM/LafuKsKr2X4/Y4B1vL5mHP1jVRnZeCYCXJcVgTSCKQVCIjI1ACAIcCcUpnC18Aa5rOuKMbdtOVztXr1YDNEd8jTtAdwgnGqrwkaSXrz4ZMozUZjD1vuz589/+n/4r9/dvvrZv/H/ut7NvZuUKYsAAcYFE9Q9AGC/m1KS491pt5Otapn2h2fTB9/5ZLcreSpgUTIISZgRBDIRYckzI+PYgGgEIhGZede+2+8AAIlV/d233wvIeXf1O37kRz73hTc/+I1v/Z3/598kDJ4lO7bT3TzduFcncyRXGnqGIFQfikoIiLBIXMAwTelSBb+M09E9PMyRU0oQ4G6EzCThNrQ5SKim7AQ48IYAQBE2PDjg4BjIImNZMVgZHiachr6XRUhYq5qN7fblfkFCiOAeKaewi4YRHqz2ly60BXqQzJxo2s8S2NeNGJLQLvG+IaznfIYUhzZ5T4YQAm7hJbG29vLTz374d/1wd//WN75Tt0buuyJXN49SmfNu990PPjIAbO3xk+vD4XDYzXe3d4BS5nJuzTTcMZfp1cvbX/zsnz194/nn3//+X/unv5GSvPP25zAxp/Tdb3/09Pmbf/xP/v6bmydf+9pX/8k//oXlfJ8ntu7rct7N06Ob69e3d32rQJynqbWhWgVXx3HhIgJ1YWp1YylSZOuNEZFprY1z7u2Ucjre3x2uHx+eXR9f30X3sLC1CSWrjR0HanSQ3cYSJSGb2ag3u8WUc3Ora9sfZrXGRO+88Uxe4evTrU3lZ/74H/2//LW/Nsrm5+Wccja3QVkwc0nDd0DWO+EQ8mCtbZrKtq0YuN/tlnW5eXTDTKfjyT2YGAUHvyMgmKi1nnOiSZAhFwFhPdXo1lQjPE/IOAb22uL4S7/2j579Kz+eeALYP76aXq9LN9hLnptr7rZUTrzqtrWecyYjVwBEDwMOhd4AFOGaEM32+IRP6fb2OO/eZRgglk/q/R0/mnbX2cjz/qoet9vjPZrtU54ljbJM7Zv1hUoyhe7hgUEIYUyy3K9wrn3r59f367JgIswQ2DU0BAGj93Vt614yeM9FWlunOQlDq6t25YTE5A5I2JtlKeGIcdmvAGCtDRETCwyKYYB5uGPvXRjUdNuada/Rl629urs/aVegX/7K118up2oGhD44vSOAAl6StNa9ey5p4ElLyetpHV8ziNDe97u9ubXePYLTcIw44SDkM4ATc0QAuhROqXjYX/orf+Xx08cBaMxb7xEAamGRKEMEAZepnE5nDHRQkWRq8zQjUt3q4IUggLohRKv9dD7Xroh8Pi9IdHt3F+pCAOpPH1/LnL3Z+vpOmJCgVwMkydkbIBAAELOZeihDYhxcdwxzFgakZ0+fvn5dM0mvLed0tzU7Htd1WasZys3b7+TDvtXl0XvwO37ff7fV5fazl0jy+PpmP027w5vnxUNKhzRd5dP9/dpaymlYKwDQwLZ1Q93mKV/f3GzLuUy7dVv+1Z/4sd3hm62v3/8D3/+LP//zoZoTEoO5EaIwJ85tbQZ6mK/Q0M2yiOwoLKZdbr2pw+Ewv3xxevTs+Y/+K7/rK7/2TcG8nVRV8z53la7tELX004HItcMsgciOHXqgAg61IyMOyLPLcHYOyjfExXMF7mCDduoRyODhQhxBcHl7OMGoDIQQMwSaaYQxZka67IzBU85qCjreGA44DDBhocDD74IOziwRMXKvF+8uDfGBI9FWa8m5zKX1lkQQ0Xv0qvvd/tkbbxTJp+Pp7u51Kkk2xqaw4e4T0LtzY1cyAA+PtdeefT0rZ9aqr17eEgAzgjsBXu0mlvL13/x23k8iqUzT1eH6eHf38Xc/2O1mB/j2B/dvvfcuBH3nWx9dP75GIuJ0Pva72+NXv/ybT57elH053p6n3fyH/tgf+cL7X1xP7b/86//l7f3HGQmg93Wr9fz2W28e9vMHH37IlLetpTJva8uHXe/WLdQ8EPyBugYR41CTS2m9EpEjVm37aUJJ4eCtq22JpkjZ6jLnHGqgbIinu+P8ZK9g4iRJwj3AASBJQkJOqW81Zem1piQekfPkpqb66PoA0Hvd/uBP/PjP/tf/tZl+91sfkCROhAoO4eoizES990mmcM85u/q6rVMpEjJPOwtftuXq+vr169dvvPnm9fXNtm6I0XtvvWvrKbGZm/m2VSm0bRV9sA8xlcwoAEO70KeJmSlAv/3iN77+yfvzfJ2A836PxNxaehnZ6Ob6BqbtdHo1P9rRROruQBGIjkiMEAaOjN29gmUouuW5HvJR/P508u3mTbjfjhu0vMdvfvkb10/fuluWxEwJkON+O9+fw01JZBgzpCQHaOYp56FzkiStbmNJixU5p9rUuyVK1XSzuvXV0fLE97dHYYRIHJQwZ5a4ZDNQLxMOSKloj/H7QsDu0FpLSVxtyPPCmUHco7U6+JfdYmstIGprW+vnVo9L/fp3v/PBJx87ARM3U0AUlnBnQhZWc4ER76beW8qFkQ77XVeNsNb6VObzcprneTS2RFJgOBjKRf95ac90QwZBrrX/hf/tX3jv85+7fX2WJCBecq6t55SNLCJcL3oMeKDumKr5BbhDctn8htlAFrbez8vZ3Xp0ZHLXJOJhU04G9Xg6JUavfc4J3DHAtbOIaQz8tKlGUM5ZewhLRAgnNcslb12rxmpwvD3fvnwZHm2rh/2+uZ9vXx+ePK+1L8eVOFOK6TC9uFuur3Zvvv+41/bo+gpaf/Hq1Ycff3q4evT5995++803IfT25UszxUCLIKKt9Wm3n3bzi08/hfB1XRXs6ZtvAvLa2vNnz995571fjF8onNu6Pn78KGNSNQyo65a5TGVHjulKxjI8wg3MLBAEB6Sf4aMPP/r2t78ZAB98+Ek/vT48Prgv59ojlVrvdpruFnyJ05KuHYGxOqiCAhHxkCMCM49TtfYeEUHDFUaAREAxMtwxgn8SHo4x9Ksp51GLYiJ3EO09JSLACDa1uPCRgJjDITyYOMAHu5+IIsDdwyIiSMjVOcA9RPB7YplB9gC/BE9NDfEhJqUBDvv9PO3m49nL4/3N1W5d7HCzh9vzeW1AsT9D7XHb3PY8qqgULIm2dXGDeTd//mr+yle+7u6URbuHWbUzgp+Pp5s3nhDRN7/xjaePH8278rkvvvPhh58Qxd39C0DcX+E002ef3v3wj/zo6X599eKzd959c6vbtto7b33xX/sjPwkJfu7v/q1//A9//urwSNzrchbGZbl/7503zvevPvtkIZY0pbffeWtdt6Zm4eEopSBj781CbNzE1Q+H3amvbL4r5bTWuZTdzVXXOjjj+zJt5xPPad7PtTdvHdyo0ZSmfjzvrw9lLkMLjpckGXpYGBBSKRMR76Zd0+oevXZJspunZd2eXT//9LNPerQ/+Sd+6v/81/5a5tR7RwYCZgKDcO/WQVD6VnMu81R6a7vdYzM9n8+SU855nuZpKuHX63k105yLdu3dSymEzIQ507gzTqWs68rBORUusm3nMVZCSSmXgOhmRAST//yv/sNHj54dnn3/B3e37+1uMDBtTkdiohnLzvN2rsysEIN3m1gCzF0pwThJuUVrIPyonUNCRZdcwk9ntU326eVnt6dX55cvftOIKWMkAIjDvGegHhoVhuhqu20AKCWXUsxCtZs5s4MECS6vljRlR1Cz9UU7140zg8RuL2MrnfaSJT+6uTZTpDDVoWHBAOIHcHGMF2SgoFBCdAAwN2aKEHPs3bp2IlnWszlo1+ZqYffn5e583pp+99NPvvXRB5i4t5ZS5mBAHDUlBJxy2Wq1rsw0z2XKuWkL9z6Qf8I5Z1O7vrq+u7sjlpyl1coj6NWbmbt5ykySpCBCdOs/+Yf/0O/9fT+2nNt0KOpxoS5fGvMcEQjgEWA+uJ4pMVpA78i81jbiMeigqigYEMf7o6uqVkSqfbNmA2Lp1oU4rIc5GnhYuE156mSM7HRxm0SEhxUp2lr3Hg5TyjC4IESmrj0AaZrnNclUBBi2tS3H+7ffew/AP/v41ee/793PPvnMmu3m/SefvHKDt959el5XW7ZH17sf/MHv/7m/9f99djj8jb/38+59nsqYXVtASmlZt3l3GF6mZ288ESl5LtePnixLe/Odt958/vzli9s333zz9tOPrx9fjxdGkiyUeGbXqLWBQXgQUjNFRAg0hXFKb63V3tNUfu6/+dtf/MEf+vz7P/rPv/5Vc64nFaGzewiAyHfO+HL3ZJ0fN92S6cXvNWwyEeBOCT2GYMvHOD8wxgSVEAMJcLwhaIQzY6AtYDhxYoz3iUlGcZyCzIMZmVM4BJg79AvYVAEx5YwP5TEQ8rALU5t5RIAioLeacxn/uUxZ+6iFDAcZiSAiRQSR5JynqdSmbbNd5sdPny91PT65/vJ2d//WnOz08cuPX15fLYkdgIm4JHMlztc3UzhM+2nZqkyZsrRlyXlqvZnpsPpITsgMibwCczqflzLndVkDkZzP59PN9dUP/ND7YemX/smvHq72z549++L3/9AXPveFn//7f//Lv/HlWpfrq1l1q9u6202M+Pab3/fpx98N0jKV02mt9S5J+/z773/jm98WZpFkZswCgR5GgnVZ57KrpmmX7b4GJhYGCIPeeytM4Q2FQf10f9xfP9nt98ftNhFp78myNQUzBm69pSLhFgbCCCTdDRGZpfWGRFOZ69YUulmcT3W3mwDiredvHc+3P/1TP/MP/84/+JVf+2UPc1VJIolQ/SE05swS7vfH0zSldV2Ieb/bsch5XQO6mh72B5HUanv29Nn5fNy2jVlCxhUHUxI1bKossp/229ooYrfb9W1zAyBBGpiSDuzNm+P25a//6jVM7149vdV7ZxYs/dwOKe/m8vn9W99eP95KhEhHGNYdCEBG7T0xMwBRMmBzFk6ElnPq3GqcQaKjNe2S6PXd3Yu7u3PU+20hIbMId2KkECKKoEFDCh9xSUKI1jtKtF6ZxiQnzI2ZU5FpP9+UQ++9t0yQDje7N958Au5DZ9rHywMRAt2NKUcwjAl7RM5zbZUSl1zMlCjVdSNOo6sJwdodgNZlHT2arfbjtt2ezq/u7r/2rW+c6+qEac7Wbexg3D0QU0qttbDYTdMQQHZrwlK3jRBFOGVRs2p9fEPMjZ2ISM103XJOEZASM3GrFZgJ+NGTJ//Wv/0/7w0VTYymaV5b7VWReVvrbj+bGjNiIDNRQDdrg/8C2LuOCIkIt1qZCTzuj8daV+3qDhAapolJkLz3rhbuWZg8iOkwz8tpab0jYvdw64iJmRGwa6MARMhpvlA5w4YiOwnFVgdMrG2Vheq6XO/3n373g3mafuC3/bbjpy9uP/zUdHPw20/Pb7/z7vF0qqezlNy2+9jL0yfP3n37rZvH17//D/yYMGzLIolzKiPBdX86Tfs9Id+d7r/0L//Of/wL/zgkbe7T1cGRPv3s0+///OcBHIEIZbe7AsUBrd8f9uv9JsQ91A1qq2PeIjK4/OzdLRTJAzcS/fY3vnp8/dkX3vni7YteqzSKU9B5LpPA3/vGB/rk7au332vrqncfWFOrKxCM+GaERQBJBgKPQHBECgsI9Qf0Hcpl3jOaaAgECGOigEwI6GqBIOHWzYBAw00xIyGya5hrTmk0g0aodIRYIZyY0QfgKJIk7TY2S/O8q7WWEReJAIcLiE4AA1uvSVJi6dXVjBIXTK1VamgAV/sbpe2jR/P/6W/+P1LZf/uTrxx3hxVNyJJjC7/bWjkctm29vT0qAHLuGijy1nuf+/wXv++D737U7QPOnEsy61vvL1/fMfHjJ2+KfHOrGzg8enSjVQ+Prtej//2//XNf+L7f8q/+nt8lOdVVv/61X/1//+x/5dZKmUDt/nTe1vXd9967vn70m1/72ssXL4J6gB92+7w7HPbX77z7ubfffefjz15Z2wq5WQOAxIWBCSk8plJevHz55M3Py2vx0dET0N6IIjG3HpEgST5ubX9QEZzmuZ+XlLiuK8y0npcpYymla0/CSMiBap0IhcXcc5622lAwApJkNxUU9CCOSbg8fvzxhx/8e//en//f/8X/6Fvf/LZ6aG/MBRHDwtEivLa+3+0iopumKRFCa10s5nnu3YTT+XQebYBvLd9KSXb7eX+1W8+rqU7TJOnmfDo3rVPOvbZtWfZX1ynllLhtbds6BuymYogKvfVVpvk3vvXVx/Ph8IO/neqUdjde+yOUuG870+dfeGYlvts+q63RPi26zTQTU4TmLHBJTRIwtV45pTJz72sr5hmWutXQZnr1aH55uk3/P6b+LNiyLL3vw75prbX3OecOOdTQVV3dAAgRMwiQIsABNkmJljhYtGWHFLLDZphTkJJNiiESIgOkQdI0AQbJ0OBghBiWQ7RfFH6x/GA7bNEyRwwGwUaj0QPQc3V115CV0733nLP3Xmt9gx/WLchvFVmZGTfz5tlr7e/7/3+/gqe7uxX7crPVruodE2qHAFAbekMccosx/wFCBzB1CE9JBu3g8jBf5KmdtuX88uHjazCc5/nBg0NKwsyDu5KYc873bekAs8HVRzeAYZGkZGrd1EwhMJzuzufMhULAYTmeTK03a1aPp+Xc2qJ66u1X3/5qDXUCJ9DehQafEYjI3ASg5KlFNTcCbHUTSee7c54zeCgYKUUAI7u5EGtYBCARmkqS4VJFHr2gSFm21v70n/mz+/0lzQxKgHJe1+4+z3vk2BbvXeu6zruJAJBw2GUMQ5DU1UyF88ByMbOratjx7mTNeuuIgGAE49BTEiAkqA4+MPOWiMjDTAGZRQigm1FghDMyIQExhKtZqEJ8lGEHzDknMjcA9209A+HEgObf/NUvfPNLX9wdZslFcpqvdh//2HVtL9fbF/0O76wfb17cPrjc7l7OE7/7ztvf/MY3knDJCYlcjRMTpfEcFRYUWbfzfr9fNF772Mc4J875sN9D+H6a92++eXlx+PD9D3/bj/2Y9f6ZX/rsctqmkg8XF9/6+rsIMUZkxNgDIKC3zkWs9bVXqx0NWl/vQn/5yZPX3/ikp7RBr/PFP/7K2994+2d+8cMXy3QNu0sGiXpN2gGWgOoBiIE84pdOAcOGklBGsyoimASR3CHQmWXobwYfkIgGI8TUxjRJzJxlEBsIkZq1sJhSDkxdlQgBwR3Qw7QDwjDhNvWUxNSHYzqlpGpmnlKO8f3FYBFAGiqb3q3k/XreHj7cY3Trfne7XF0VUzPIV1fXpYhHOs7wjV5Pz58qhaYdcl/PayaizDhLudid2/bBs6dPXj7DRNp6tOYe3/jW+7c3L+f9XLuCxeGw+8Ef/MHf8qO/eT3Xr3/1y7U1VSPEdVmE0+3N7bY0yenTv/TPP/1L/4KFtZtMc06chb2vbv7w+vKTv+UHe+tPnz5/9Pj68uJQ6zLtyqNHj5a13d4cv/LVL52WY+2bILRWVa3VihSjCh+Atbayn3e7SZLgVE7no3Wb5+KtVW37/X45naSU/X5elyOWKZWs21ZKqa2BhS5Kl7Gel3KYam+5ZN1aEvF7plG495QTEs7T5GqGZOGmYd1yJhR89dXH53X5yz/5V/78j//5p0+e7vb7dW1lnlMBRNrWllJRNwfval1bHrpbJHP3cFNNIq02SjxSaLe3t2tbrCkhPnv2POc8MmMtNXS/uNy/vL094IEhwlwkpUy9dQRgSoxR23rYy+ff+czrbzzM1x9/qeu17Auh9vCt968/2b9x8QAuA9fF+yEX12i9poRmDgiJ0mCwN9j2u6lvhgiRo0JV7Io1Fdp0BWyHS95ZOd9VADAwE+3uzUKHoyNw6G8iLAC4EzECEgAmSet62u1nd4VzKzNeTHMRyYk+9vrj/eX+4mLv4dorEQe4jpxbEBIh8D2BCplZNNzMrVtKYl7vZ8COcz602pe6Wtdw7E0jTC2Oy3a3bedeP//FLz0/3jph4Jim3nNYRtlqN0+9WbAz0ZwnN2MWdztc7M0dGbqqm5OQIQAiC7uFRyBCV4vwMhVB7r11VUl0Xtbf/Xv+1R/9Hb9za507keTaO+VcAJf1PF7lSWDe73IWr73XmlAwkIADwyJyKaaWU669MyMKn26O67r8OvKzbmfTljmDD0qZD/4xBpBjtLDuXKR3j94BSSSZOt2nWexeyu6Yc2m1CfOmZgZFJsJq3VLJrSETHl++yPNUCh9vXrbzLTACo38zWu8UgEglJ4RIAt+8efqVX/vcg6vrsDYXSUIIJoRURFUjQngYeDsCPH3/g+36wYPX33z8yuPaY7ffbWbd7Y233nz9tVeuri5uPnz5/MNnp/V8qstut6+tHz/4sKuJMDLjkMJ4qBoSSMLz1msdAcU+zznaRsBGtYNj8Ien9n//1GdOa98evNowc6AIV5CARCJIqj6cUUrCFmamRMTE5ubqSRIQWlcU4ZxQeKihWm8pp1GGDXckHncqYhHJotoRFJHVVFgosYNHAPE4A+7nRsT3DWNmZjaI0SfhsAgLcLBQkZRKHmYjJEHH3iHncjjszufl4rCvVdU8zXRelt18PbFM+32ect0WtUopTxe4nI8FC+9M3aNBdV1vj0F4/GAlIQ9tS93tdzknlvz02dP3P3jPzQ8PLy3g5YfPlmM53r3za5/7VcdQbfM0TWVyV+2+1eoAiIHoiVG7g2NOaLZxKQSte9tqx9xf3H749tfefnDxwLq/fFFlx9/8+ttf+wavp+Xq6uFy3NbzXZkOFACASYQQVTvkEhb3kTiKd77xjdPxZr/7GE85JSGURZewNkUi4QgnB9CggpzE5tytM0NAnO+OV68+yIldwzFq3fapDGjtEOoSISKYmWTpZgOG1VVTFo1IJAbG83y9n/7j//Tv/sUf//EPn3yQmNW7d2dO05S3bUllx0KttpyzWTiYYahazmnw9Q6HfW0dGQcqgJMkktbbvC8U98nUJHTz/A4vYrfLDBGuCESMql64ONlW27zftWoVts3gU1/8tHzPhFeSIkfVq3ki61F7Pq77iSnvnpxfbNKZczCYBQoQkjlwRIRBqWk2r1iPGwZArBBNmDzMTUviti6T0MWcXa05tQbElAtJQGuKTKoWHszsMZIwzsJta0J42M+m/XB1MZaTEEoib3zilavHewZo28JMkhg5uiEig0VrHQiDSDgN/jBEMGJrOsrtvTmTiMjxfEbwujXrwCQvT7eqtrbttLXz1m/Oyzc/ePd2O+sYu/cgBGSM0WlPCZHCIJcc5kPZPVJ2aq6uTBIxoI/3FGwOdnPODCNAQziYwSgEjiklpoRuf/bP/QfAKJOoh4EDESdZt3XrLec0zRMDpMStt4kJQgjYISiimWOgqxGC9R7h4KCqd6c7JmytYjiaMkApBWIEUch7IAZaUEDJeVADxrfD3N3NYvDlwVoft0cGDkRtysAQwESM2K0jB2KkqdDKYQroW1/REDlwUNkdc0oCTsGq2upKjKGRmDhPvW1t26a51GUVom510BHU1ew+62x1kd0FMpOQqa3H+vCVB7fNLt56+OF7H371S7/4xhuv9LW++413j6fT+bQ8eKBgkctU9lMbMSofbVVHISA4nk+n81kyB5h3DWOSZGEfPn9SN3i0v9xfPvrgvDWXq4ePa7W69XVZgYgLo9F4sCDSAPRABCERc21VEjvaQP6QCApFgJuqBTPmkgGABmKABu4ThmNT3DQJmxtAlJy1m4LmPII9JMEennNa1pUZx7G8bppEMKA3HSWhUTIajgjrFo7zbnYNtdjtD4C5VtztX5l3ZZ74+YdPU5F5lyDi7vaUqVxcHs7LkjI1tb7U6TrdPD9Zt+5t1RpqPRSCNCJzpiRzlpInIu5q036KyGpKRBeH3fl4F2b7fanaBtIrD6MhsAhagKtCRNclZZEkal6bBoBbLQK9tWneffje+6fTKZd8Ot4Sybqc/VaJHCFYaNmOXFKr2/7igg2iw/l0EhZGCXdwRwhKMj24PPuZObW2sbCFQoQDTLtDG8YGMCIn9OPtaX+Yecp1WaOriEBEPa90UXo4CEiSbsaMNLrtCIPZ6e6BxiIBOo7sbnq13291Q6GJJ/Ve9vu/8Tf/1l/9Kz/xzXfeCRd1S6UE2P6wszAwzCW1rTHJGKoSIQRwYmu6Lgux1K2K8DRPtda61blMLJNWPRyub25vaq273TyQ3eu6zrkkKTJNbWvMNE1zzr6uq6kzk0z8Ynn+2a997sFvup6cRaYFOxQwZztvFzLN87S3ggAdwgkU3NwzgFm4c5gvervmlIQpdwQMPV8/3K8URi2WNpVUN9oxNRYtyU3DRdG7G3gkAGYSAPNgoYBAQuuQkA4XB++dzS73O3GT4DnzG2+++ujhg8Nh8tamOQcas9StETFIsu7WR/BOIjCchLGrYgSN5ihA3Xo4dNVtawGktYeDqq6tjnn4ae2356WaPr99+dVvvK0CwdS6CjIn1G7jjS/lIiKDwwgRwyiSS+pVAQYkXlPJgDJkAMSETGbGQK13EmIiSmxmvSpKoEqU+Os//Tfm/UEDgWSAMM29rUvvNedUcj7s595aBBDgYL8DByc+LUsuMyLWtiYB6z0JtV5vj7fdqzCfj2tO7FoJgoLAwdSGhDdxDutu5hCbKyKpdviI+UMoFGSt5ZQEaQDKhnoEmYlhvMgt6xZIat3CidldkcLN0CMRMg4rRvJQdDBtzOh+T7xX7WG6njdwyInzfq+tjWUlEhUhdx/Dj3BMRASxnc/H4/HuuO2ud1vttbsROsaXfu3Lpxd3OWc3S0WOy9mb7x2GxsQj3BwQJOG61UDX3hB9YD7H5UMKoYFhoPfW6vO728vdA0jTbTuhTH2rYQ6OKZW8K9u2ursQsYgPWsb45yFiI18E7ogYwcgRLpiDLNyQMSIIgYnMlYjwI4SUEIG7IREGDmIUWHh3ZiakZm14uHIq7ibMEKFqSfJ2Xg/7fetqaogE4blM1gOREdCUXEmkzLurB49eYZx6o22rvev+4kFvCzOnJHyADlGtd28ccj4vRJpnenm8y8GcggHLYQ4otal6zGVuWrv283JC4VrbfNhZmIZFq9VMUurdcy4Apl2NLAxFGCjUbbxAJeEYC+3w8OQe6t2DdPht3K+uLqVkUwePXFJMRRHW860BJZkC0NRySue786HMvbYw770zCbghkmkAyvF0tj0SSWs9OJWpaCiwbd0y+JRIiPtSU0mO1r3Pu72att6sW6DpWmVimsgNnQMBCJkFbUg6R5YPMdyZE1KybkjQup7O68WDfdO+1XV/eUA5Y9r9J3/37/7FH//xT3/6Mw8fPKytA0Td2n4/D8RSyWXMQJFRkmznql2JQFgsjJASCQWiwtXFpXWty8bIrdXdNDetFNR6dddpv7vcPxDJZT+32rR1IlyOp9HErnVjprXVr3/w9bde+/jutd8ITdN0jYSLRQk83W57jXI5p2n3ZH3Ro2EWBozuAGQayPm8LGs6cuFoFYEF6taWk942a4nl8nKHoVQZAaJrPuzmlDary7YFk3Gom4UH3rOBCRAkGIFdy5SZYZ7k4mK6uty/9vorh928m4W0AbBqNFVmjcBgd3fOOxFxczByD5nIEYWydrOIwdsI41YrkbgRUapr9a619ePxtnVzpNq0ur/34tnX3v2WJbJwCAQLyqjNCJnzgPkSRaBH37aUEzOCRas93Fk4HN299TGwHaYrcnciWreViITRDEydGJ0887S19cf/9H/4I7/9x87n1YiYkmNrrQGyhc552u/37l23Bu7uJpzGukiADCDPu22raJgIzax7y9O01npc7zxsaavMSbWxcFs6JRAUGduDxHWtGWTOiR262jTPVskcnGK8a1o4YJipelxeXVoNR3BXA1UzkkxIkskX48S9xnnd+vkuZ+nu+12xrWt0EjatNpDXIiwMVYHQ1VzVtAszQggQgKectCuLaDgGhqOkgoBAVJufT6t6evn85dJDnt/eraf3P/zw4tWHv/qFz7fTaTellJJuuq6dhKY8AYNDAMRWa0ocEEvtrbVAM237w976FgFSirBEIDJxRm7QWwWVuvNw41opsXcXIpl2AXZqFMGEYBZ9jGqCcLzgEQoKBAASE6kpDRF9RNhYbKCpdfcRTwK7L2wRuAQMh23IUMWjDU2Ne4wPCw2fFIZqL7lsy5JTTixRJrNgYpGEROtWRxlqmiY3AEi7y8tcDr1Rr0n2h49/+8fC450vf/nysN+2l307g8Q0JXC/ffmy9aq2mrUAON1W5nBtgCAymm8YEBTRa338yoOLi6vv+4Hvf/MTbz1+9fHF1QUSns5nU/v5f/Lzv/Dz/19hOZ2XdDg8v7lLSRiZGVGglLK1HuattojY7Yt5WA90niYejbf5Ymprn3bzslQ3d8e74/L664/ffffJ9dXePVKawqCRIeBht7etqxonab3fT0eBkbg3xd0uDaEgk6tb961tqn1Kua81p0mtY4S1RkGtNkdk5jxPjAjWtvN6cTlzoFFYV3OP8JxzACSW8AgOYkS8j9sO/2XKadnWVHNOwiS925Rn8Li9Of3U3/zbf+2v/uQv//IvH3YXy7qkJBaGgOag1qaSIdzMgEKEXX2aptY6CYvI3d3N7rBHQG0aZjknawYBZpYk9a2llGrtrn51cZXLfHc+ztOsJADw+NF8PJ0c/OLisNUt5QQRP/+pn939cPoNr3/y2Xp7udvtc9m8C7Cu3Zfl8OoeQZiMcfCraXQr1DVluG13LDI/kJsPb1ImKjDvZmpUtzrL5E2RgjmI6G5ZEuCe6HKS2rU2BWKLQELgkcaJMUIVJhJITFfX+6ur/dXlfkqIXtelpotLd9MOkrKqc8oe4B4UDsQBGG7TbqcxvkUxKrhFyrLUWjsTW3ftfl5ue+u9rtqUJGHQixe3UOSuLV97553Ttg3m/VQyTKXXHo48cUBEBDOwyHI6l5RGpMe7I+JgScK93HagfiAiJIuZevjABEvOutSIaE1L3gXAn/iT/+7v/zf+B8dzD8JwPJ+XrVVJad6nMEqJww1jsMlQSAhJeRSZ0VS7dmYZ72UeXko+n493Ny/BFCKsNyb01iNimqe+VSQLi/AAZnDPmcIchVGxlLlrBKiHuweYU4AIo4UzgGNA1G3LLL22aV8w87ZpyizVh/Ij5YxWrHdOgsgs0Vq17gEYxIaotXm4MCTJvVtT5Ri8JrLA3n2acxh0R2R2h27OiEzMnNidkNq63Tx/UfaXp5tb15jnOawDSjioWtvuiGW337ub9paM1CAlVuiuvdXqbu5BApJoFJtaX6VM4T5UXH3VJCmzZGb1xrnMe16O235/Bc3qegomkgn47L0TUkrFwzW66FAQO/Ig08fgskVEzsXMpqkMemigw8Dv0yDHIRECwNDCoblZAEaYKwamVLRplkRjCwwYAYf9RQDO86H12s0iwtSyCDq01ggx3JKU1jSC9ocdSbp88PBweNg26U3OG7717R9z7S/eezKVK6/Numv03S4FKudgAY8w1/N6hymCoZuadu6MHImJUJKUN9/45NXVo6lcHo9V29PltJ7X01bX8/F8c/NCEl5eHWqr3fr19X5ZNgRwD99CoaPQuq5EfHF1sLaNNQYgXj24RPBtrQBe23L98IHIlOdpObW729vrh9e1PkoEd7fHXZG19qBAAoAo01S35uAk6OpcBMaWDmTKc0o5y9QDdnMOjMSUZe/aFSIggAIDWm0j138+nt948+N8YTfPbqx5SF/P5325AAxEHGTE3rtIUlMmQcAAZ8YAHKu/cMwpq9vzpy9ee+2Vw+WFuYYrS379468/e/L07/zt/+gv/PiPf/qXfjmnvGn1cAkZi1E1BQ8mAbsXb5zqSUTmMrfeHjx8iOBPn74opQgRA/feMqZw7/c6WSKi3WF3eXV9Pq676WK/nwYCpFUHohfPK0Qkyabm4Wnmf/Lpnym/fffmg1dIK2gIYQh37ZyoKxDn4hS9RigQA2IgnPt6Oe9q2CnD7np3+fC6bQ1Qu/Y979MqYXa4mN0O3fvLtVftba1br93a0rfzeeUkAKjuqhoYiRMR5Zwu9vuyTzmnBw8OqSRidPV5PxExAkvJtVkqkxlpgJmZO6UcIMRcVXvvg7q9bU2ktK6n5ZzTRABWfV23WlvrjVlSSlutTe3meK5k21a/+JWvnPp2uNq/vLm5urx093VpV1dXrfZ1W5iAiHpt1tt+P9dtA0ckGjOb5iYETEhEzXqEDZ+Tawt3jBhN0brWAEPGw+H65bNn//6f/fP/9v/0f3auFRK6U0dr3gcZoiQB4kCH3mVIQAm0qqfhEE4ksvrm4eyOxB42oDTbadHavaq3bUYJ7ehgDhGRmMMN3HKWMC8oZtabhmThvNZ1aysJDbEouTMjorOkaF7XahbCDB6Hw1699boBsHWNpoJh2gipzNOiTUq6O58TkIhsrUkSTmRdCQIJUkmX1w+KUbUmyPNUILxu6+nu5ARJpqvrK3Nb11VUD5cXY4++bltX2x/yiyfvc76ZDrtnz+5un394d3NzfbGT3SwAfdBxmCBIEQyUhYDBYJR/gwiEkAnNem+eWIh3EAaADqBdRZgRGVz7YmtgIB8L6oSM4JzTdNpOJBNyRm+qTjTu5Y73+NUAB3AAAgBkloH+jjDCZONfu4ewhAcGIAHTR6gldyC6BwG5ORKEG0BISgHRTQuzJFmWhZlVfSoZOkZg95DEkrKrlUm2rZU8SUp11ZTT8eZ2f4m3L25ePjt+8ju/5+OPP/G1r73/4vnplddf03U7nyLnGUJ77Rst6ut5OZLYdtpYsNYK7AgACLmUMAe28/m8n3Zti899+tMoqex2kvM8z2VO27aRxOnupNrXbT2ej+fjSsxpmh49fuAeFMyCqeRuDq89Pp+qh7n1wzxTygEYFpcPL+5u7m5ePi+7Wd2Pp/WN64fH2yWXzCIPX321nu/me0E4EhALmauruY3PADFxyaKtMs04bq0eBUhEeoSaCUigaTQidgR0YI/hX/eIuUwvnj27fnA1zTtzm+adVw91h+A5qTaPEayCezwhoxmEORKBAzMHAiCmlAHixYubR/yQGAM4pdk0Hjx6rE1/6qf+1p/99/9XX/rKVxJJAEiWlERbt9DRuMu5LKc1pYScpnlelsVcwfWwO7zy6PFyXgAsHOZ5Op+XnEUIZcpqqurh2FWvr6+3phAj+ozndi7TPO/2ItCtbmvtVu/a+SDzP/mlf/z7fufvy1Mq5EQU5ACuES/u7qbLGZEZaNrvN+2AYORpFhPFiIXj/eUluIuQMGLOHppSCQPysMa7RBkQEyXkgNDoIATM21YdAklyyoho5iwkxCJYvbsqoQNingSB3M0DkJgl84wWoJuJCKkLBQTVZgpmYcx5sJZzmltrWp1A1nMND2+xniszMeDNzUtmbOrHrdKczy9OX/r6V1+e71KWpvrg+gE6TPv82qsXrbcTnFRrRLS6lZIRqLUNEFnkfD6Xku9Xbu5mAQEWQUgiotZ9zM090COX3GvHBImn29vbP/Mf/Ll/69/+n9ycNheHjue6ekSZ0jzNQzsl95QwMnVhcANiGggCIGjaEUFIEMF6I4op5dPp7nh3pDC28B7CQSCM0EnBlQC0W2LWtSZmGn5EFlUNxDAlZEJWNcShiARXA1JAIErbVqecA0JYEKxtG44uulqYL6czhs05v/4bfwPlvKzbcnN3dXm1bMv5fN4d9ufbuyzz7c3Nem4emA+X19fXqrZt26OHD66urq4ftOPd7en2qDGkx9a6bttGSFur4KFmu6lAb/vD7vbZ0+vdoZ3uWLWe7uppEUTJZBZWYTxCy5TPp2Mq4t4QoxTWrmBYW0tZkELD3J0COzSzEJZAq2qShJBk5moa7pdXFw+uXg2L492z1RgNPSAAaLQ6A4EImFTd3EWYhALCTUUSIKp2HF62UHOjIOJkMYpEg5IGgCRDseIONCiFyIgEAWpNeCa81xAkETfllM3CLbp3mdO2rkVS75YTlin3poMtL5k03Gz1llnm9772dYL0nd/7ibe/8u5VevWVx6+Bt+X2wzJht74steva2iI5VGtrjuhJZFk7Clm0e7SRyBrK5KHG2vvWtdHpNqqpuklGCFjWdZpKklSmvG11ZgDUCLi7O77+5qtPPnhyc3P7yuuvfvD+s9def+3Rowfvvf9ktz/U3k/HhYi2pa7LdnG1y1MqzZbTybWnRF/50le+/V/6ttsX7XC4nOb9e996fz4U7daWupvnqeToihDbeSNhrw1YmaR2W+8205ZFEAEZwMICe/NwyEzdVBhTTr07eFTTNJVnT158/BNvEsZWx2JqDPIcAyNgq3UqZZdntY5AEY5A4cFCENjMwkx7F05JypP3PvzEJ9/gKal76y3nnbZ+vL37e//7/8Of+ON/9Etf+upuKr2NZVcwCTKouqKWXIjRwbZ1E+IpFdeuvfVmkjhxWrfNw8uUCMjDVG2cPfv9IafJHaY8EZNaA4LdgTil73j96r1vvRsdsXU0rNo3btpv/8Ev/MM/+Lt/v1LfM1+WWSDCek7Ya00gAMkqAnmgRWjvHZ3zfuKpqA3sMKa5QHSCgkEeIMTgBwffJ0ZBNAiABAGEwCzdAgOAJafBKreR5CdkpEQBbkAQGNoN2GtrhMxOECRScgn1jowpy3JqSBKASARwXwN0de1mGlobkXgH6+4abatNNwI0oM1jVV237Zvvv3e7nPI0rduWc3744AEFH/a743ndTbsXz55vW93t51wOpmZqzDLO41ISIKiOxBB1HYhpdISm5hHM5BCMKIkdjAQlz+ty/g//4l/4vf+9339aV56Sdqi9IdJ+zvM0p8JtqywM5sO+SDwGN8jIRIhmEFHXjSR5OCMaBCK0Vo93d7b1RKG1MQSqMdHpdJ52OcAhoDA8uL64ffaSA8PDXAmTmZNQLrluquaURLWNU1cIABxJBjy6mzmYNd1xmtMcKODKzEQiOTFiuLVmb3/xSx9762MX15ff+MY3P/bmx169uHjn7bf7tr311hutrutyprCo65c+987rr7/uAF//8lMRevDo4c3Nc2GudVlOJxERsL4uahY+alx8fPGcRZ59sCTJp3UxMIhwJlBrptEpENWAGM2i1QUBSB2H5z6GizFSFmSw8NYbMWUucA9Hw8AIQSdsrSWbu+snfsMnXnvt29/9xsuLq8PNOTAFAyASp0IRDs4i67YMaaZIslAIROIARRwIP0KAZuYBSXJr1WKEgskDXA0BkEhGwTqnNOj/SZKqAoIgiyRqnZFjAAFL8YBW2zzv1n4GgsvLgy1GzLXqNM9lJ65IJHc368XVharW7ThNEchvf/lLwPHt3/HW3ZMXu5KuX3304tn7JQO09bwtgd2wbWtzCyaMMFWQwmrqFjCoMADbViGgJCbm0+lEyE09hCBhOzWLnnd5a9u6rYSMhDcvX/KR5/1spk8++GBZztMk1utuV47Hu+PR13UdxyAjn0+n6wfXdTuH2svnL3OeckkvXry8urrsvW/LUre+Li8/tpuvHl7e3txsWxOQXZknSQTuYVcPLl588KwvKg9L6425dFVgHqw+IBCWaZ9P2wYAQYQilEWrppwVbJ5SLnNdzu9+893XXnu0tRoOrXZLQFlGdCsRU6DB2JmRsHg4II6kX86iqsQc7sh4dXF4+fLu0WuvEFBObKpM+XDYn47n/+zv/ed/6k/+sfc/+KBQ9vAgkMR90xEESoxDcQcYak6EDt5VB85va9XDGYiEBlXKuwMiEh4OB8mJI1kHVZe5uNtun27v7i6uri4uLpflvCwLOObMhmHenpye/KN//g//9d/+e7NQhQ6C7DDoR+uxlsJkQRl5ytWVAJh4XHlyyiyo2nmekQrf28VheJFQMGgEl8nNB5wnEBMMUTazJO0aYIFo6mA65cxE3jsRBMC6LBY2pXl0j+rat16JmJhbV29BnFvbVINIelMgJBBrAZ3IoMh0c3dstSfMpr5tq+QUjjd3p8gCKX3hC7/65MXzvMsednX94NGjh3XZsmRTv7zYg2Brbbffae/CU62rCNe2iQgzE7N2ZSZkHt5TREqJu3Wrxon8HrvPCGjdgKir/o//nX/n9/7rf+C0qoeVlDgx9L6biwhDmHUPcwtPJAYx6A7mGAiuZhQ4Hsk5BUSoA1DJ2b2/uHl5rgslqtuCGK88fnB+eUzM+90E6MMN3tZNrgjUgkCYVSPCc8kI3HofTSUCTJzCKjGMsScG1t5IxoRTguJ0XnOaam3ewQzMPaVyvjsfDomY9vvDzfOb1z/+6ie//RMfvP8EELZtzSK7eT4mZmF3ywz7OW/r6eHjB65MSL2urW7T5UHb5qaO3lsdHE0kxPueLUAouodFItJ1YxmLl2AOsA6IWbJHBIaIAEavW04JhXurQhSBAWrqwjLl4vfZpmBmNSOkUvJyOs+7i/N6Dpok9OH1frq43j+4qqm+/y++glpL4m6krU1zOa9bSnviEcwnrZBk2HG4dxUhSQkgCGnVJjwOCUcEhwi1MV8BQIn7elgQCwZEAASFO1NyNSExBZYMaO5kXRlJCHepBHpvGzgTyn5/gYi9wVQuavPHr15ZNNPu6Ot2LlkSyZOvvq2n+urrb6iaqR8uLo4v3vO6ELl6ZR4W+6S9zlmCUb17KAUFMHFqrcpUWmsNYFv7XIpryJzPy8JAzLSt3VaDQBFZ65olJ87WdTkuSFDXzaJzpGU9mnou+/NSmUmElqUyJyK4u3nZ+jbvcl1W68bIKaXeuyDevXg5HjHHu7vj6TRN06NXHp2enqN7ymytXux3bVvTptCjuRbB+TD3gOPNCTKaqhu4Azukks/HljWs9ZSzAnioQSB5W4+7Uso8BSCLWG/b3bp79UBwP+gPBnXNkBklAoiJAFvrKRcLa7UzJ2bmJL0pMTv4hx88eeW1x5LTGK0KFSbovf79v//3/8gf/aPPnj073S0OVqbCDKYK6NOU120boFBnJwbG7G5MaKZJBMKJiRBVoVuHAGTw5qXMkpJX3La+P+zLLLXWUJ3LpFtnoOW09qq73azWq1YAXOv61Q+++l//XPzB3/OvEQCETMRAbBocYFuL7vsHB6s+887RyNAGcHIi9UCWIFFXIOJchmBIAwKBhQyCOXlXQAiCCOR0jzpHFqLkbgGRszDB8bxkYWBct021I1JABBEGjhBEyqQdAtD6aKXSlC9Wq+bm5nO+OG/bem7aKhHXtQvl/cPD8+c3kFAwL1u/Pa9bBAV+4Utf+eD5i+mw48y2tWmaEOnx48daDRDu7m5BIk9JVRGxtkaE7jGQcGUqaspJEHGr9R443N07sEhgbLXNZSpTcVN3l5xzyd/1Pd/7x//kv3dzc9w9uFC1ZobhF/uJGdwNdMgjhYnb1kR4yACBKBAUzcMtLHkJEtVtxOQD/Xw6br3W3hC91Uqqz549W2+XXc6AgOCH/b7G9vCVA7i5GSObBjH3bshoakNsABHWNQkHM4CZasoU7sxoEESEIuhAjK0Hoqha21wkCxdV2DYNP86X87IsT957Kkm21ogg5eymL25e5JJb66q2LOcs4mbraRn3pPV8JghrTbVTGAEmJgS31liEiRCi1q2UIsjWt+Y+2J+j65CYwgIZvYe5Scph1T0SoVsdW/3lfCbknMUcCdwNmAjv2ZGASAhgbT3M5Xi+mS8fhsUXP/WZ5+/dvfqdP7C+++TDF89MuAAN2+bu4hKZD+li3arMyd1UPU8ZyTHCteaSRXhd15wEEBLhMNbJlO+NwYTE4qoIIBGAMcIDXkrurWIAs2g3JmFkIOJMEKTdppLCovfm1suUyrxXTttqdUMgckjQMmBqSiWn/aPDej4BYmtLyQW7v/f1IyEFp9ffvD5Mb33+/W9OyM/unvOEPDEItTstkhHMzCJcmNEiRuM50E0jbDgfa2vaTZKwIGKsdQMCRDJzwCglu/q2LUTEKFurmCjlpLVjJAKQhNNUTCMCSy699wBnIjdrdWMh4qQOZZ5MNSFq64PDs5yfckmrru1lF5XzcqaLufbGVPK0Ezrr2iVP+XL//Pi8inXrBXOacjQ1h14NAVmEmYNZmzJLYAgTOARhbTUce6+73Y6zdPC+dSZw8FIyEhn4aTlNZRpERg/LOav3gMglhwEJjqCjmwKA9nY+ni4eXIIHMJi7pMyJrMXf/c/+3p/6k38ckU7H9Xi35JSn3U6rLtuKiK5qHnkupt62LecEAL33Ec2WIky8rduIHxOQuZUpmSlzmaZdKRO4a7NcUioCrl2bqe93O8nMgk/ef6LazDuW9M7Tb/6zT/3c7/rNv8NaxzKpaWEZk0nrsByVBHeXu5S5aTdvbbG29d1+unh4oUHImXOqBiSUpylLGiMzYgpASh7umcQ9SCgCcpndDZpJnlJKtbYAP1w+9OiU0QwkCQIOJNm6bBBBnJil1+6BSea11QgXlt4Dkd1wOdX1tHqPzPnly9uUcwS+uLurodqaum+qeTev6/rLv/LZp7cvLq+vFHwu8+Xh6vLBxTCfttrd7fLy8vZ0W7cKhIigqtNU3MwMmnZWJuZlPTMxISIADXMeIQUEQJ4LAfWteRgTm0UL/Tf+4L95Pm2Ss9WQKYd2Ic6ZtPZcEiGqdfeQwsz3zU8iBkRAVASWRK4QzhDmwYhhYNZubl5oH44ww4TMKcJznsb74bpsPUmv9awWZtM0I1KrKoJEQsxta8wgxG5gvREEYjAhSUaGWisRA7JGTDK1U42mKU3oxMSIFkBlmlNKKeG2Lpzo4cOr0+1JtbsqCQ0f7+3NcbefHKC1nrMQIQOCKWP0ukIEI7h2jAh0sw7h4DhyouEGgJwIKXpbIzDnPPThREBAiITsgOhmiOGmKAQYMeib9+C/Xy+cR9ePFJ4wpuvmERwslMZOLqwVpstCy7MP+itvvPvBU80dYjkfb5HMAcyh5HL96kPmMu3K0w9fnJelW5+y3Dx9lhJZN0BMIuGBDMwCEfLRn9tdIWKMttxBmDnMASDCEJwYMRACEDCX3NbG6GA1M3fsA/YpzJSYmLthN9w/ev3x4zcPj175nh/6TbNc3j59+Zl/8QsffuvrVmzaTRHdEO5un1xNu8Lz8ea9w/VjXfPNu+9fZRaFhdBT9PC2ac4Z0QkQdeyyASGEiYlEkrlOKROxM2goC5qrJEklBSiQmCuLJJZ7AvvEZq7ecxYN0G7DaMaZt3VLaTfnbGGYSbstp+X6+pqJ3ZxFcpqIWVIiBLBqXadp7t4kEULUdQOix/vXPv7mK31bajcWO6/LfFp3MlkEzrzerSySM63LaZovKSQlcgBhylm6NgrHcCbeuof2lFM4MCVmnKf5eDpeXl9sp1MkPOyn0fBY68opjYHSECwIpdrbPE0AuG1tMOjDYGQYwO2wvzjdnQHg+uGVupa51NZy3hH3Hv6f//2//8f+yB97/vzu+vpyPfd1aYTEgJJEoweG2TDBkZtxEhHRrkioTW3M1yEk3afFzqdzvpwRgAlLyR5WUibwVltKVFJ69PAaEd59930gFyLOKZq2tbr4p7/wGXD4V370x+62bUdcOBNSDyCGrSMb2vOllJwnyVJYkDCsx+nlwgtRYr/AvJuQ2GB4EjnM0AEIUcTUSCR8aHvQDQAQEzuiIuVp52pAAM5hxmnqbTM3AiYURnB09OjdTCOVYqFMk2m4knaVxBjuitjZWztrm6e51r5qO9UzFQlJNy9ujKB3/eLXvvL05e10cbG17dHjB/O8c3etRkjqRiS7/V4y36132p1kvLSxmg58DxGbh1pnYjNLKdGA7plPZR70fwwiwa7GLCz88LWrP/Xv/pm3PvltKJ5y8QB3yyyJQgjvKfuBzDLgkWoKSAikbj6aRYCCZAFuRiRkIESBfjouuvVuvWtv2sNNHC1CBJsqRJSSCQhsyGJTr10yAVF3D6TaOzEhUetdkKZpMtUIWHvPmYSFk9TWkhATreclNAgxgvqqJU/WV0B2jzDzHqEaSMcXtwjgrc8lR7ghClPbNgfspqlkBCg5x73kXt0MIghcuyECuAPd71eR7kXqwzhrBshiZh5O97x3QkJzR8CRwQ8MD2CHCHAHGPJhCwAyBw9FJE7Z/d66OwaWDg4uucy9d05zKXJR5Pveev35s9OLb3xlTlL72mwx1xhUPGYWng+H09FQ0/7haxeP+Xh3Atfveu2tIvbO21/TepKUTM0hCMjMkAgsgMcaGe8t9g4EDoQsLADYzYHIIlDK/uKyNp13OxEOdzDNQiWlnNPhsNMOrnB5uLq4fPjd3/1Dv+23/e7f8kO//TBfUsqb0/f/yG/9LT/2O1qDMl0gkfYm7Ot6U9vLsNPts/devP+uwDrp6aH7AyBcFRw4EWLHcLMYtWwiEmEA7KP4jkSIMYjSAEiYSxrMa2a2rr313tqQsfE9I3WsJ5GZtHcijvDWmrtp73nK5s7Mw2lDTMNtbu4x0JFEOacYJyIzkfTaGblIAo+lrga6rtt5XR+/+ogCqZvXVqbZFQikdztc7rx27KQtECjUwSIJa+1TKro1bT0nHrc3EjF3JFrqxpIAcMqTd1+OCyAF4DzNQsLIW90A0SLUdZ6KdvUwEiJGBmbikjOTMCfrVnhaz8vN8xtiHt7HVluS6bA/GOJ/9B//7978xBsfe/MNRiThi8sLQOxdWWj8dSGB+fjEmLmlkogpIFrr6uEO4RABOUvX2ntrulGCy8uDCAtTSelyvwf33TRdHi7CYcqlcM5S2taEWHsLDKf4zJc++88//8sna2fr597WvkWAB/cO2qM339a6LQ0UfQtQSpDIBZTDaDu15W493S3LeevdwoJIAtkcHNCBLNA8DBCQAsIRA5Elu5MqNvOm4YHhhJxSOXhQH3V9xGXbAkh7kOTzee1d3dGDb27uECiUrPp2Xrd1c/UwdIXatfXKLKpwc3tyJg38zOe+8MHT5yXn/WG/m/aEw82Lifjy4jDlaZ4ms2hVETjCCREczX1cyNw9AAbd18xFEiGt6xbu0zTVdWOmJBkCltMyysBvfPzNv/ZXfvq3/sjv4Jy2pYXDVEpOMh4+TDzPE4sgEgCoW7cOwANvh8g4VFQR3jsGMLJ1zZLc/Hw+3R5vicl1nBToDh7giEDELAjBgPVcM6U0cpGO5gFEhAL37NI0TVPJOSDM+hBHETMQugYRltHHjEjEgpxSar2hjEY87g87N9/v523bxse89957A4iUpLYGiJIzcyJkBBKR8eBFRETQrgCjeXZvTh/QZcAhO4nBpQYEIoT73uz9M4IQR0VjPJZi8O4/+s1pSNWJ7iEchON/DFhbAJjZ8Kkg0tifmYfjcCtqX0/f/9Yb3/bgIHqM+uL26ft9OyM7cGCE9W7mbna4vjpvGjQ55lffeOuV1z727PnNo8evPn7lFTXj8aINGBCDGqBu7i4sSDS89chIQ/YLAUIpUbbmGAMd7uSoTa3D0EuGEyCVaaeK7kgyqWPJ+8/94uf+4f/tH/w//s//15//r//py/efZElaYa328JXXb1+uOe0S5wjHHN22vt3MXG8/eCeWl7vt/COffPP3/chvKlULCtMIunlO6d6fcW81cHcHhAhQNTUNHztUQcSIUO2mTkSJebyNamsRMb6vIhThbjr4NmqK4Np7uIUbWPR2f70CwJJnQnaL3joR9NamqQgxEcpwFyGq9a1uYGjdnnzwYVgst6eS0/nlra/bPhcGIEAKFio7mnZepsg5OKolEYooJBNlMM/IEoGmBNG7Ne1YpLlrOBIf7xZJOWEODUTqrQ/wGLiBgZkhgLC4ByCERUnZTcON6D6ESogpCSJOeXde1vW8IgAQipBqra1dHHYPHl39nb/9t06nu3mXhKnWOj4e46jIklJKOZcIgBi9fFdVNQWCMueUBALcIqdUl3Waym6e51KevP8BAzCgrm07L4f9zEjX19evvPbKd373d771bd/2yquvEkC4psyqNdA7+j/91M/93Gd/cUW7XU8NXNEBmYAZ2T1MbVuadRDI63HVVfu5ewvbVBfVk9a7TU9N7zY7V9TQpUF3dkxAQpRYZLA0Apip5FyE5yTCwAjkKCFzKoIshLtpx8hCKQynsidIFJM1gE5e8Xx79qZz2rWz3r04arMwIBBmnqap9p5yIpamejwvmysI/9KvfObpzYv95cXlg6u6bq88vn7t8WNQLyxZJDH32gipbfV4d7etNaUsKY0FLxKZBTJL5m2rAOju47E7lwkB69oQKOfCiYBgtz9Y+Mc//vG//Xf+k49/2yerrZcPrh88ejjP8+l4VFVJ7IG1dwsTTpKSB4SjanBKyOwwXv5cRgsDnAjcTNUivLme1tV0XKIjwiGCGJHJLMzNYjSugYlGzCIC8jwNn/p9z9Td3c7LbYAlYQgkoCEc3O0PlPNW25R37r4/7MCGSU3X3qrp7fG8rmueCzB6qAgHxrigABEJd7V5v++99655LoYgzL1bKjliGDrbPb3mnrg3sMeI97wdAAcaD+4YIXnEj/TpBPdMTUQMGg3AAMKhxgQc/TmIe7UWfnRkgLsT0gB5jWMGERDA0Der4CFCnAQQ3nxw+cbVfOB+sUP3atYQHMPJHdxbrceX59bbfNifTk0Dt9qa2qipfvD+BylNZmquHkqMw5c7kG6AMR6u44knfG+Gg8QJHHZ5QiQwzinvL3fPn70U2SFgWEUnThOYTHMCxjBY7xaf+PrqKvqxb/WDbz59791fu3rlDVW6upg/8R0f/9XPfFiX6tZzYhLUam09bWol5cnUXz7/xNVFeXz5/3a867i4lVzcYtNGQoRxj6QTgoGic7BwAGSm8IgYz3cJ8HDPU+4dYEiKcTSk3cOJOCzGN3VU8vKUI1y1L+fzvJ/P542EwkG7ElEueTu2iCBA7S18x8LDq4faOYmUsvUhSPUAJ8EnH74/SRIR1a22hTI6KlAQCbTYbs5e5fDK9Z1t2ruUvG2LdScSj1C3j4SZiARqJsyMMlICdWuQMAgDkLLU3lPOhD5eOmN8CeNShWDapzJZt4jYahsCSA9n5gCfSrl7eZNYuDCMdB4iS5p28F3f971/5I/80f/yv/w/bXfb219+59XXHxGLWai6ahcUJpznyVSZceBHkNDUR4RsRMUFxcORxk1HU5YyZWbUvm3renx5evD4ejXbTfPTJy8s7OOfeOub73zNwRGjr81AKZUs+bNf+YKq/tgP/Si0deacuh7mnZq7mrJNku9u787Cl1c7VSem6O4dJCMSSrAfW9/MUrO9OYAkMYXWTbJNZVq2VYQQKcB7uKH6/etCQpbT6cQBkoQBNZxAzueVOUfQcl7rtkU3VyWkggUbbEtFj+jQVcO99Y4IwLy1bu6r6bk2FD6f6qc/9Ys3x7vr62tAD/RXHj++u7thwlJSrdvjVx6/eHGz201EvNtNM0/teTUz6ABjIdaMiUwdPvLNjXscIiCxWxAHS6pLa73lMq2tfcd3fNtP/82/k8p+6xuhaPMaim5lmkopDsHCOP7ytN0TfN0JEcf1/9e14T6e8BHuAcbCy1aXtq1dI3FdVjUlAu3O4+kIiA7oMZcdGqptGEMizcAIgQBsMV7xGcwSs2ojFFUDkYDozWRpFnHYX07T7vbuVGsPN3c3CBIyh3Pbnt+8pAfzup3O5/M8Sas9Rr6eKCW5Ox53eT9N07osl5eXEAB8vyU1s66q1onIP7KkjM/gqIWGA2Iw03h8+xjiII+EzHhHGN3rCIghSh2f3hhvEsBM4+eNzzURjUkREQEieBAx3k9igIAD0dwSY2YyQ9001m02azc3xzO3ukoRCCAIZgJw1X68vU2Hq/nycNjzmx//2Je/8OV5wtdee/zZz/4KBGBg732cXu6BjB5BOF5tItwRBhA9RrEICFCYkdBUKXg3X5vBx9/6rlJuW+svn7887K69N7IY0aFCUttyfXnYltO6HZNwSgrIbml9tjmmb7xXXz7YM+p2PiYcb0iUs0S30La/KKTbw0eHH/nv/sgv//NffiDFpZy7rn2bpjkiHGHEEMc/KrOurQuJB4wD2mN0U2i8mjEPhfTQDjuPCBygMLqah4skIwIIEWpbS5K6q0dHmKepwAbmbqFmVqaSJEtKLJxTNtWU5HTaWu0eQZDDYLfbLctKgvO8Q5Kbl3e6qlylTVuas6MTIQsDJltUj5rBfQsUcjNLMO8Oty8aJAHoxGRIQuy9kgESajdFTyy5FPVeZHaw6M5ZDFFVCZGFeu8557AIht4NCXMu455ialPJAcEICOTghGRu+93h+bPnlw8udrsdMiGTg0lOdVv+0P/wD3zti1/8r/6r/8trbz1SrVaJBIncAsHNmqac/NfLI5zdnRkA0cZk1mHZzlf1ioHu3UQRVICQMpfz6fTg4fXFg8O7v/a17/6e72mrPXv24fX1BSfS6oGKEoQEZK2tJLvPfOnzHvFjv/lH1fTBbl9tE+QOzoU386ELP51rKsLmrWku2cHUMChSJvIAjW09ypQ9WcUNiPrGRpVGzp0BlMOhu4eNsH8GZDMjxNpraJMs2pw5u1E4ruemVbNQRlnOCxP3pmYWQBFuBgEGBD18OS89DBIv1Tazu+Ptr/zqZ5/dvpCUueT9xX4/Hba6vfHWG+vp7BYll9uXd33tNHPXLZfEWXqrqgYYhMjEAaFdmRkMI8YAARjRhogUIqXMTLq1kufA+I3f9S/9b//6T8/7nVMXTq5ARNva9pd7B996n3eTbQYeQ2fUW0VmJDLzAB3PNREBwrB7+WuYEmN3P62npbUeWrV164GOEQxB5kIjQAgD47wu28SCgeEegEyCauY6zVNX671nYW2GCEhACdWMGBNn7+FmyLvj7eItTBQ8PLy53xzXk9KqVvbl87/2a89fPMnkw5vdzD3CwhPgVOZt2+Z5NjVVJeKRD+y9t1Zx2K3NfYDycYxiAHzIrRwQMXBMZe43bvcghUFRgHuV+pC04Uf/iUHI44yGMd9B8ggCwIBfv/Z7jLTl+FXj9hbMAO69dSo7Ytplucxpn/ClbynFAHN5RFgQIUKYbt7XtmXd/O7Fs5wCenv6wYemHe77vA4InKU1LTlbVxIaSpl7JmhARAg6INH91wIMjhFgaq+88tbp1t/8xHd+/Ds+8d/8P//hnKebl88yxcNHl6fzbUC7ut61vhCoee/mZWYLJylaN6SUc6prs7aVTFOhtm2E8zhvI6wvp0KQ1fjqkDLNxNi01UWKuKpGkNzjX8ycmYkIAAiJSQa/hRJHABKoKSJ60D3ZLgA/QmKZuogEBAW5O0SIsIcP6JEQureb25f7i0t3IyTrutvtlmVD4HCwHillCCLiMu0jkEB2u/22boExT7uMsi3rwzfeIuR//ou/+Na/9gcakkylet8LppJ5N6/PTle7x0xJHNGCEm59S7v9vN9vtUpOpp2R2rZd7FMza62XaVp6F4IeZu79dJwPxWprYNPlzhF63RBTSTlsnIdsBEjoEEwEiAaqAYkSIob7uAcQYq89czndnIoUTETIW615gjIVs/rn/8KPf/j8yc/+7D/hSGY2pQTEvTetKsQ556UtTMRMvdb77RYCIux2M4uIXN/d3j17/uywu3p4XUjYujn4vC8ffPFJ0KMNtjc+8eZXvv7VD977MAk/+eApMTt7b31I6KZc3KBFZZEvvP1rTdff9Vt+JzTIyLtccpFqig49ANW7GSxwOOx2u7IsG/eUc0jCsK4dUioeUaviXGJEyRCRiYiAEQmZxS1a7ymJMK/LSpJ76xWCCMDjvNTWFJHA093tTaglyLpt67ZkzlatrisQAfIYNfamRnraNmC2wOc3NyB83M6/8qufe/fJkxAolzMm2rZmdjeXqdbmAYDOQK01yXK42L+4uXnx/MXj1x8lERGe5rKt27auecrEJImJSFzWuk2lENG61DKlESA5nxeRYu6f/LZP/qWf+Kvz5dW6LaRS5qSgDrA77JDQ1QOidyNESqJq2nvKBYnH85AQUWg0jE2dgYiIEKt1CjifT1vbmmp3UzcFMDOCSEighu6EDubMDA48PoqBxHL/xgroAV3dHVKSwkmYtvOqocRsY2bYVD2SpG2t2ruIaPdwBSYNagg05w/efefzX//KqS0D6geIxBzaRx6qawcIRjJVEUYEN2Mi7S3sHpYDGETctWeWiHHnvPctAsJ4yPz6lJ8IRxUaicwU6f4Cjfd7xnEeDM3BeDUYEof71cIIU421Ig5YR8Q4CcamE91tMOnU0ZwdKLgEi6lrJQwwc0IcqAeMUEXE0+2LC+ZPfvw7jqfbfab3vvXestyR2dXVfluXknPrzT1G8uXe1o7k7sjEhOABABLgAJSzaFUMR6D9bvfmW28xHI53+Oprn3j1zW/7/f/mv3X7/O7LX/iyb6dtuQW4wuhtrdNuVjxK3s7r3dr6WHsAkfYtpV1dWy6krh6ZOda6uHnBlFLK7geWt956Fd64TJdMpEJ5N+2oQO/mgeNEjXAMdI/RTRxiRbVu1SUJBDBw+JB7R0oJIHz0hs2ZsVtHga4dEQgYMQAj1IF4PBC0dwNrdb2+urq7O5pai7Y/7M+nNVHum4cFMHYLQGFMp/V0cbFjdAcLc3PbT7OF593FN99/8o1vPXljd3E8Lo/AzQZXx0Jd15YwJed5Lj3OJZVlrSIC1RS0SJaqjCTdvHeFwJyEmYW3dk5ZWKTsSkqp9e6qjpFzgkBXRWIW6tqRiZEG9IMChcSiI4YIqUZEkKNFDD3QXHan8/lweQnkuaSRDWiLGvaf/F//xB/+w19+8ey2xXbeNnAixt6ao4XHYb8bn4jLq+uUxdxRuFftrTZt5kYida2M6zqtzKytW/i33nk576YXL1+c3lmPy3neH3aH3bYs67mGc20OJAiIAe7ICc2rEKnFl9/9+nE9/6u/8/e8cvUAwLttUxYSIkIsvC61pGntyr0gSBioujbNmec5IQK4MRJ0c42AkFysGSXU1hGJUrgbeYSFYhcU7d1qBwhkdjNEgAZrXcPbxOV4PiJ4mKPitm7Dx4TgoUGMxHB32gy6ee9Gt6elhd7cPP/lz37u5nTHmbfezqfz669/zJ3n3Zw4qdmyVBGGjJwSEZ7OZyScL2ZOXPs2zWVbtjzlaS5j3aXau3btXZjWdYHxhKJkph4xHw6IsZ8Pf+Ev/uUHjx+qb2UqgxBu6pwTEgYi5/vBYOggSsGAgmnvRNC3enFx6eEWzh9F1Lu5R/QANDsva2+dmc7bihwBzoJkjmAjv+fNEvODq+vzzTGV3JaNWcKhV6UkCF6ShBuaA+HW+zTlUqSrBRoha7eUBAMPu/3xdsEgAjtv53KYT4ueNFaEd771zue/8tm78wlSIG67eQ8QnIQaApJ2naa8tipChNBaY2EmMlO1bqoIKJzMhvtFzJyZ4l60MsZBNJxuEATk5h73rLUgsJHtGW+542Rw9DFsQYixTh/lQWbCuNfP3d/3Ie5TQ0Qw7q1jZorAxOrBOQEGhBNw4SxkFJZTqveHlgPj4Pqb9dvnz3ttr1xfP3//3efPnjJD9I2TqG5EYG4joe3gtTViQg++/+o8HMIiAiQQHWDwvMwG3zzd3pxYfH/x2vG0/trn3/7uH/4+mS+uX3ktm33ra293bS+fPdnq3e3NB6nMIgBxHkPzUGcEkABsOYUk0O7n5UiOlKYwAySrdX9xwFO9uNxDSp58N2d2sqYDk9/N0TkJBgoYAaJZJ2JzCwBmTpKGG7qbDskNjMX66BTiWDyNbc79/QWREJCJHC3MzB2AUkphsNUFAw8XF3VrEQAOu2lv7rkUVSVK6opMgEjEOc9t626KgHkueZ5f3p2dd1l2P/OLv/i/+Fd+b+t6quveTCQD8NN337dzs62f+vnBd73iTZWQhHtvPPHmRi12IRf77FGFwJq3ZSuXl623vJ+71kny6Xye3dKUvHVgwsQeYGoTJx6qW5aIYJZuWwQSEbMM3sj9EoqQgc1NEhNhr3o+nefdnGbxHkEhk1jth+sHP/1Tf+sP/+H/+cX+4nw+A8JuPycRNyslb+uq6rVVCEpZzIOytK1rbyIpkaQyAeI0lW1bh8LJIs7ntVtdl3NJ+eErD9a1tdqeP3v54Pp6vz/cvrwRwbZWAmyquaTEvJ3PaZo86Nn55T/+1M/86Pf9yOtXD/eJoauHE8V+KooOvUXAi/VmmrJkLiAIAd3NO3Irc3EHaI5CiFiXBgC9GhGr1pTNdNw0MMwxCadi1d1s7ZUYW6uqnlJurXXzMLs53gmyILXWCSNlbtYdIpBPx3MgOHADuz2fnfDJk6ef//KvHtdz06ZuALDf7cc76HreTn25fnhxcXXR1mYjhQKSp0TBW8X33//w6bPnRDBPU5rztm1rXVtt4UZMZuYeItK1T9NU1xZhOU/rurz2+qt/6Sf+6uPXXl/rllMGQtXOKbHguBuRgDBrhJpnRCION4JxH0QEutgdwBzc6P7mimYGAcQIjnd3azNl4Vo3ITLtFOCB6ggRCZkCMBidlptTWzdJCQeiKkCykFBrFgE2wPIQambqtSonFpaqfYTY3GDbtmlOdavgMc+76ngCetraF77ytc994XPBTtLWdSEEy9kB3DQlUR0378hJeu8GWkqB8Naa9oZDjIsYYQgA9w0oAAAm9vhva1kBQB/14Udec7BaxxwH7lVtY9CPY7YzEO3jVBgBivtH/kdetxFjGWmlcB9DoLG0GL+bmhNjhDECuCTKBYMhhKiajVRLAKpZSoWJNMzq+fO/8ou91wgnCARnwrp1RGS5/xJMh1gNxheGNFhAABjMLISINLzrqVdlKmb28vnLh4+m8Patb3z9O77v+yUnJd1dzo+vH7687eu5P86PersllNubb6p6TpNIuDcclF0LFJfEa1shorAERqCnTFCdwP28FGesBhHT5Z7COQgcGJMRCI7luAeAoSFSLsnMiJKZdnV3BQBiRqCU2cNVFZDcbWxeuhnhaI8Nwr8imKq5k4ezZEYyDwsDQFNtxLmXkrKGLcsy7w7gEBHEjITuTsxmY4wEJOMOAADYmjVLL27On3zrzWc3x1/8wud/1w/+0Pry/XU9457EsJ2Xglw4tnVbX9y9+onrb7x4SlHmIr0bMYNHV2hbXO6nNFHcnI+1cWuIYd1SzhqaRLa1p5II2dwhMCKyFDVFwsSiZoDRmzIxM7pbYIycBQaJJCJqrnRfV5GSUlddTsuB98Skaq37tN+9PB6/5wd+4K/9jf/NT/6ln7g8XKoaEzlSgGtTBC45pSIR6BYEnnLBiP1uTjlv54UIl2XZ7w9M4oDCsizneZ7q3UpMa12dYlnOjHT94LJr2+oWYNahJOmtZUlg3i3KbqrW2Vl7f+/D9/7Z+jO/+0d/dy/7ubCkmKVsapgIArtrBIKFVKxNGb0U3u3LrkzLtvrmKYOIcOJSJsAwc2ImopJzCHh47+pu9dxgIujWty0cDBwBQH1ZjoMv1JbFzZ1x1RoBJfG6VQVXs/Wk3Uym6bT2U623a33y4sMvfPHX1roBoamnnABhTLrMFIJyLm6w1U1b21/sxkjteHtW862vvW8QuJyXMpXt7ti1Y4xoCTJRWAQaEU25dO0InHOZdvP1bv7LP/nX3vz4W8E6l9k06rYRikys96lOEOGRgEJERjIzDEAflJERgnEm0XAHbq7AEIjuoU3XbavamdJ6PgE4hIU7E6ITCJIFamAQM+6mJIGbnihLc0dkYjJzULfwhJ5z2ba1lDKl5O6IqN0oKEwP+wvrxjjeOwPCneK82SLlucLPfO6LX/zal4S96wmsQa9Spl63/X6/bVsumZlMtfdupsLMLNqbmY74n9BQYoH1TkTjACBGCB912PvxzP1+FAOciAnJwhFxLKEBAwHvo5MIGHGv6fKxI4CI+zjo+Kk8Et5II2szbuD3LwrjlxICJEDiTJgoJd4lnri4DMjJPbRx7K/d/tsNBAMMDTNom6ai2gDDtCFAMHrIuACPAslHAWIfTAF3H/NwgQAiJOLee8qpVt0fLq4eXZ2Px2Xb5v3VL//Czz19+uxH/zs/spum8/n2O7/n2z58/+bRo6tf++ynvtnPW1tyIW3Ua8+SHIwQNTpYBBgHDRYgRmTKFFSSsGOo5SLzzJHDo88sZCHMhmSBHgoeRAhgEDBsZWbeWzVXFhqEzuGZU6tdFYF9uBUohmcOMej+QCeSHB44MgoBH53q0Xob7ISAuLu72e8uWVJOyXozg4Bwt94dIXigmJPYOFoCApxFHCgQDleXRrE7PPiZT3/21cePf8MrD26WTSgV1lJSO65htnt4eX5x4ofzq49f/eDZ8xk4Z66rIqWGVg00wtZ1R4JE5+M2Xcxrtw7KsygYC9XakDDPxWoHoi5tjAXUULK4+8gHUGJXJyYEsFFh+GiDRYLMbK6AJMTdbD0uZZq4sKRUu5V5vluP//0/9D/6+X/6M//g//P/Ik+9NhHOKYd7mQozv3jxUlJmpt1udz6v+JFjdiq5bq213lvv6LPQ6W4hgrVtL17cTEX2F/vT6bzfzcz8wXtPk7CIDIY5ADKRah88HzUVZFVzCXQ4Lsd/9DP/6Ed/+Edef+01bt6hC+CcU+2NAvdpt9Vqbc0lYah5cSDzqNpykTBs1m3dNtlyKdptf7Hv3cG91669k6B3ByKvXk+bhxMRApl537q5EfO2bedtcdeAwgwe3h1bWG3NEQy8BTx/8fLY2qrta++88/a33l62bYhNcskQ0Xvf7Xba+rat+/2htVomySmh+7bUkRpvasu27C+m9568V1u7enBZa6tLYwYRCQzV1psT85RnMzBzMOIskvP1wwd/+s/8ube+/Tec1rsd7wipR9/t9mredSQ3hg3K3BwIIRyF0UGYRh7QLJACDB1MTQMHZnBcYj1Cl3UxtY9A8tKPGmFBoc0YMRxUAVAfP9jD1p8/f3nYzR7BJdWtYeBuN29b3e93p7s7ylEk9aZqMJIGpeRwc20W3qx7U+iBJYzxvMYCeAz67Fe+8c6zp1DYrQYYg8U9ABcBIaXkroMExYzhGBF9PP1bD3cZvelwt2BmN0caC9X7u/o9kgIC798SHIHus3aAACD31VQct/eRfQhEHAmpcRo4INiYONzf8u+v/fe/MDyG1HU0mZDufxBi/P4UAO6eWETKjhlcewcoGcIBgZOo6VhlpzSBgzBS5vCO4BEOQCgEAeAOgL01GtEYdwcCBg8LH99xIxJhIjMDQlDHAMBUa+32EoJR++mmCl98/bO/8uJb7//wv/zDb7z1sRfHpx++8/5nf+Gdd97+VeIl4pRkDmUAcujgNJYsgGCugA6BCZMwE6du3cwQE7i1ZXNvuIvDIe8IZ04ZUqMYFy5ioAhC0lAHB1cmKakEajdtrUOv942NAb0KRKKIkck1JgpwwnDtYcopjQchEYW6uwGihxKOur8TcECc17tpmvfTQc0JwV0xNAzRgQKEKKV0HzoSVnV19+gsE1La1Dnj1etv/OxnPpf/5d/0vd/xHVs9t1ovHl7eaFONpW1d0/tfefqx7/3ERd5R3bRqkdTMugBG7BgTUFaaUsFt3bZedrw5qCtoiGB0j4jdfhfI3ZUp+VhDjZWU3ecMhjR4NIeJMALNNIABwD2IAQNH9CKnPFjWnIUz12U1t7mkF3dP//pP/9Q/+JH/Zt5NWpWRpym7WW/d2ff7fUD03uu6zWUKcO3sDtY1pUQJg0K1+9l6DUc1tf1+dz4dPVRYbl7eQAAzTnM+vXeHGO7Ww3GUcdyZU91qnso8T1vdcsnbtqj1f/Kpf/qbf+C3vvXaW+dtO+xmh2DnwnR7Puacyy6TACp3U1FdNwCm81JrtZQFAbRpq85Ep1h6VYTVwcMhTSLIENRqb027KSG5mrttbau9BUVXTVMONUdFRI2+biuJVPQg2izu1qVB3K7nr33r7Xfe/Va3FuQAhojmDYMlce+VCC4vD+4xz7Nb1G1DjJyzmT5/9hIIzPTZh8/CfZrKixcvS8n7i926reYOEARMDAAMCiISAhHAklur/97/8s9+3w//4O3xZt7tCHndNgwKhN4172YHN3XtnYAAMI3kN9xnATEAYjzOortykDkgozl4RCB0s3WrvRuAm0avncmTkJkjDJOoz3OhEtbqtnU7rZKTagQAFOQkbm5uvVcCuLjYr+cFnUQyjFhQQHcD67nkZduEhQGbNwwwSCeHJ8f1n376Ux+ux7qdtuV2J14QmSQSWUBKEmaqfeTrR949wj1CW0cAJjLw+7bXgOGMz8z9ExghYpjv0GnsSyMiYIRjnYABYegk73/RuMfj/XAIRmsAwiMGgC/AAWMgGuF+3hMINLaViBT3RwWGY1AgooMBjEKSCMnMvNuVvaQEkjhtGgBhqshoAeF6uLio2zbgj24OBAQYyBHBJKoOFgaWUnaHQPDoappY3AIZEfBeJTYSVO5eciIEdz+ebpBTknI575NI3+4O02E5vv+z/+xpq6fW1v1uDt0oVbM1z3haboUKIzGTugcEEQuLOfSuarbLySJMjYAyizctk0xBjqbetraRKtvA6XZARhyVx/s1emJhhNZUbfGwAJDEPApQg4UZjkEjpBjx0WzNbCwBNMI+gqaPlBjec1NGHxu1aZAlFlOr2xKmADxPOzclCkmpd2MOMx9v4u6ahRGHn1pKyWY6Tbm1fuuBmX72c79SLuZXrq4uLnah+tr+4y9f3h1P23wxWdiTr3/wse98fWk9KBDJBZyp9X6z1Qep7DjBZg/K7lY33zQzn5sREzFHhJqe7k6Hy73ASLTAR/njkCTbtiVhAlJQHKkuGO+0aOYekXIiIEcXSe4mLIHca+96fvjKw40qi7BI9bZs/hN/6Sf/xk/9lWRibqa95CEnRGbe6sZMOefT6RwIDAxjjoikvffWiZNHcEJwqrqJYEoylRJhiaWrAfjpeNrv5+V4JCLtigCCZO7WFZjM9bxaKQXQujkJtug/+6mf+42f/N7v++7vh6WrRALwRFmSk6+6QIXM4hpmkRLlaQIiB9jWXkqCAA0v8xTq67K66TTNgdhrX3tdtyXlpO7alRC3rZr1rs0BmvZ5V8ZyeNs2CkAhT3Rzd3bm1rdF++16evrixVffeef57dOuChxdGzMx0vi05ynXrd7e3k5TR0xmUcrkHhcX++dPnxkEI7baAiKlfHd3R4TzPKlqNEUfU1qEcBJWDZbc1QJQcpku5x//c3/9u77vB17e3EzzhERNrcwTAW1bi3sxyIAgeXgIUxZxcwxFHMH8wKFHHuxPN0AEBBv8OcTWdVk3Iq7V0CwzdrVeraTMwihEjKbm3szdmWWfJyrn50diBP/IUhaeSzHt4USAZS5M5XRanALH9AkG2oQSAhFyyUq0dH+y1M9841vvHm87buDrzCBhri3CgZiJtet48QeiMG+tIYINi/RHzE4IiHBAxjGBBx+hsPG0GNGdMZmh/7/C1GgB33fBcPwpYAA4EdnD8aOx/giiENJI+NzXgemjFwAECPjo0j9+NgLGSLoIMgWyoHbFIEKcimTSwnGZp9ieO7Ax5yJTyevWkAKQ1UM4A4abEgIxmxoxaI/WdFQckqS4L0wBEwsIIwUDEgyTcCAIAKiaQQjev1CBOCMSRevrIFncHe/StPPNBaHMYL2WTEvfuikqMZFpRRECdDBEivA6IJGQyjy7Y0QIAg/bgIEEJ4KcSDLmnewmlqOHOjICgRuEIzDgvbs4trUN/YWIeDiE9zZa/YQByBge0aObshDBvTAdaLzZCbMMXAQSRQAQmisCQ2Ag5JQRw4Y/IaxVM3f3LiLCKQm4OZOH93ACAG2Np8RIvXf3mMplb0vdzsTpw5vb3ZuvLgS/8NnPftvHXvtN3/tdULJ148vrMtUtGiHdvbhZnuVyIehsioZ4iiYHPm4OW7va7dk0M0akbW016uUrVyetvXciIopuum7t4nLvEQqW06RhRNCbJhF3670zEBJYOA9cSQ8kksTmLpEhwtwS5940AMo8n9bzcq7Egkzn8zrvSm/LH/xDf/D/+F/8F3cvX55uzpTZ3HvvjKzWDxeH5bRs2giBRWqtATilaZy45h2QiFlNB93FzEy1Nrh58fL64VXZ7VrtJaf6fAXw1isBIrHGANkAEQuKRtNWS0m5SK1NDfO0++o7X33+4uX3f/f3f+zRaw1Mg9F7YihZ+D7GHd6aL33XLDAYMZW8rYswifDp5iYXqVsDgPDmMCib1FptbdMw70aIbQDOVAFgTlKXlZK4Q1dDBu29mt/11ns0s9v1/PY73/jw2dPb851Gd4oIl8TCDBqDAlZrZaYBkBmXxDH2effd93Me0lPY7eZlW9Z1m6d5rYsphI/7ObAQEfXmrsAsW+0ieXfYO8Gf/BN/+od+628+LadpnpjTaTnnlNM8redNSh49+vuoCQmEkTuZjZ1gRHQzBGAEIg5zMDQwR4QgD3AIGogIdRmsUQi1QOfEIixm0LZ6P2I0B6AW0U6bJdztL87LqVButtA9lo2au4XvLy6W88bQkHCcRq6KjkguCFabmwWmm2ovDD777pPPv/fei+WOaUvbVtjBmhATEgBtW0MmJiCEtm2jsDYu3SVnc1ftPMq3wBijoosRBvf25PGAdEQMgMAIHAelI9BHCU8c+dCRub7v9o7Z2Efb3fEDNM77sViOX/9Zv3583O+BR+yKggP9Hl8+eBNAQimRhDpa03rKEPvMhByOZtZbR0LrCiQeXuuaSxJh07AwCyMn9Q7A6JSlMGaP6L56eGJBRHOHQYSOCPBwlPBguZ+PAWJvlUCIAMJNIYqRgPUKBkzo5iPepBaqjZkwgABZCMB6cxFhFqtu1nMqEO4AOWVtzS0QCAOScJgBJjN3dVdum2EPdiRBFwIOIqDggAhXJChzcfMsAhytN0RkuW+ihoeb//riHgJUe0pZSMYEzyDUlJg9fKB6hdjNRZKHdVVGxgAzRQpESmlGh1pP5mmxwBUJpWkVzoEdAUsRTpT5/8fUnwftlu13fdhvWmvv/Tzv8w5n7O7b3Xe+GiMiBAIJwhQCiAgZgXEcxxWMkLBsEiCkcKoAx8TGzuAMVKoSF4S4SEKq/EeqUo5HHAgIJCFdSZZ0dWfde3vuPtM7PsPee63fkD/Wfo7o6j7Vffqc8w7Ps9f6Dd/v58tmaAZJ7GQYht7M/fGrZ+O80wr7/fWHz98fNv3nXn0j2HbTVjbdwF21ep7Ob69uHp88cPWqNnvldWcR0mUDfHEYz0NSYCd0AZ1X2724Wd8/VfTZlEHGw1zd15u1mQeAsiHBPJecEgXWiFnnRLm50c0tDACQgxg5wOYyC7Nw0lpTl8zd3VarYbvb9qtBEKe5mNYsFAR/+a/8lX/9X/uJzcnGWz4yooNFxHgYAXB5qIj63BfVuc7hkLoeAGqpyIpA1BjUiVOWLqdHjx9Vrbu73bNnLz72xqvTWyMzRYi7lTqnnLV6Yik611pzShBu6siQMlfTUqaE/fX2+vO/8vmPPX79O77t21GGqU7JKan2fSL1VZ+LOiFHKYQQbknrahjmMjX/x/7mkFNKWfbTRCy1qJoBQOrYw8y9mEZ4Q23OpTpioATK7LUCTFMdyzzVWgW30+Gjp8+fXT2/ur3c7XYB7uDM1Oc0z7OaJ5QAN/eu77VWdSul5I7Mg5gOhwkI56J1Lmdnm+fPX5xfnF6PY9U6DIPWGRFrNWIkYohAEgRQ89x3xHl9evITP/lnv/8Hf/uLq8v1apVF9vvD2dmmVhvH0dznUlarAQkhoMtdhIEjE4IaIBgsHi8mKurC2MbQGhYRWhUEw2K3P2wPIyeJWQWg+IIVS9KpalEjkXGccpII6CSzBEsKgO2477pUpykzIfE4ztKlnHridLffk5NHjQCrEe79qiv7g2nk5BphnAryi8l+7b2Pvvzhk7knKogazGF17piLVhRxh5wTYMzzFBbEaKaND4zLDCCEqbUgRA0M1iQ82FJTCDEa8Y2gSTaRGlO1aWacXo5YYQGLRTMzLQr/dp3E0onjYur3hZC19Ba/cQd4NFBQa809MALCsLVQiTo0BAvTtulkAfJZachdn9p2irM4QgSaW7/q3c0xfLmB0Bq7lMib1NEmYICAnHKAmysAAEEAhoeps5CwkLkDoHmYmggRkgg1ezMn0Vo8AkF19syJAMAciVa5BwgBZKaqtUatppxpqpNaCUAnVzd0d0UM7FIKBc7SEVB1RARyx4qEwuRq5moZHRwYPMKrhht3hAGzztTmVuaELQIJEZCFPRwaldIU3AGJmd211HJMLGFowfcNkIkw1xkd1Yq7Z0lzmZkZEEkYEYpNgBTkGhWZ1J3JrZZpXkamkgQrN/oVoczjARCAKIgxUkKyGieD9JL/X//vJ3/4d//+7/jst3Wb1WgTClao0FXs+KMnL5Dp8SuP2fRAepjnMECLmtLkyKHrPkuZTyOzuR9qMBGRsaU+HQ6Hj548feOTbxQvtZYkKUuO8EOZEqc+raYyM1FKjODh0diNk06ILIkDsZbKQgEownOpkqXv+v12d3H/4uxss726HlZntzfX3//93/f40SvXl1cYCB6bk3VEoyRRLRXCReQwj2HuHpxS363MdBpH5tzgyYChqu5qbgF8dXPz+puvPXh8PyjMlYjNte2ou9Q5RJbUeFUtXb2T5O5FXQRz7pB5Pkxdhurx9kffev/Ze5948xMff+PNgYfiMc2FnLZV0TAzrTtOjCI8z2V7mFJmYYFwEa5zYdM6a+psLjV3OSLqWM0NAmrVRrOAAOm63VQdoR7m4uaCuzrv5/lu3L+4vbq+u7m9u9lutw4td5QoQIhdvZPOTQlROFUPVSfAxCzS1nB62Os8a5cZmM7P7pnVzWatXlUrE9U6W7iICLBDG28wcVCShDKX8jt/12//Iz/8I699/ONjOWxOTiBA53nTd6FutTYxXO46QFS1PucIBYe2JUNmamxEJgxw9SBQNwRKSersi+E1wszmUkst3PJ/54JE47gbhgFAxr0Gk3r0q6HUAujVINSYpcxlyKx1yoCuUQmHfjVbKbUAYiA6eN/302EipJTztB/JQZhMtZjfWtyY/8yvv/v1F88OPVS3YlMfBhQpd1qVufcIZHBTiAg3QEDk5fAFJyQAd/P2LWyYN40aAcIJPQIDkYGgIQfa4pcYkQia+8h9CVBfhjzwcmFM2O4GPjrFGhki3K1xf5iOzq9mvILFbBtt4tbgXUdqjaECEwJ2mVydAIWIRQjh/sWG3wdCmKfJvSBhVW+qYiBkIm9CJARixoBWpYFHhCFJ2+6YGzoSYJLUiElEi0rUPQSg+YOCECQndzdVBBVO3LFF1BosQoFAkTokAtDQWjExeGBKRFzrjIIk1CJyG/3aTNu/MGJoMDKGe3HTyDkJYmZhYiHxYqu8WtFq4tkNKlRCZCRidldEEhY1O+5mkKmZfcNU3QEQRKTVJk2iY65M7XmkJs9FQjOMMKEEDpy4aXzNTJgBASjcrYmA1ZQEtSoJAkKDvgIit7AtwgAIbwO/yuzQgpYRCD1zrmbNjstdvtpef/Ptbz56/AoNtCv704th3qtwQvPDdn99+aI/3xBECupSctPteEj9ighsmoZ+kOox7e/Gw+rBGSWcVG329cl5qeWjDy/PH5wGUm1RbimtO1GzcZpTlggLAgjMKQmxRXQphXnr/lgYEaopKqScAAI8hi6XcSJmIp5q6fseAP/cn/8L/86//W/VqWKgal1YIuFqKsK1ViEBavBbOIz709Pz9qgIsaMHgDAhhiOa2sXF+eWzq6nMZa7jfqyzeuvZA9QUiGnxWIJbmBchFuauy+5Ry8yiksRhqiHgCBxvffD2h8+fXJw/eHT/0WZzMuScWDyAVEsAU3OPEwMkCw5jIZ8rUQvoxP1YmWieKjJrdSIEQG0BEsjhWGsJDKMY52kOu7va3Rx2d+N2nKfDtL29uS06mStEYIQVy1lcrZNUppozI1CdC7IkSbvd7uQs3GwqlTitVj2xaK3gcXe37Yc8l3mu037cIy9FaYC3VaoIjYdJ+ozATvJj//qf+u/8gR+K1qdXa6CnjruU6OZ2R8zSSZKOmIpa1+UmHRYickLCwKilAmOtNYmQcADWUlpWSDg4eC0VhGvVUqswu3qbk2v11WplblMtzuHhBjFX7bLobIlJDyUzBQKCrTd9vT2IJNMQ4mI89OtV383zrONUS+m6xEAB2OWuTgfiZAYTwY5WX/ro/a+9eHGrs+oc86EjwmpE9DLUkJkAvclMoj3k7kTHpQf4ce5yVJMKoxMxEhJwS0cMjOatbfX78UgmBIvFeBSNnhANRoCIL+c7LyX+L6VBR/kQIEGEL1IfRGwzJGj5AdjWQm5BRIBATAEUgeNYMjJKnKyH1Wo1WOkYE0e3Sjw7tz+2BUBWBQQzZWFfBItg7kyipgAoJBChrgCYRACAmaMFoDZ+aXvQ3CXaltxUa+mHwSOQmq4pAnCaKhMzk+ncDwnI5rlwECdWq8wSEYdxiohpnE82G9OKjObmEWaWiYmRAFv5IoAJiNwyU8dCjhjYnfRJ2PZqWHhFiJFFzIyZ3AMY3VuOVdPBOgsRsxYNIA81dyY+6rKAiCDQzQAJiCCcGGs1BmqrnYZy8qNnWEiYJNxnmyWTmSMShVMwEOAytjMihLbLgjBXCCeR0IgADeMWk9boE0ARUGcHitM3zzebjRd9+uFHb3z29c2mH8eDSJ7maZVzznkax4g4f3yx47BS2zJ368WEBkKb503Xv3Hx6OnNzftPLmMzrM5OxtF2uh82q7ub277vulU2sCTIjOM4pSxdly0cSdpGDygcvDaIv6mHI0pKWd1C22sdmVPV2ks3l6lMhYm06ubsoqr+t3737+z7oUwVES0CA8ZxZEQPLF5zTm7OwuN0WK9OSlS1ghxNzIYUWot7qBUi9KAyjw3BnXKuVXMeZhtbvebkDqBRwx1a9FUaPNyCplKJiRkBDNDNgdyYU/WDg3mN/dP3n1w9Xa1X69XJ2cnZenXad3mvnhhbTeBmSQQ8UkoMC5Qx3JMkM0VAYl6KKY9AKBpzmRxgLnU/jWOdt4fdZFO1Wuo8+3TY76dxB66+gMoREEgEAwWlTCVxSpRrrSnlWnyOgshaoxNerTMAu1stOvTdYRyZcHt7q24pCQI0XQYguDszEpNbpJQ59Y70u37v7/09f/APQZaUk421TjMDSobMmJOcDENxy12nAWbGjIEBiIrhYEyI7ohhzWuaMyE2MWbr+NWqQyAySypQSyngobWGWYP9WVsRM5fi0bBvGDU0B2dOhCYE1aZ1n7a3d+uzB7u5rlddEgh3BOxyhkCr2sY1HFRrzdKpRQSNc9TAQx5+5kvf/PzXvn5XLciSK9cac2lmtTAPDIgmbfFo4zsWWAYsiIzRMlh50e9HhEGEm4NziIEtVUZAgNOSkB6tVK9mSzAiehw1psvGdlkVRxxxDkQvLwRo9X5A2/niQu078uIWQk0zGIMvuR3oHsHAAGLgLNJ3gzAlpOlu0usdVO8kzXNxb91aINNywQGER8ukaRkvjPhSwsQkQJiQzJyR1KpGNEc0opspIiMAMcjQ93PRJiNpyxBOBIHuYeYRhCzu2ihJHuFggoyEHJxSAgNENPRhWBFR8fDQNkFjZEAMDwCHoGka15glJQzTveZNR+rTtJtgcnFnqgFukXpxIUaG6sQonFFwSboAIOLwUNOIIESPhrUgd2cij/Cjtc+iyYo8CGERIzgQ1lobESVxUovm0CFpcjBCDBYyXYjBjeHUjs2m6eD2VmiXO0BACNECBDMXlgiXLBSOMZ+suwf3HtIU5vX5B8/uf+weKfGAQ59t8gjourTf3a3XA5qzkwNoKCBKx1DBKyYD3x9OT9avErwYD7AdL87PDxR19ovNxeVHz1//5OuUWiEJq9WqaPFwbMo1ABZm5gZKKXVuZ1y/ynPRlMQAEaEFJCCCVcspK1R111r3u91q1Q/D6p//F/57f+tv/p+F0v5wcLVV37dvuLDMdVY1ADg92Wz3eyAOaBnrrrXOY+FMEDH0/e3NbUD0Xbo73KkZAA6pN7N205tZ4yKGB5G4W4CbR0RYcWahIKHkYUiQUgIwd0NKiGmadn23jrDdbrfb7Z89f8qSCOn0pB9Wq67rGDkl6YcBA2AKWkiX7NYYYUHBFq7FWllQat0fRlUdp+quusQgq4cCu+p8GHd1niBquHEQC4lwmDdyJwTl1LmaqTNxrQpBAmiAZdbEKgHNqQeA+/2ehOYyA1LX8/PLZwHOzGrVwyCCMBFSAAOzW6Qh//bf8QPDanW93130XakTRpyebYaco0xlLi2yys3Ng5IE4jxXZmKmcIjlB/CIxOwR1dQ91FQouYXDwl8HJKuuauFOCOquVsEBHCqomps5MDmGhvZ9nvb7TeojnAk5mNnX627oBj9ZmzkGFq0AUcY5lgeZTLXUWUCs+Qtyty+2Rf7Fr33rF776tbsy16oMjqhYCpmzIKoDgbkvsVFh0SYgAE1LHwbMfDy1j1iAsFZ4N/0NQBsVL2y3huVBAmjxQQHUgAkebb4fHoAI7u00a9P8Rf3v1GSdjXLmyzK58d+O/oIACHBYUtrD4xgPcETPhRFk4oSBgNACP15789FoY5JUSxnVeL1Gb27kaHhWCBBmRGRECFrWDNHI3NCW2M3S1iZPIqJmjMwsOVEA1FKYWcb9lLouwqppELi7cMfI7hTBKXcpdVoLS1N3OBOrWqKkao2kb6FdzmY6z6Nq9VAIEEnUotwx6lwZWFgM3EPDnXLCgH5zkjab4d5qtca0iwRpQtVqZuphGBzkrs0EGarWsotb1ANCiAgEcMtpqXNj0apaLOq1ZQYXtvRi0Hio7u0YR0JCaskMzVksnCOqWbiHpBRtD45+lIJhswoKcpCoaWYhQAiwqpSJpfOAeRpXw8ZU+y6/+OjF4VPzabfOyHf7292Lu/PH96orAHUneRx9nqZutbl8drc6Oe1WqwDVeR5LMfDzYe0DzSrcgYMx4HlazaXE1b4/XaXMup0uhs3bX/3mm5/5+Ho9jGUqtQaRY1BEg32Ge3VtEMGcU0QIE6Nv1t1Uq3lh4ogQQEaexrEf+j53h/GAFvu7nTDVwD/2x//Y3/5bf7MJPbuUEGm/26eUzKzv++28B6FSNKVcanHzUub1sLIId7O5mhlE6vuuG/L1zfVrr74ylXpzfdt1KcCE2bwiEIaHWRZ2B0AMdEDwwAhzAwGuBikJM85lDAARycQYc04SOpliyl2DZZV5QubnN3d2HQbOktuhEAYpZ2xRToBm1q5uiKjmwOjh4UDI0a6CZRoV7pVSWJlLma0WJAdXDocAdBck8qhmwoxE7uDm2sgk3qjLAEgMIIRJ+DCOELRa9eradYmYyjRtD9uTzbrr8+Gwz5wiODE3PUqdZkmrtscc+vVrr76akjx6cM/M6jTfW5+uVmuwqgCuruoASCkxsZmXpuhZ4C/AxBAIFAik4aqNmiWA6OjOACFqRb0ic61qxSgwgwi6WUWMxOgkatUwWNDmEBar2kvyWkSSFl0NjFFXKW2vL8EtgICYmybPggI4M1G4sc0VElTzqcCe4Db4Z772zZ//0lcmcK9TB0DVOgFu1Hcr4UbSRi5B7tS4Cy0qwBwpjiveZT/rSwHe5JzExG1wf+T2L4L+VqsjLvzOpSzCZYm7HKzRjKUU4YjHq6Up/JviB9Eb8xnardA+CSAkB385fWi/somHuKWOAbqqoEiSMk9YnNabaTfdXd6Vw7zpVyeSrtQJgBiRWBA0LMAtnF7q3o9sguViW3YS7uBuwdSmimRh4GimYSBJwkE4yTSXlKClx0iXrAYCdt2q609MUbhzBUl02M8eNQkl6eZZkSTlzkytOmA4OgJkEQeOcJHc1hFullLioJQyV9BaWZBT7MfdaH1wJ2kQSj0nnioLoJAiG1LrmxCgIQ2EiVjU1c1T4jZ9MzNEdC9E7K7erjsEZqEIbHJQQ7doOFF3I2lkIK61soiHkzQ1b2vmCMDbyxtgx1ct2j4cPKxtYJqnLMIa6A7JPcwLcd/1GdEBw8AOZYcZc9ed5Jw4Pfngw3lfXvnEK3f7u1hJl5mgw2CoUQ8TRsg6d72Qh5Z6W/bUr9wtKCF4Pul6kO3Ndj9NACF97jZ91Hh8+uDm6VV67XHu8qSVhD2aE8/BPAkR8nLJAQRR9ZjmiUvNfV6vVofDPqcu3Kvqalh5mFXNKRHTdrcFBCJ++PDR7/o9v+9nfuanXH0/ltXQrTerw/6QUhcB6/V6u9+xiJmu1uu5FhKuVgEpdaloMXVCOIyjWRWWJ0+ezrMBQp3nOk/gYGFC5BgQ6Aa/sVhD5BayEQiI5h5FRai1COBYmvtfwKKmPETMTBwIKYWbhQg5InHDxJu5mZc6A2BLifCWGXkkvWBia8Em0VYREeEUHmYOOt5NEBZm7aJKQmGRkrS6U7USN+jvcmJIShGOQkyk1REBAWqph8Oh63siKaVExM12n/vsbuenGzW7vbnNOe2229wlIgIPYubAlBgopWF4cP/h5mRDgREB7mfnpxJU56lZfDERImur4BMAMkRQash0JAJXQ0DXQKJSlJNgQC2KTB5Y1dzUPFikFK2zQRgDhWNYuBpgYFCbDfSpK67qJsQUkJAJUes8rLppt12vErupuTkEoakBskf0OZuq1qpem1QeCA14JLuV9Ktvf/Dz33prT85eSQuUmpEQUBDEsZgxI7SeOwK8+kJhWHAWLcURCRuc7ViBH2c2zSHZqG0L9wIRlxI+lkoZPJyY3NtCiAK9dQ/eQDytSocm9gcHQEI3bwNKakqVODYgcdT8BLgHE6p76yqWpMnlndcmiIEUXv3kfP1d/43vOH31Pl696FKqh1ku+syCrg7Lw/3yBPOI1no2qHWb8MFL+RFC83OjkHsQYe66UgohBxgguYOomUiLPwYMIGaUtO7Pz84ertbnZizctkTzs49od7juOrm5vtts1qt+vd/u+lWu1at6LXPuEgm5OQQQgbtpVQBvaH5yYAc0gABGTB3GvK+3Lw53O4iY58lDmcgDw0NdgRAZhEm9WgQyEAEHAoHasljvug7AqxdCaUENRGzhqsbMGABBbb63+Mq8LZcJAsMBkDyc28sfMZUZAFJKHuFuHobA2JpHp6YqY0KiUK3ILfgLzMPcmAWFTC2IapkgIqo+e/H0S1/64g9+3+/YbfebfnV//eD5i2e3/fW91y5mm8btYb1ZTfvppO91Nh1HIIOeu54JDAwOdRxSt6+VCYOIw/uzVbb++UcvYjfB/oDrgU56yPTi6dXjj7/KAi3+xdQcvZnd2ulPwoYY5sIklLTWsNDQruutNk00mBsSBpCHk8iwXl9f3202J8T44z/xp//RT/0DxjScrFRrrcq5G+fZIFwDCIACG6GoYarUJUu1qWl2x2mUxMPQlVrVAJCZCSUki82aRHSuR+dMNM9a26m31xQRTFUkzVYiutxlIokwRg6DqhMxg07m5oosrG4p5Z6zhVetgJQ4OdGsigBJBFDMrIZhe4A1gDABA7GFgitCALharXXSWpFCABDD0QCCCCOc20LSnYSJSVUheakVmBywow6c25wQCZHAzPrEQ5+nOgJQ33VIROwpZ1MqOpcyM3GddRgGIgpTMwPznDp3UwOAdLranKwGAmAWr5VZKEDVzM0QUgP6I1ZVJgkIZvYwNGg1k1YF9/DoegEwt7BocsUIj6LW3vfAqWptnGCACFe1ShgsrB61zu6OIc1cUsapJ46IJFInR+T1sEpg4+12td4oGhCkLHOpnPKsxasxY+I8lUmYx+qj2w3Qz3/z3Z/98lfuajGtuc4dAGEIhGup4IgE4WAI4LjQGo4YNuKw5pho7gBu6kx0ZGAMIGSA5fct0Ibl8F0WuS9PYjyi3mjxiLU9bWsAXjYKuJTdx+kTwFHw7w290rKLIzyQgEgWDWm0QIDl47r9BogCCc1VK3QpI+JhP7rVvMpd7tarwT12+8PJOje/RYQ36SO2eVObp9XaLpzla8J22y1LzbBFsqLVECgiSLhWRRDRqsKIiSGIRNxQUj7d3N+c3FeXfliB+zzuLu5dRDyxGhV9szlr5ric8/buThIhIguHQ7UaEWFhaESURAKifd+JkZFEMANPY+GTNU6RjTL2NqFV6DbDLvaTBgmnTIgwW5m1EKEkDggzq1rbaQsAtZaWvNOWcMzsEYDgNVgICed5NtOcMzG31QAxNtsAYiAvWRDm1rgfzddn1tora06T1hU2qddSP0QwH7mCgLDELjtFsPTu1glXtSCSTr7x3tc//fFPv7q5x4zCfO/k7N1vvVdB7z28IBcwzEnsUNHDaoEC6tDnFTFVa9b76EI6kUNoloRgJHzx+FTnUAzgdJiUJGn1Jx9+9OBjDy2sFu+61N7ZbTQpzLPWRNIuTmZiluYsa8IACAALRUyJq9f2LWWR3YsrImLGb/+2b/+u7/6eX/vVX2HCdqT2CTMktdrngbk3d8W6P+zWq42bEebDuFfzrs/73X499BAxjmPK2WZPKRPT/nAws/Z0wfHENfBGb4WWCATQOGbYjitoqVsE4EyEBEJk6gzobgguJObKhF71ULftbGPhigoBAuhWAcDMRKSpDMy0tcd6OFiYag10AA/wcANwCPfqi2K1zciJIEAkuxsLqSoisqRwZ+QIbFsNgObuQTVjRBKqtUxlopZUB26llnkupWhVyVxLdTsqkczAQiRF61CBkFI/pO//bd+XUy/MzTSLAnWqGEDCHl4DkAkokFndGvSNACU1cVtQhIMTYpgR8KJGXHQs4M2Py2TuLTGxfdsBgpGc3JofxDyL7OskXScpz6VCgKNPOp2eruwwwTRfnJ+uz9LdYdevht04EQEn5sxawoq6hQh1fZ4VDxE3wV99+uynfvlX5kCbC6hyOLgzi5sHYfgSwrtobJoog7iNXojIPGjR10O0wU/jNLdfj+hLgCC2Mf1xYHI8w5vA/7gSODqgEY6xjkuQCQQRL4JOt2ZzWWhJR0fYshiMpXRpu+XwIOIG/sQjgMD/mXVCSrIcOBgEPvQZGXf7kZkCARDOzjd1mkjafGeB+7fLqf1pywc83mIYiEwRTkGBLQujfea4dKgsIEJI0vedWVj1bhgiwIwQ08W9B11/WqqIZBHqunxz/QKB+/5knnZ9L+G+3+5MKzEw0zhNiChJADDCOItwCo+pzkiQOS9Ce0YCZEJGHqcZec1nq/7shJCHvjvst76i1BEiTWWE5rTG8GUV4yQpiWTK7YVJJIhYaokgb1ccgLkRo0doNWYRkQiPMGJC5FpKgzGpWVVNktoYvwXDubf8U0dDEKjzTCy1lkwZkdq+oUWPtcECIwlLrXO7hhkXk60iMDMAjaVe1tv3n37w6tnF82fPH1/cP8x0st88e/eFl3j4+v0yzonl9KKTwLvb3VjHOhUWHB5s6CTd3W6BweZiwWRY5jqcDl3jbgZ2qZvn0vXJTElERyvbeX2xnupMAERQamUISTk8+pQMnFoMOaIfB4dLlmxKjhEA1tyfHiSARPfu3TtM+7nmRPl//m/9m//dP/SH+twbeJaETDbPiFB86mgotRDS5mRjFmrK7F3uapkQmJHH3ShCw6rnnLbbfdHRrA5dbs/MgqePaEdPtFpvEXS1GgoJ0KICAiOYaetqDXGumliA0CLMtE06zCzcOACBW7W0SPmi3ffFw6upqVU3wIWczsG47Poa8iwA3I5YvWgBD9QwXsHCRAENWhIIBA2DCIBC2bQSAFNb90KStHCFhYSlaoWgeZxqqUwcYV2X+r4bxxE8SEiLIqEWBRTJMh0KkKWe1PR7v/c3QShRLnUOCE6CTbUiWEsQBVosYc7LEMmJxU2tqpCAEC27DiuldHlwdXR3bJ5UDIRpLsRYtUCYCFlRxyioFhWRgCAJazip5izVrGOuZaKcGbHoBDpdbFZJ6HK/BaTZDRM3u23U6u4EnjupXtRpNLoN/uX3nvz0F76oyF7HzhVsiawa3VgSEGZ0AwcAsCqELWLMlpE6qhkhWhSE3OpfPOocF7JFBCFEeIOnUAv/gsULu8A7mdADEIl88XUdoQ7H1W6LhIFoZOaIJTzmePiKSKvAvUVKwrJ6bHmucIyCaY7V5cJYWhUCB1Mv4LnjqHV3eaOjMRG4ojsTj4ep78TbzImOYyuMWisLt4yXCA8MIFTVNjNd5mBtuOUtM8rdPefcwpDBg6o6AAFTAEIwAA3rE6KulABmyV1VV/PPfPYz/TBAwKofmDDCJVFrkQJCWISFhduwsd2CzWhHyAvKxsPUwqOMhRFTl3A1HErcHKY05JN11yVmRAogbFsLSCJd7lNKSVI/DJIEkMy81FprmaZpnkvj/xzbtAiPFoKTRNowJKeMwOAA7iml9sUjYkoJEFr6vLmZG1KoNcsSBEDqeiLpu1XTzXITYDm01C1oJ04rljlFu2fchcQ0DKHWajWc/O13v/n88gVlPpR9hD96+GAzrJ9+8Pzq2U0YHvbl6nJb1SJifbK6d3Z2d3W7v91SxPp0KKQT+Uwwi3vPOy1Pr24iJ09yd7PzYnY32c1EhyJTPP3GB4erfdN2hDtCcwMaMzEReDCi1VrKbLXWaa6ltpZT1c1c3SwCCXOXXR0chr7fbXcRsB8P3/a57/pTf/onbg7XblbmeZ6mtqsN9VpLziLNQhmBjkK03d4J4TxNET6s+mE1VNV5mlcnQ8rS9V2b1WgLrmNu0pe2fmvULnM39YAACA8PcFczs3AlWsbgEegOpZipEyZ3CAUKEUltQMCCgOBm7kYI4T7PYymzanE0d7VWGKM3IQkxMrKQECSKnKgnyBEcTuHgBu4ukoRT2KIkRAJEsmgnDRACt3zFdnkt0Xbo4aXWeZpMVbVge3bISWic5pvb22meSAgAG3NQcpKcBCnnxEJh8blPf/aVV15JSazWPuecxMI1wAiLOQhVb8J1RGmyeHNTMM3MJ/1KkMo4eSnClCWdrTcUkYgwFpFcuE/z1GKmJYm5hatpdavMiEyUWL3OdWKKjhOorYAT4nro3CqACzMizHOZxkrI7TEBAgWvpmEWboBRVQNkW+PK6Vs3u89/8xt3rmYVa821Du07g2zAIUzEFjHVok2Q4xGoANaUt2Dh3uwmiY/ZH7AA2KIBKNoCoEEDXq5L2+nfJj+x5Ha9XM+Gu5st7ndCohZ/ukzrkXhJTKbf+IiwFDGIxNRGC8jEScwcm5UpJTiexuYWi8Eo2ulDhCklAAjTnhGRc9eZFiFKQl2Xl60UuJu5e5vvAQQzLxjTdqfBgiTCI6KifZah5m5MlCRFQJ1LuJkppZwa5Gs+FFN3RwbJqcspdymbGpDnLNu7XbgnkiRpc3IKHoQMgTl3hJI4tcYWCXNKau4WgQ0JQ+4xzgdTZWQwZBQMSMhDl/PQ96+e5bVM+30iyELMhA6Jue8yIQZFMy8UraUU1WbNaCvx1DSgLcYOmjAWsUX6IQAjpkRMJMJJUjg2h98/M+sDYXFzgibzx0SMLbG5fRAABBbOERiGDEJIxAKAEOiABqZeIYAo43HVzsIEQAxqU5A9uXzyzpP3VWBfRs7cSbp3fnrv5PTZW093V7ssPUB3d62nm/t9f9J1w8cevmLX4927z2I3Pjg9G07WM0ANPsxWJst5tZ9xVFydPxiruYXu63Q3JedkeP3BU5wNikF1MCASh9CwUipqMJIQJ2IGSExCBGHN3V7mklPCNgzRQhAJCCNeefjo7W+9FWHX2xd/+a/+le/43HcbeO6zgQdCSplJINCrm0UzcE3TpKon/bqT7FXBoBbd3W0ZeTqMEFjmqmq11KUpbS4kgE46dJDFj0kIgdSkckhIgilJYuYINNWWWKimiOAetZqpEwgRhwOHIEaARUStJdANrIYWK8Bo4DVUw4CBCAFBrRED3RYMIUegGyBQWHiFMECUnPqce3copcayOHFgcgBCRkyIMlcNIGQ00sXq7+ZhIC3HoT34jQ6PbjHP5WXN6O5Vi1oFQmTUqmoGgn13cnK6/pd/7F+SHkm8zbPdDRGcIpiDKZAcwBHdgykhMJPk3EUEONSidS5d7nJKALDb7cs8YyCEUwC6gVuEt+HqOE3FKnIbvBthRLialVqrOiG5KoUJBFj1acRqAkgQ434EQCaZDnMpGgFzqUKpS5kRGr6nmk0VtirX2P/a5fhf/tqXnzcdukZy4kAwdw1Vpxat7k6O4C5MQc25xYCCmBYxOy6uq3YwL+d48/q0O5rbRcztIH4ZE0VIcBR6hoeq1VoWsPNxQrTMIY/S1UUz2voDb/4vBwBmIeSWHBkRRBztE4ggJm+FpnvqOpbESZBbTkm00sfRUkoRiEB9l1frnqirhv1qQAitJtIArm2idMRLBDSnbRvptCn1cmwt5oMwtyaAR6RmLmmffUvFaMtaQiRzR2Rk0mqEXQQD8TxNABbo2/325uZyPOy1zq6l1rHrslp113Z8qKu2wqaqhZurag2PAFczjwbIZgAgkpxzHavPyjUIef34vqSEBqah1cGdG6rCzNy1eil1mqYmfiUhbC7hto2FCG8F+nG4RtSSM1t9CI5VdWm22ip/qSmxpXEex8EiIhhALLQU+GCl4WBtqf8ZidHM3LzJMwgRHNp0r1lRRNi98b0dIESwlknB/usvf+G9Zx9V0hpltvnkdH222Wy6k6snNy8+unSNcS6XN1urzs4x2adeeWMjA+zt8t1nZL5ZrciITOat7a72t1e7m7vdoUycedj0OfFp7u6evdjkjHMdL3cJOIFwMCIDs5oHQjWt84yx4BlTEgJEQK01IoDRrAWrLVD1uczhul6vu5Tfefcd1Xp7d/t3/+5/9ObH3hynQ5YOidTNERCxVgUMRkKInFKfspvXOhOGWw23YVixcD8MzNTyxbTWRl02rUlSS3Zj5pdDVERqjtiX/XLT9zVhn6qqqZtVVQ+LBXsY6tqE0lWLuwW2CaK1hW2ANyhb83w1jCAT5yQizImbcs3D2p9nYW5GBCmJkBAxBBG23sWO82V3s1bYhVmbpgCge/gi2o4mDWEibA+c0DxX1dq4tv2qM1cz50QpMQSQAPfAnZg6BJvbb/6tv+V7vvd752IYKILzNPmRwFFb1pE7IKMwSZpqnWrZz+OsNRAVvLo6QhAaYUXPfYdCBgaIQa2WBDc3U4AAMyagCLDAAHQHtUQYWrvEYUYA4J4s2IwsUkBHlJE6EgZCAFVF4lY4QwumBlBTB6BhNXG6wfTWfvyFt995NqlZuBqBp8SQWAkrggtKFiHQuaBpR+ylMqO7q1m1AG+NS8BRsA9tjh9Hu/rL1a17OzcbA+elkQqX8n65DlosCh1/pl0jbXBjx9XxcUGFTcmAv9EGELy0UDTxDS49Qvv/KaVY4oshomUqIIswMzFDoJlLFunF0Hb7nQbobDbPhFhK1dqwRdjoWogt1SuY2N25WYSRmBkBE0ubmxK2wxDNj3pVa1t+AEAzT10i12AWTtI8+Tn3q2EdTlrdzPeH3XZ7527zdBjHLUgtethtb4lMGHMvCJGTMJGrAjZOnJkbL3w5wgAmzjmLsLqRYAAikCDYPG5vt/u7Xc9xuuqExDzcXa022V4z/lBCScKJU07MQkSN7LGorBoJcPkZwOO4pgXdB3ibgbgZC6WUUkrEzA12FJQ4CadwwEBrb5IIUGcAjgXgbbUSNEWrAaKGLkyPcATM3CGig7Y5Q7g3ID+YM5E7FqvR4xe+8aWr6a52kc7y7Xa3OTt79bVX1mnYX+6fvP+Uu2QQ77/90dW7L07Tan+1P+vON+nkojuP273sx4froZcIjol1j5MNdKD95Lvt9mo63M777YOzjW6n3mV/fXf97MYKMOcAnEcNIQ9oxVFgEC8UjXkuzaUVETmJqk7jVLQQk0iapjEg9rvdZz716XqoH7z7wc3l1c328v/2d/7uwwePq1VCUlNiVKu5F3crZfZw06puRL7bbZGImVXtMB5q0cM4AVDX9eEAAUSYJIlwE/yaa7gHRLgTLKMYBIylS/Y2fm1wjsV1j6ZeLCzAzGupxdTNvWoVkbYWFGai5oFtDhps2RKJEwdhAEXIkgRo1Ga24QjO5AjmoUQowoioWorNc5ljoWfVJrLnlirlhhCEiGTSOLXQEg7aDJTBgVOKiHksucuAWIqqaoTP81zK7K7e1HgROqu5p1XPWYZN/2f+tZ/c7fabeycgqG4iyxOGiEgErfyPsIBJVcENwZGKewU4zBWQFaKoTlNxxwhscciGQZKmouqNFAmNG4MWUc20UgRDJMAUkcwlomMSt5MspJohTjqmsDbhBbecpBZDJMnNc5StVJ/VVKcyK+Gd+RXS13e7n3/r3dvwtOpSFgEPjEpYMpcsNki+WHOfAYxcJSAhZc4Y2LKYAXFZ09Ci5gTEOA5hcJnWICEDYBwX3W1OQkCtkvZ2MfgyO27Dkpcb3dYnHLX7gHC0JrY18TFxr/2XmZoatQkiQBsTRYS3LpLYo9UUbmaIlFJq+2SPMHUE9DBoOzBwyZKGYdic9EMmwpQTEDUDGTGRLAWuQwRGO3Qsmgi+lS9NpIQA9Bs/0/Jg0tKpsHC0rWfXSYSZWe4aeB/7rkspiaS2qk4iV1fXDpZSaha5Yd07LM9YrVVrNdWlP2dKWSQlZFCrKUnKqVmlIiLlFBhVS+4lMaICIoMpllrnGkCcGIVlSJiXWRsSCSdJqdURYd6W3dyO/uays4aWC6AFdbpooQBaQ8rEiJgkIaCIpJQS565bBIW09ITc5R4BmVhEMJCFI5amYtGCuiMCIb1ssYDAwYlYVQHcrL3KgQAsDETuoepz6LPd1c9+4Rfv5tHQV6dDdVeHBw8fnGzWZS5vffOdF1dXsupI0vOnN31/8uD+K2zCRiscxuvD7fPLIfFrr188/tjpvdc2tZvev/ngTscpFLp00PLRR08h4HA7YoHdi23dVStBFTjAZ1Wr6uYeVrFOFoGNEmqtLHEHAAuVzELJPaYyDcOwPxyEqJbym7/3e9975/2nT5/ttjsF/0/+P//F/fuPLJyApnmuVp3cXNWrh1IiJIeAvu+LFrWauzSshtqC66xO8zSOh8YV8GXjEs3LvaSTHJuAo5AjACHcfgO4SNh82u5RtbRll8eRfYpY6tTeCR4tybOBX4CJtVZCDA2ICHVGDHWralaaVxARiAKWWlMlEWKoVrPSykdETDlFLNbO44ZwMSglZtXqYcvCb9kULKlPS40BME4TBEzzvB/3tSoypl7Uo5pTJhYBRwBXtW6V/9xf+J+sN+eGrurzXJi4y7JMLtABggQNoLhX1yBUhxqhCJPbrszGdHCdqtfAanEY6+xWISClSFzAiquqBwQThxpFkJpEpAhQ9bmEFgZniARBZuyWI6iWDiADQinoFrXGItW3lCWQxjIDhpZqtXg4ZDlA3AI+df3i5dMnddJEjgAA0g84DFMWWw9+2ncPzzaP7qnPZTwkbsL+AGhmIAYSaLw1QmjDWsKF1tCe+WMpv3i72sAe25NM0fhvRxHpcd6DbcLyz/BillOk/VJAJKJmFARAiGXw0H4ERKYGDoBAsAi13xg2tOqhy52qNQppHONkolmLjlj1aZwDAzCKAgiPWsYypZRMjwjno9gIERtbtL392jikfUiLl5daS7IMQmghMODASUSkrS4CgsI0MSRpAZbg1UI93EN9GmstdR7t/PyeQCpjierCbAYIkqR3Aybp8iApq0cE1KptNKZVW9BHmIcZARIiE6EhEwGAq+YgDgqd0YtpcVAiCIS5FkDo+66lPVit4SAgQty6c8KjXTvCQolpMX+1/X5ARBATYCRhrZWJhGUJQnNvkiEhBohmfem7rmFYqIkkid0cg4SYQMCpfa+FxcwjMKcuIprWQE3bhcRCSBFojgro5mHNuQ6+3Y7V44Orp/+/n/vHu/kwR6EMw+kgPd9/9ezeKxsAvbq++vDJ5c1k2K+fPH/xwVvvn3en5905+8kq3wfrr57ePP/6O3i9e2V9+tmPvfHf/J7vpaF/Nu/fuX6+tYp9vrq9gbD91V3djk/e+iD2M1SXIKjQS5+SEFMglmpM2QE9QtXGaWoQCyIOgHmeaq273d5chVNRm2vdT/s/9Id/aJrmL37py8+ePX3/o/f/0//sP3/zzU8BIzFjC4diJAYW1lrLXBy8lFmIWdJhnKa5AAkEhVNO3Wq1bgF41Iz7S/8OCO25JguzUAvz8Lbpabu11vLDUn8t1dgi8mtL6SbljKha1NWs/V0jKoAzNj+ImdVGgwJHjMWKAxiAQYTmplVbwYetJXFbMkYQhTHCRBICA7AZQHtDgTAKBCZK4Av5i4jBzNUwPLwKQpnnMpeU0jRPiXlzshmGQUu16kyYmcNDi0dA3w95lf/kn/yx3/IDPzCWHURM49R3XZezVQUHK27qZgFAklLKPUtWDfUIYg+klDl1FUIRC0IJrxhGMaseqh6KTtWmatFIQBjgrfcNdBdwrEquDIqhXmeMCmCExuFR5g5Cqp7ltOlzL5KQ1uveVQ8tPyxh9SoBGG5owVyJxySXBL/84fsfzuPc0azKzLRKdLbCiw0/vJCP3e/efHz25sccYd5tUbU91VqrNhsbCjRyM6qBAVHrZjyWI3I5rwM8ooG+X94L7YdlknOc3TDyywvi6NNtJyy3N2W7OqDJZGG5ENr8JwAsvKnI/KhAgeMMCOWouqNl5dPWWe2mIWp1DLaEWXVnSl3uCYmFPHAumlPOXXI3SsRZgEjNIpwWuQwiUSACEiA7ggI4UAgZN6RrMLctW5gVgBYFY+5hakxEiNKkUWFOyMKSVtnMd9tt7jZugUR9Thfnp+9+48tDv0pSmWMqxSNcLeXcnCa1KCGtVmscx+VRCVgNqzJXYiRJoN78cywkgdPtnu6fWOZZi9oBkoJQuHpR6nPq+tnKNM0snKXzUEQy9YjKxO19ampx7IMQW+oFA0KDUDqEm7cyIAn0Xbff71PKnIQDzV1r4YRMCTPXotUcEYiklErIHpEkt/W/mkGAME/zmFKGl4mgzNESDhDNnQjd3GGhBraKwt0483SYNqfr27vbcP21u6+Gln/uh35kV8YOa0ocFhf3zpj4sJ+ePrt6Vq6ubm7feOORAry4vF2dnAin/mQ1T0lnccXpdn93dcerPJyeffZjn+6/Y3V3u716/uL506cAMZbSdb3aQcCfvv9hf2+zeXAGCIfduN6s9AhJn2txVYBoa0liPIz7YejNjIWneV6thrnWLmewaLXGzfXNb/7N3/fWO2//k3/8j7/ru7/z9mb7t/8v/+G/+md+4u13vwEepoqA5kQCZtac/8zUUDPMbOaHw1aEAXE8jPfuX4zziIBqyseZKyECNutWq+JaAlt4AC1ldKM1civhfAFggVl1IEQUBtNoF4a6STBQQIRFUxeFqgumcEdatjXVlKgZ6MhMzRfYr1ozvqIzOXhAULRTwBEYIlQVARCoqfJbWjcuCyQk5ogWCemIBOhMVKdS5hJLPIB2qRtOusPhYC6cBF1zl7V6Wyx2w0oB/8Qf+xd+3x/8A9d3V5K61dDP01yYkNGrNvwMg7DQVGokgQitlZmiqceRzKJqBQBhWugB5ikxINdaGJdKdlGsayAGM0UNLRphPs9NKUQI1Q090DRjgJkQDint73YZkcjnaY4Ar8CAkriEMmFe9VpruErf79UvZ90CfunF5duH/UhZVox1SolqjVoqprR5uOlOOnY8z/L1r38Fa80sEG6mfZeql2oW0RLEwF3DAwmDwNx+o5I/2l/8aLv15nRptAYLAODGb8Y4SoJeNgItIB4A0Bv3N6LNKiGo7XubgDKOsIfWYbSQ4bZ+8Gj6AGw+BnO3eRZJtdScskXrupGETWtYIJOkNNcSBMxMDuUwAVhizpwEOaqvN+syj4DUpWRgZa6IKMztqyOi1umSEFDzKCz9CkbbPEEEqGkvHeCyqXb1rs+i5owiJO44dEMtuF6vmbrwEEbgZEXvLq9ONydlvDKrRAhOq6GbpzLPIycGiJRSgJmpagWAlkgwzRMDt2F9YmEiIcHZwCIgikLJJ/3Dh9N+R5ICrR9WBwxDakWNpGRuIhRG1YqDQ1jCrujMTFlSVT0CVSnMAaJ9neERGBZWzSQQg8tsfbdytGYlAwBkBIh5HlujkCVXNURISdosApDCXT3MgojUou9XpoYkjKCmhMyC7oHAEc6U2sqvDZGaVzEoSi3dqis6bk5OLp/tUsef/+IvpS798O//I+qOEG5wOqx9Uqj6yY+/+v7Tp4eKv/7u2yfdasD0mU9v+lVvoCx8du/h1YtnpBmtpirlvdtvfu3DB68/xpzOh82bv+nNsdabu5tnV8/nomvx6e4ADEPi4WIVveg4uhoSJeaq1U0lpQCo7iJk1dT0MI6NT1JdE8vtzd3J6XpIXbg7uJl9/OMf/9i/+D/4x//wp56/+Mb15c3f+Pf/xl/4n/35d975ZqJ+nA6dCLamgHGaSgSE+zzN6/VJ6uRkswKkqorE81xW/Wo8HFJKpkv67LI8RQpcQvWWWS0pA69SX2t1CCIg5ghnp4CGslm0ox4uJELSZv0ewcRNC+cR4Rbuinp060eEMxMjWnCjybbG3QOwTaOQCDOGBbTProWJegQkTkWnxASNtwlOIOEBBAjcVliATkIOQYi1VmZ2N4SYDof1utdq8zhRoE42TzWlpMUBkEVyyoda/6V/8V/+vX/g9+/nQ98P/Wp9d7cTIk5cS005kxrWMLXEOEiqgdW8WghTdYuIaZ5YxD0YUdXMPLGoBja8gTBEiyGsjbadU8fQwrksCwpwmYIYE4YBEkFVB+eoNvRCHqzx8N75XDUDH+rEwjYbCQdGrRolhIitUpabqdR+VXr52tNnb233U+4AeLzdna6S1TpW78/W/dn69NG5GqyJ6Oa6PL9aKwoRegQRJYmpEgsCuFbAAHBCAGTAMHPhhQTUVEERsQjkqR2UbQcALYqRltRZgGPzGS87hMavXC6I4yg/lmhLIGw9hcdxSImA0eyleuQMNgo511JTEuHEwmaOhO7OzB6IyFWNKSEBEnhA3/VMi6nQ5hoeZZya+kgIwpyIKLyFCbcnCwnDnQmofVlI6AHujOJhcRRQI4G3vRnSNNfVauUYQc30E8IpuRoGJpZxN57fezzPc9dVM5u1kOEq87BOl89myasurUo55ExmbqopSxCYKkRUVcLS5TyXQkzu1vU9GoiIzW7gCloQUqCanp+fzONUqllhgiFxzmyq0ELAgpCQzWoT5rcym0AcW4oIBUQ1RSQAs/Awx4Z2WRaGTpkhCCOIm+oiPFo2ciBil3MgTuPcD4O5YcA4zbnLoc6cu47M7HA4iDBzYiY1NTOi1KzVAU6AjugOIggITIIIzQJ63M5ERIhwSyoNt3HcAtlUK3D+h7/wTyV3P/pDf1SnKac8z7XrBkZkoWHdvfPBk+3hsMcYVudf+dKX3/zE649ef2hhRa07OWHichinm0OfVyfDZr4rk+9v3W6fXsmqP3tw79HnHo3TYbffXm4vx6dXN1c3j159ZbXphs0KKUOiMs1IqMXCqVsl4c4hKGckSEnQoS7OiHm9XoXBbr+TZqoIK4c5pfQHf+gP/sov/cpP/+w/NtW/8b/7P/0bf+kvfP1bX8uS57EoWjrtAyjnfHe7JcG+7/a73RCDmU/j3A3dPE1dl6pWZi6lEB0h6eAQ7WGMOMYbBUAr46srAHADAbW+INDDjpu6CAdzA8YGtXUDRHKwCGDGFjzQ1MII7BpLqx/huLyNlmVgmwUQNctRHJv6l0bwo4/UhFJLOmxyv2Zec/MIJ29LuPaGCSQk4fBg5lIgJWmitXmcSFgYmZiZVbUUXa0SSPoTP/rf/6N/4k/c7e80kANQqMvdatWvT/qry2uHQOImfGuLlOZPIoB5moKIiYVTkyS6Q4RFkDoEkAPNpaYs4zwRAjkAAmcGMDMVAtUCWsgtEZZ5oiaumTUJVqsYhkZ1mterdXiUWhW9lX1tj0zMicgTmWqAVfMJ6baUdw+Hrz19tkvDrJXM1zl7rVX15N5pXg/SJUIZBrnX91/7uZ/OphSCQMKxOjnd7e9QxM0XnTfAEgUAbavPixAcjof4smqB5SePgk5EXM7u4z9tBILN1gXxz7zUCMteuTFfFl4wNXfx8Q6AJRoPkZfXdNn4qA1dR0y7/TgMPTO7WU7ZWwifW+46UyMiAiJqXqmErl2X+i73Q8enq6gTmg0n6apUA0PCZtUWkXmeO0kNbhMRGEHQJG3UCqgm/BEhVW1eFPdog26wiIj1evDGAaoVCCmq5G79B/7wDz16+Ob11d3z59ejl7e++ZZF7YbVfjqshqHWcbcfHzw4393dsoi7BjoxudrQD6ZVcjf57AHMAoAOrqop5QXL5RAOGGTz9HDTddtLuXrCdLuKAuPBJYW4ApRilFtaD9VwB1umVwoiZAERBq1nd/TwQMdo5gtCCGKAxvKDaMFABkoJtRoiiHBguHlgWITWcA/J3bDqD9tpVlM3RuryoFoVjJnbGkdNmYSZSjViaeziZVMJ7kHEpOZ0PAWSSCsb2wteNVAQNKZZJfX/8J/+zL3zBz/0e//gYbuFcADqVydlOmTiN155eHvYv/3ue3YYN8Pqm7/+lVoP9159uN/NeZ1ndzk7P9tczNvd3eXtaT/k6KrW+VDLYfvk6XV1e+1Tr7/68OGjBw/GOt0dti/ee17L4fTB2YP7D9JJNvM05JNure7k5O4kGBBeDSyImQJq1ZylaF11QziM02G93pQyr4bVPOthPHz393z362+8+Z//F//pbn/4X/67//5f++t/7Vd/5ZeQWM0QG8wzhqGb5nmep37omSjUSpmIIYmcnK4vn+7NXUSWrQyjuQEhpYVe18p0JsaGKAIOciRkSu7OSUyVMTVoZzsU2g7AgrjFgTZ2X4A7MAkRYZBqiahtaYxBSBTo4U4ogLHgepfkDnB3i6mZfWkhuiMCA4GpMomwOLS36MKLBfCACCQ3A0BhLqYYiETqdRwnSdIY2kRo5uvNiarVWd1BPfp+CIh/9U//+A/+vj9wdXXdrfLQD+P2IDiCu7tO45RTMg93cwQ1jzZFbqM2DUAKD1cnYjNjwmLKhG1YChhm5m6HqQhLStnK3AiXqnO7vggcXAUA0TlzlDqPsxsMudc6Z6I6Tl2fiakWHcvMQ2JJgGBqroZCFo5BalC0Kud9lkuzX37rvR3yWAKdOiYM86CUO1l1w+k6993Q9wxAc7l8+70zECLOkiJmIACCnHudq1nlxbzFgRFAjcu0GHteXgNtxYqhZghAzGZOC4ynpYbFgnluVNe2SgVoiVKALyEZy8CkBUPCIvy1ZUvctsNtFkQUEW7OtGy2tGoo9Ll3c62WJS9LhgBmatLYtqElgKoKItL8C+ZRIQyH1VpNa9FoX2wAeEtbcRay8PAFXefhDfkASBr2Ugrjbu19K5kRYhh6cMjDMM+lqhGizOPcdR0AOyZ34pRZkuT8+3/4v92fr3TWf/IP/tF3ffd3/hH+UfOaED//Mz/1cz/7UxAgwki8n/YsKCJm5t6wiKy1yioz8TgVCkBmwaadwQXrX+EEeDWO9OyyO0W9BpIMmYyciMDC1EEVmTS0cVQsPHEiPhK6YXkJhKV6berPwDZ3jXYMdXkwN2Q8jCMKmzt4iDARMqeB8/4wIcnDx/eubm72h8JZqGKXJSxUZ2Jq9uZJHZvt30xyZndmennJEKFZBIWFNZyQqWMESkMyBRG6hZr2/QrQvEUMGvzHf+/vbe/Kj/zhP+zjXgSrWbcZxttdAnp4//z+xcU3vvzNFy8uL842v/qFLz589uiNT31qtV4bVoNQCMty/83X6m5EDWA822ymeQrXLncv3n3y/lvvrU7XJxdnFxfnD954MJX97Xj79P2PFOHs/PT83r3R5/XFWkfNfTbXOpeUEwa62WroxtG91s3paYtK7rtcypy6XLUmIfOY5znn/Md+9J//+3//v/ypn/9Hf/Uv/zv/h//tv/cPfvrvb/qTq+vr083p2cXZbruzcGY+HEai6d79eye0rqre7sWFQuZLH92gLtFI7Xjs5QEZwSIA1FSYj78LsB24i9KiLd1avUf/bCkIAG2Naw3Vi4uZvv2SVqsxUTCCt85jaRNbfecRfOQHIOIRM4AQCMSA0HRKgC1kpj3dwYlULSCSpHafRIC5A0HXd0hgHrv97uR0fX5xGohuvj5ZB2EXiMx/5id/4rf9wA/sD3f9ujscZk7c912/zo2oMY8zM4mQB4QDZa4aczUSmrW0rWOZlCjqVLoul7m0iZmpArB7ABoCCiQKqqW4qZaSGQRQAMbtrkdACC1zJnDTeRybIGYeZ6bIOR3GghDBeFf2igCA1bXFUDelHBK5m4ZryqOka8Mvvff0xV7r0JnWbhh8nKxqynl9vuouekPMfUqCa5AnX/l1qQ41AkKrrU/7/f4w7WuWAQCYmCOa3rtRfAMxwqKtXuho8kSMcAzEdvcSIjAyAYCZtasX4dgEHkv+Nux5KUw4qm6gHfPtJVbVdtMsdAhc9KUYi/v3+M4MauNHBAASAa0qLVLwiOwJhOPUCAOAkHJOOs9W1LSUQ3GHoe9rNci0aJAQkTC0qS0KHgPQsCmQPIACPAjRF6HEkYkB6ObzVB4/enh9exvuiDTPkwC4g4skK1Zr+bVf/fIP/q6HU63vvvuRfUDvvvXuvPO33nrBkXd3N9u7Z7/pN33Xz//ST8chuH1TgcIsZWFCbZpoAkxMgKXMRMhHQa4FqBsAMXHGVLfj8GB9uD0kJZ+cSOZaMRMSCFDDcjiE8MJnpmU7pw3KBgAey1GyXMIAqpooLXnOFvtpTDkDejCmRIIIIO2hr6oAMgynXb/65/74n7j38OKXP/8rv/CLP3d9fUOUa52BmBAbdVISYxMBwKKghsadBYQWSYPQunzAMFOEIKaiVYRY0CKIJdQodUxGUt1tnCqvTn7mv/7F03vnv+e3ff9+vxeBaZ42Z6c6FtPKGN/1Hd/+1jvvffTRB8KpzuULn/+lj3/i9c99z3fc3e26k5PC6W6eLx5djNsDKs9q3iWdHMJX69MN81Tm5+88e/HBi83ZebfuL07vnfcXt7vt4Xp78+JuvVl7sW6V1YEE12moWsFMhOph4sAgGadxvV6Z1ekwpSSllDBYrbsy1ZxySoAof/RH//jnf+Hn/5O/9x//yX/lx8c6/dIv/sJmfXq33Zk7AuQkd+MkwnOtz6+v+9QBxTgfhjmbV6R2g5KFBbx82oK4kdab2B6hhbE5iyRs4ZEA7i4p1doiDV7KgBonBgOCiIEQHc01Aj20fSyCxdEfjXy04KahodIBIMDDjjJACCaKOD6lHs3uhdFcdC2chHA55JuuL8hBJDVmUWu9HaPP+fT0Xq1+t71tM+VA3O7G/mRwQsKuFnv8+uMf+7Eff/zqIyXv86ogAFdJ0q86QjBVUB+6rpbScZrNCKFUCyBM6OzWBElNkUStGHSnIAJV03AzdDcWZkbXqKUEgyzIYtO5gtd1l8v2LuaZzGbVcAuLJrY0s4w47Udh0eLX064CSJ+dsc6OEb1kDqwAwDztD7u5zqvhVvnLH1x+cDPn04vDeOgkRVVEpJT4pBsenAQBAfRDlzzWRO9/9WspJLxIEkmMifTgXtH1KPCGRrZpzisAbl7XAAwC8ohmDidEZARf1JoWDcqGx1E/QSycwZe7ATgGOsJx0N/cXE1CSsgNL7+MhwCOvoFoJuEjje5IZcCAI0pItbnE2tAR3OMYN7xY2BjZ1CsqhCegsCBiQmagLueUUvVFHBHWHMFNMheLS4GAGuYAItrWGgAcOVPz54e7qtF6ePToERBdvrjCcEKSlLJ5oHnfdXyyvry+/sKvfqXOuD/YyYOLd995arNZDJ/+9Kftdvv+e0/DtofD2PepjpUwmFlSijAzTV2yxrYIX9bsBOEBEamXhnKNcAZYd1n3+/TKx/Di/rOvfWmTetUd90k60qrCAUxlruaBCNY6dMAAsja2QHTwJndtl3j4gmhyCAgC4EAnJEQJCMl42B+s+np9AkE6B7Ccnl3krvfAr331rU8ZfOf3fE930v/cz/7s1ZMX62EIrRZqzeLrWmphJuTkvvg5AGhhH0FDv4GweCiJTFPtckeIgeDW8KIouRsPU9d3XaLb7c5QLrd369Pzn/3lX9Vqv/sHf4CiMvJUChN2fUYAdfvU5z758LUH3/rGty5fvDjp1s/f++j9X3/rzU996vzhg4tXH52db27320nnzWo1z5MgD6fraRy1VrUI5vuvPp4P+2m7f/b0yem902E9DOvhtVce39xud4ft9ftXGlWynJ5v1mcnkhnCaZMBwsyQse8HBDRXpECEvpMy16LarTpwcA2zKTy+7dPfVg7zV9/6yl/6N/7N/+D/+L//mc//LDqOh/14mEiQhDFxB+AY5sUj1O0wTsRiDexBQCxu5hB4RO22kj6WuWw0CqK5YSAzLnq7cBFp/z88mnenDXOXsb1DuEUELn8gMVEz4xAxYDTpjpo3TCw2jTcuBJ+2fYSjswgAl/dzE4I3ZR84AS2Bf0jNaxmM6MAkgGRuJ5uNpGTmVzdXd7fb3HUWcbI+KbWmLt9td13Xp7579c2HP/ln/+zq5CQNmXK3H8dHbz5eDV0WjKrjoTx4cD4e9s8+uLp3cUZJqFqfk0i6HadW0uYutUC2hGja0nU8C7uZq+YkyGTmWhUZAZCl+RwLATBCOBB4HWdQE8QyT5mIWRwsiIvbar063N6u+xVFAPOoJkz7wxyMlBkDrJoWw15KKciEmWfMbz2/euvFdaGks2YSJClapM/Sy/3X7s9RNeL07KRLIqUeLq/GZy/WHiLMCVcn/ThNtVRJ0gp8j3BrgxiAoMUIxuRuv5HE0mazCOFLZxZL0Qit/wuPtjpqOp+IQA//DfizL5qh4+9h4fa6t2SRdui2ZIA2L1q8VgGSBBEbC9bBWyBnE+rCoiIghpetBSCisDRLsSqZer/OF+dnwiwng5vv7/Z8f2gFbiOGHEdPwYm1VgBHXkRuSx9NS9dC7YLwYGQRadP4j7322nAyjGPZbW8hQtTcEABrlq6U6erF1aq7/PgnPnv9/G5fFJAOo335S2+/eHbbZ/Bqzz582uckbttxt1oP5rVOFcBJuNTKRBZLdI1ayZKg7fYs0CEMBCXmsj7lx5tX374pn3lw72x69E4Z2Z0cyBGaW3Op6Za0UjXjheLRcCro6BEuzE0haI3GwlSrupMIRZCGuSlH/sQnP7NeX2jxV9989fz09K233im1TvNht9sNQ3fQeaxlfPHs/sNHDx492t3sqmmjVrUcPIRotiMzBQRgsDDE1go0wqqbe4CZWhLp+wwOgiyJ51mR6bA/EKeL8/sAnhhEoJqi8HaezvtXv/jNt1995fXv+bbPeJkcR4PaD8Pt3S53HZHfe3x+cvZd73z97Y++9WSV80m/vv7w6Xi73V5epdVqc+/0wcOL66ubPHS5727vdpvzcy6Fife7vWXCmlcpv/rmq8+evig7vXnx4ub5Lvf9+cX9rW4l8WHabz/cXn90N9u0vjg/f3zRbQZHxwjVYjXUNEki5lKUUeZZMUjr3Eu/OVmVUoZV/r7f8pu/+vVf/9nP/5P/4Y/9K6vNyU//7M80QGZVb1iWnFM1DY+cspykcRy3t9vV0BMiMbopMlEYNgcGYXi4OTGBAzXGCoCHLVpRcGGe5kOfez+asACb93aZzIa7HvOiF1ZwYDviY5EbMTISoXkgMoC2Nro9z4DIxM1rRYTNUh9u8RLxRqHVSNjBm6rc1CEgcQpwB4iAocuS83a7ncuNh+c+rzen1WvOUqxIEo2yWg2S8puf+fif+rEfv3h4/3Y39omJhbhOd3utJfcZzUjt9smz68srm6un/Pzy5vz+xSDpZr9fiWgEJC5qBuDqjNgN2dTNyNUQICEIglUl90zoamHBuU0ewEpxVArVeaq7fc+E7ohESYiSTeYOLmmK6Fe9g9pk4KmaBwIyOzgSqFoYWQSYaYC61cRP9oe3L28KZnMORWFiZkw5Mp6/ejHq2A2rzCSJwqED/tZXvg5zZSAilJwbgmc8TCfDBhBBCJQWQU+D7bSjEChCF3hXC21ZOG/QYHeLB5gIEdWWmr25zpcNf+sFmxWEqI0fAgOppUpFO9PNrN0My7p3UX5Gk9I2nQ82J3drSJo4hFqswsIUOqpIl0Gluy21rCN3qc0nkGmcStf1JyereZ6LgIMtJhkIpCAEN21f4lGV2uKC/fgQtHa6ReeaKqa+I+Kvf/2bYx0P+7vTi9PrqysxVepEzXbztutOdZo8bLfdziUG4Mz9gwcnu8Oh61Jm71fdxcXw9rtfvNrenAwbNeUkYAANnB8RHqvcT2XS2QRZOCEGVWIkIMxC5LE53Vw/v7164/Hv+Wt/+pfP4vxb21gDoUtYci4WRKgeEc6C0RS4kIhhHKc+JYQwsGWXQAhAVTWJODbBGEqW1Xp4+uQyJ/n+7/vBN177xIMHr3z40Yvb3S6C3//o8u5Qci/9+hRIHOPD95588rOfvP/g9Kf+q5+6vrx1cEGa1VarXAMCvE4zCSGCmUkSCCCicGg1Zy015y5JrqX0/TCNI1G0HN5S3NRSJwERYcykNZgSkwgosu2n/fObWzl58HO/+IWM8plPvgFSOcHsZbgYEqZa1LCe3Dv59t/07Y8fPn7+wdPrF5dgrq61zvvD2J+uH7zyeFiv75+eq0HPnThNxQP87OTM3WpH435/dzsS932fcz4pVnTUq3qNiGngdRocsiPuJppuxud1fvDao5C4+Nh5tRnClzgMCp2NE+UsqlVy3u331SohM/Jc/dXXXk+cn7346Nu+8zs/85lP/p3/+38oObvWMLPwTNLnYXe3b2murz5+7f55ub29KdM0jpNBmygyNlFNLDD9ZucmorAQ5kBXVSb2ALWaJAUgM6pqWxYAhntr6EGQl+GOBxActdJhbogY3mplPLK/WvXXJjZOjREXIJIAgpCJGKAFBbRTgxBQUnvUUd0FGZpJHDEc+m7wsP1hKtu7FrvY9RkJiioLm7trADkCdqt0dn72k/+jP/v06WUM+d79+0Pf1Xm+f//ssL3pWE76vL28efrhB2U/r7r+46++No/jCuX2o+f1UPIwWDgjYoDNNXd5biYZM0Huk8xmIimI51rQg4iIubg7GHqARrMNCICphmupNUCmcTI1j2ABw9gdRl5lV+iE59207tazBmIgsfmBhJkFHVInFWmKqhg74p3kL7zzjRsHT/mwnVZplZJUn2VI6ayjTOLiqKvVSSZGh1Xq3vnq1zpALTV30neZmLQe2rE8l9plIkEACdV2xzoFeCxrowXwiQuUzRfXbtPtNItYxOKPfTkniWV0d1wIIMCCACIHFOY4ZoNGtHpiAQy9NAg3wg8dF5xtt4RIL5PkW31+rGOinZbLKKvJR5LUaTpZna06wagagILE4sFmnnJfVZGbq5nBA7wik5kus0y14yoa3NvH8Kb9FJZoajpTNbu5uZnnqZr23fDi+ZWbiySsVgGT2pSwN6Wvf+VL937Hozc+/onLp7crSuN4dz7QtL/p1qvPfe7bb3dPIIbQfZZVgI519IDGQiEPElcvGJo4CWUDsNpEovMqD+yGEaXOnphefQ2++1MrmuQLv66TuFmGrrh1LNWnlBiELGKa5qB2DyMTA9BYZ2FmzkBQakUESYlZtBgEm2kauqnC937f9//Ij/zwRx9cfvOb74wTv/vO+5t7J5dX1+PhcJhGmajrUxhud1uz+LVf/Mo073Su65P17vYWVFerlXoJBDcnEbUqJDnnl+6SxhuKBjBoIXyA5payRAC1qHqIhi5Xn7W6mzJK7jZDwP76uTBYbAGmQ9Gp0i/+ypfv3bt48/V74+F22t7lSMYGAkERPgHF5rXTi9cvxtv9iw+evP/u+9dX1+vVSZmmp+++J5SefOu9s3vnDx69EhVOcyc5Xb+4oS7lYbU6Ob+6fJH7vtZqTfoQkaTb3my9Ru47C5de+rRGLew0XY3dRmI2QC91HlZD6lMpJeXUSCaL5gBot92drDecsMsY4B//5CvSwdOnl5/63Cf/n//R/+Pf/qt//Zd/9Qvn5xfXN9d3+3E1rInzNBUh+vC9j3LXrYfTB/cfu/t+PIyH3TjNpZRGqA4I4IZxBy0KgCih6kKZmYChrfg86rErCPMCgcwSGOHtIOBWA7YwmXAgRuG2CAVsw37HiFAniEhZmpMjc2JiaKWkQ+BysjSbAlIjdzbsDIdVFlZ3IpIkgKyql9eXgIAkIsK8KEpq1WLG4Y1m261WrrG5f+9//Bf+4vawv//KA3cL1+lgvVC5vekB5t3dr33x6xdnmxWm1199OO8n3e6o2vXl5cOPvTJe3X3zo6+//qlP5FWXvDunlFIugHMp/Wo1znOYUhg7mjtoDQJX58gE7uSmCubrrjPHup+mu9vQ2mIEUu6QFZCAJK+TAGKCcZyHIUmfi8VhLpSTVqvqiQmKMiG41nmuEhPL85Bf/NZ7d8OwLwUcNmerRAkITaQ761YXm73OnOL0/KwauKNUf+etb9h2n9VSltVm7W6SeJoLEIIEdhTIbg7ArW3B8Ba4jEc9JkC4G7Ms69mXrl5cTvD23y0TNPyo93EgFIBwW2bOBoBtXYy0DFcQmAUBq+oCH0Uw90Uv1kRlgMuumAiJwt2iucmagmgZaDLzYh2NYCFgVIjV5qSWeZ4q9imRwOg2auo6g5As2cmgAEIS8UCdq5ZqqnnoAcnMcHlnukc0Npwwu7urNkZFYxWXMnc5ufqu7ggJwSXMq9bcc3+6msdDl2S9St/85lfmqV5cPBCMk1VXyth3OG5v/+lPfbX64Y03Pm2vfOzm8gai2lwpc5kPSShxlxKP0wQhZqG1SmpXHarZXEcK5pRYOq3jCPbioxfDo/VDfPD8ik8ln2S8K9VAEVG1ljpf3H9A07ifDjl1U5mAaLaZKM/lkLqoqt2w8ohx0iRcK5RSXv/kJ2b11954/Yd/+Ic2F2df/vr7V9vp6u6jcZ5v37ulRKZa6niYlLfkDv3QffzTH0PkZx8dcsf77da0TvvdxcUpKE2luFmLiPJwrQYAsKyYlrFyayKZBNgA0GzxojFiYJNCTsVmczscdmebB67Rd6su9R612v75s3dOPnahCAXoV7/0Nem+7XSdu5NVqI276fTeRlY0TXO36qb95Ozex6e+97Nvfscnr55cfvjB+9eXVxlTopSSfPDuO5dPnqecN5uz+48fqsHF+mSe61jq+aN7dapmXPbz+mwzHqbxcEhZqlrdzV2fpm2VxOwihLGz7TjmdTdcdHl14uFlNnBAimme+9WJL3FAikBzrbMqACDY5fNntehv+a3fI53c7Xf/i3/v3/7il7/0P/3zf+ni/r399jBOEyyhSADmrnp5ecl3rGqr9epkc35xzhZ2d3szl9mstD6dkFMaAEK1cINqaU0i7o5IDi4o0IR6zR9qBoTCEnYshKLx4AgILKwJMyIcGTkYoomTVVASJUeGcGaOiLAWocNH5TiEBXKD+zRNCDh66nIA9CxmMdX5sB+RkFOKAE7s7qUoM03zPAx9l7rG/3LA7W6+ePTwx//snwtJ5/dWZZxzwvVANy9ujfzmyfN132VK9842r7/62nwoAjicnN5dXc7z4eL++Yunz/JqdTL0dy+ubu7uAKpHdKsViwTx6flpUVuvV8QSOCcRdgyPqt536Mg1VNXmw7Tfbqdx76VYqWCWu65OagYs5BFTGfv1QIxdInLWql3qJ9W8GoKw1tr1OcCtFDVjQGOYCe4wvn75/KNxsn7NmX0yZjYsKefVZt2f9wWURCjBPFvfnYjZac6/9sWvYtUszNyYZ1HmarWKSFWjJMgcpuCO3vTW4hAe2toaBLSwJXuqpQlhi07347p+0dGHts1QHLcCCOAE3KpshGY2DpLU6v02yGlLHyJEkMVXHItZsJkHGp9mGb60tUN42xUwN5zMy78ACRNKCwyYy4QYWfqU2Rgd3Q/7HFR15MwOyMyr1cnuMJaidZo40TxV9ToXFpa2lNa2WmfUqgxoaNguG6sWAKbdyTpLHwGr1Wp/GFenaytF1D0PnZqLeUopYi7zbd/34+6y7HcEYuABMe2ncXt7ssEkYXszEMmbw7jr1+eOEwG6leq+TptxtCRSLQJqQkIkNxBJ0iV2mqZyQLRENh82u+lm2tJsEMAIPSnXihjUM7CsTjef/ey3/aOf+yfAuCCZADUcMTanZ9N8WA8rYDkcRjdwkdXpyVk6mQsf5lm6VXd29tb7H3zw/IlCzV3WbS212jyZVqBggjoXAkKX7fX1vQf3ajmUMu3ubsHrapVcyzTuzZSZ5lIhIrQ2/UlK7W3RoIDUpMFNCqRWCbF5gNSMBGupteWVQEzz+PhRN98cQqOTPNY5oDqOk46z2Vj9+fXui1/89d/9O78fKjhWJquHWquiUEpZszsYrvhGd5K4f3zyWz/3AzaXF09fvPutdz746MPcIHfSbbe3L148Pzk9ffLk/XuP76Whizi4Qe5yv+7meSSI84tTIrq8vs2UEePkZKXqMYcYESEDPXvn6SO/v3l4VrV0q4ySWufISPM0abVu1Zv5bj8CQZeTVn3w6DFlkC5N23rvwf0PP/zo27/zu/9X/5v/9V//6399szk57CcC93CKkE4AIfXZwjnz/rA/zCMTJ5H1ydmDbnDX7Xa73+/Vi9aKgElEWDwMQgAWrYagEJKHQTQDJwaEhXLwkW+DR8wLEGF4c1IuDjAAXLgeQBDQyNg5ZzdPKYtQddNSidkciImFvFEZA0XQ3Fk4pa6qbXf7w3hApiS5gRgcwFTblk/dcrdyh2rOwqkbutVKVvknfvIn790/R4QhJTbF0He+8evzOJ2fbx4+uL/ph3W3Xvfr25vbcFSzq5srV8OUrrd7A9Qyq9vh5ipxCgOR5JPOdSyq+xeXZt713TTNxISMzTtaS+XE1uyk6AjYN0cSgCRZrzd3t7thPVSdGZEhOiGok3hJnpgwIFf16r7itK/T7d323tnJPI7iaI4VIfr+APH2zc37d9vKEpOFWidspfBK+pPM6zRHre6r0zMnCWDhAcuO5un2rW+sSbDU1A0N0L7d3WrRnDs4quw9nMCW1Dagl3MWBEQC8GbxbucvISC/jKURxGP6NxHDUW2wDOKbnLeRQ1rGG8ASBtdGKqqIFE1BwMwtBohomSkdnWiI1AL3rHkF2meF4LbgJsyPGnZYQo2amrJqBRBDPtT5+m6L48TlgKGlThUGGlKL3Or7vnYC4HfXt0ioWjCi63rH8OJerWEI1D0lgYCqSkgAgcxmDlZNvev6vu/nw7Ra9dKyTxFIayGQLINpHXdXz2tlXr/yysfrqP1mVXeHbt3d7a76VTdpYe5K8GgSEEw4nK7Gu5twvd0e+rwy077nebIGXU3cRXjRkjnnvq+lrNLJ5dff/uD/+4uf+dHfOT77tbmjUeX25q4/74x8V+fzi4sf+dEf+dv/178jKRvaVCZCDnRBdORxqkh5nIwJ0fPF+bkFk+Rp1n7I4fD8yXOr9l/9Z/9gt9uenV7c3txM+zG0dB2sBgbCcEhI0zQJxfb6OidA0Ccfvj+sUpl3tcw5SRvruBpCBAUx8eI4webew4UPyo1FdQwOhWbpJqaU0267O0x7IACPcZ7G/Th0AzFd3SkiYRixb3d3rz6SojFO/sGHL7719ruvP3qgB02UBs5328P64mSelYRyTkVncychFX9RriXo8ac/dv/1VzBw++L27bfffvbkRRN+2ey11tkOwNEPfdd1OXUkgsR9v5prDcTMJABaQqGa+enqJMC2+z31kbu8fbZLqfcUs5WqtV8PIun6+larhkGZdZomJs5Dqh77Uu6tB8lktT782KNhtdL3P9jvt5/+ju/4c3/xL/6t/+BvkrAEqWuC6Ltuuz8svE4IRMophcc8Fa16bddd7nLKDx89cv//M/Xfsb5v6Xkf9pa11rf8yq6n3l6m8k7nFIpFNMtIpCXSkuxIUUQnsRNBiaNAsRMJERIbcAIrDbEQwLEjQATiSJEUyiJFkZRIURwNh0NqSIplhjP33rn93lN3/5VvW2u975s/1m9f6uDgnA2cA+z9a6s87/N8Hpmmqe+7aRqmGJl37R7ls5dydgxgwI6g9DmjMfC1EbD4kKHk/kCJkESl4Fx23K7iDydyQIBWpjuIWBiNABCqSmyX7yvjRzNgh8yeAUT1YnWRclY15z0QFk/QOMUiEk7T5KvKB2dqSA4RAVzlmto3/9O/9JeWy8XF6ZknePzOZrmo9/cWNw72nvjIh+MUHTrLFqfcBB27aezHNEUDRaaYppSzkck4hRAWe4s85qjJDKZuREYS8N5lkzzFQCRZUbFUhxAyIWcBzyianXMOKaGIiAl0XV8oSSqK5MehD54YofJsWaYhsq9j0kk0dduY03zeDtvOMwMZejcBxJrvXXZ/8ODR4Gp2AdTqUKtm8n55uOebKnoFx5WvkMgMm1k7bsdjX33zV38Nc7QJiGg2b0IIKcZuPVjR1MUQCAwcOQNFgPJqEKASF7tLkerLpLcc1YvDR3Y9UYWkD2qkkndRsd0kFh26680ACREMmAkAdqQ9QmIur33RdvK13QgIVJWYRYSAiMqagFakmBJVuHa1lesFlK2LyKAsGxqQFFRIzCFVzSpOSYZqfzaPT3aGUHtluLxYoSOgxlX+4uTUVNnRNE7clGAcaFZyJKLOOVU1w5xTVQV2iArAJFn2D/fu3HlmNmuHOJw9PpEUd9XYBOiIzbA0JI5jJ6TTevPEU0+9+PQLv/8H37q8XN84OuQ5jJIURaQnaubHTx8dHH/0O5574snlL/3jf3T/rTdcECIYp9GhuKKDiTpiyUkFKHBUCQbbcfzCh56dfevN9cnDdhyYujHHxdL3MOUEnt3nPvuFL33pX15trtrFXIFUMxDmHBmJibJB10UfmoOjO+1svlpvi+vDNBHmQNBdXv2Ln/+neRwqF7brbRxGSYlJTFXSlLKO0zQNE5N7vFkfHu1vN5fvvv1GSgNNHNMQvB/Grq6rHdRBzRCcd8B5593a7eoKgOSuqdTXCTVf+cKNyjk75ilGDKgiY+qmPM2bNqCv62rVbZlJU+rSaogj82KKmRBef/29/dly0TTaj9tVVzeNZVidXh3eOhi6EQjIMQD6pklZ+hi77bmKHd8+Xh7f+sInn5eUhm5cnV88evjo8aPHp8PZsOraUHkf2rqdNQvHQUV88CLatjMwJVQyYOfiNCFC5avQkGtoM20uH1/5mTOyZtacPzivmirlpGDz/YUaYF2lnLfrbRa7/dSdhHnqcl1VF5eX7/727+/tHxwc73/pF3/l5tGt//Df/w9+8if/NiMMfZ/GEYzqukqSibyCSDFpm7jAAEBG4ziO09iPzntmdnt7+0QH4zD0fVfKRpzjJMm7YCIF4cVIVibByGDIbjcKBCMA1CSF3ObYK4gpEPDuiGfgnEM1QsdESloseqKas3gfrCB6C4MUjdk558Xyar2aYkQidi54RjRRG2Mk5lCFmBPuAO6oZjlmBANwDNTWzZ/5M3/69uHB/Xv3Fnvt3tHy85/6WO147DeAmqbtol2cnazBOE+pX4+atF3MT7anFFg0RROseNtvZ03TDdPQnVehMcWr1cY755DqphnGKaXInmMuo0Ko6pCz5KQVezALzksmMLCohKyly0Syc65cfPMUl7P5FHszi+PkmKu6Ql8NaeDajWNEghjHRdPklIYkGdyl6Nm0feXRRQwzNZy6ad5UqOKqgN41y3abxwTq6pkAJVUKtSRhVBft3svfqg0JJTQ1MRVtbbvZOmQDYMfsHBaGT1mJzQSyEcMfUnmsIBCKxdOu/TZQNnsUNLTrJki4LvbaRcHweixrO2aEGb0fF0AgAC2pwLIHlFGQZDGwAqJg5hK2AjAiLvqjAdAuobxrFyiYq5LHxmvUPBg6ClzV6vhyms7Ad5w3Z+s3V93Y1lfjaMuFcw4djdNolsdhUk1k3lRTSjD0IYSCFRVRYJVcUpOQNKuSGDFAFpkv54u95WK5uHhrhUQK4NiR5CiaCYJ31ThFMFQSzOSr+s13Xz08vvMnfvxPdqvNy998dUjb+Xx2486tWbuYz2/N2v0scv/+G7/8z/7lvYcPsmYGjimHUOU8MaAjrmZVHqWtamAFxhxFCHOoH663q/sPl8tw94VjPGpzZgx8cXr19Ee/4+aTT9w7efTNV15zdQ1MkM2HehyGECrvnArkKe0d3KrrRVXNU0YADyYqstxr4zg0rTfD9956txs24xjb2dw5SGM/bNaifUqDIeRodWgAAA0f3LsCtikOzmNKUTTHJCE4RDRU55wk2fHz3qcM7m6Tu+MG7g6PCEhmlnOmXUIPxhjrtpp0QiR0mHJEIgBazpbnqxPnAcBU4jT2y73lNOT50WKb0+9989uf+/THkIAUxmlqXMOCHl0yzjk3s2bTb5C8otXz2TAM7GHbb9egbliJ5MPDw1vHd2594E5bt6R4/533UOz8/KzvuovTy835I3flmTlna9u2qeZ7i/0GW4ch5qltajC9Wndu5NHGQLWCUKDL7ryeNWlMXd/VbT1uR1d5xzQM0Tn2Fdy+dbzZrGfHy74bTh+cLA4W4zS++8qDZ557wTHfufvEf/XZ/+d//p/+Z2+//U7TLtbrtW98KTByziVISDQMfemRzllKpJIQcxIRGfqeHdehOjw4ypr7vuu6DktPi+1uBAUOBCUQI8DI5Si3M3owmVHO4pm1mCUIS5koE4KAqAFpOQGqqoKRoQ/BTFPSqg5ASMi1CzHnq81qimMBxDjvDEBMJCsi+hBimsg4iwBC1QRiJ1mZoK7rqq5V7NOf+NTHPvohI/vUxz96684Nz8iEaRo156HfmqZhPVxdTFVdb1dbGXNpxjDGrBEIfRXGNB7eOOg3Qxb1ru42fdXM2FX1rJaUo2g/jMQU2E9TatsGFJzzqsZkiOiZmEkSlgaLUAfnKlFRVTRQETIUlWEYiKDvJnZo6IYpe85JUqgbU9uVlzGhkIVqINuCvvX4Yi0YwUlKwTtiELNq5qtZM9g0WnZ1BZ7IOCZuOTizZeXvff0bab32OTO5Zj5Tg0DcbbeEBEQp56pti8dLVcDUEZnIrva3LObXQcL3A97lJod0De+EXX4Lr5ERZqaFF22A9H4U7Npmb1aiPGVrwKIwFWUfscAXVBQQvCuUsIRIgLDrniQG22EhVLXYSYogSYUQVazNBKZqgMRILElTmLVro19+9evPHd/8+vpKjg6qkdx8llQN1Qj6bkwai0mdqYQ8RJ364IvwiEiucRLV12SA5CiEiogkyeXlZhjeePKZpy4uLh3jOCb85BN/RFHUIGDIIs41IkDIOdpiseeq9mqTDm/c+uM//Ceffvq541uH22334PF9U7r/9ul7b94fx367fRynC4AJTXIcK4/sSCTnOAU2VKmpRQR0hAZVhjrjM9X+s7N5I/kzn3spNvClr/2r91Z2yRxn4dZTzzy6ePTtN15rFvPTq/NZVXn25AARLi5WdbtY7u8x1GocpwyIznnRaJAQjRnGYWzaGgzOTs+rxhsYOtdvN2kaACbAZJqJmMh55ySbKdZ1GMYhydS0IUuMMQUfCBl15zMtwXPHLmdRMzFh9D4EzZmIiYOKBudUtGRWi4U85WSoF+srX/OUp2mMnqrF7PCZWx9MGmMcHpy9l3mYUiI7PNx75vknv6PhulmGWe1q9J/86AeeurE3rlcYp3bm0VVTTsvD5ZQn1/CQom8rYJumyTvXbdZ1U6U8hVndtM0UJ1eFqqqIiJ2rQqh95dh55wDQBLeb7dD1q6u1pLTZbKcpjsNgAmC0mLeIOE1xsVxmSL72Ala1gRinNE1xWhwsVler5eFePWuy5TFOdVPtH+8v9ufDEKeYHj8+repWVNerjolnzWwxX0zTtL1YpSH9/b/3d371S19u6hpQzNSyoGMmmqapqmuRzOxzyoZQ9t2UonMezLKqc8zMdVXVdZ1S2qzXUxy8Y2IoKEAAMDF2DGakjEjkmJGt0INLX7pjU8iWAKhM/RiRmMCQPFlpd0pCROw8E4sKe4dEwYeY03q7GaaRENlzsT+LSGkqVtUpT3VVmUGcYtPUiGCizrEPoa3nqtIsmv/kP/lfP/vcswD57hN38hTPTk5zjACWcyLCsR+K7DCOWtXV1MU61Ellu+1ni5mZDWNfzyoDnaZp6qYmVCigIoKcojiPopJjMlXneBjG2awtSyKXyYSp5Z1wgQY+eFUJtSOGnErMHhCpNI9ny75iUXHeAVTDNLkqANAYJ8lGCCLZTA3d4PiK9OsPHr5+ftHRYjI4aNphGDJJO2uaRR1NRza3t8cVuVCLslIIrqJxfKIKv/Bf/y19+LhRRfDHN2/6UIHp6cOH64sVEiHxbL4gR94hQNYcHZjESIQZAQzddTNPiX3hLusNhNcdEgDXaT4wKOQIzAUoieUqALiL9u98oszFF6RmxlwCxruIGXGxfhgCZslEVGxCZUjwfj2ZZmFmx95KVcs1cciRez90xsxm6oAQgL2vmxog3FjOb7PziPfPzzaQ6MZdbmerB4+mqc+gSeLUd+O2Q0DvnakSu6ptvQ+COgwjsjs43n/88PGTT919fP9x8H4cR18FEKnbljD4qoopHd7YX1+unF1HxgCVmRRFRMmRC6g5Zxibyo3d5c/+47+vyq6qqrY9urF3dnIhvW/Dom798fHy3ruPXRVSTvXyYG+5vLo6X20uA3FTOwcwdmk2a0JgQkrbXkN7RfqNy6vnX3i6+Z7PDHmA7UreOcUpLm7sfevt17rYtctFzim4WahqR9p33Xw5+/E/9ae++mu/e3x0e7Puh3FyIRRrL5iyJ7U8jmPTVmnskybnNI7rbuzJsSmAZrPInNWySGYHccqlnacfIhLUjdecVcV7x8yawbEr7x4EBIWkqewEJmasZb4nosSKhKIiosGTSKnYUiSMUyodMSlFIsg5T9OADnUA7wMhQQYCcg5i3JpJPauHcQi1C45ffestx8/cPjoYr043q+7goLFRNVoVGlNpudIMWaStWucdGgxd18xmOaXTx6d128ScNpuNqNbzphhV27YNdRDRKlTosLnd1jdqVX22qnPOzO7s9AwUxnFcr9Y6WsKIjhMKImbN/bZ3wRFTyqmdz2KKOOFm2IS6attmf3+x7baitN12i72lmJ0+umzbxf7eYv/gcH2+aZqaj+Ds5OQv/Ud/8cbRjS9/5Ut5GsFslLG0uzvvUk5F2WfnsubyefO+Kqn2Yp8nwPV2M8XJkdvb3w/h+OLifJh6QvTsVHLpmTdFZqdl4FtSgkiMTEiSJ+ZA4ItLulg8mFhKEXASZkd+1yyKQM5x+eJ0dT4MY/A+hDDFiRBFxWEgopizqiBxO5ullBBwsVwYWBxT8L5tZ85h1vj5L3zhf/Yf/cVhiuv+6nCxf3V2ubq6yjEOXV9XNRKMU2Yf4tgb6mzWppybWZ2mjN4tDhfzxZwI8QpE89APzrtQh5hS5WsFjSoWTJGBTLIO0+iN7ty91W+3s2Y+xXEaJyJgRwqWJIlZW7el7AxiQlYAq5xHwmkYqlAlk7Zphjga4JSNGIBYRESSJbEkVHnyvk85EV7G9DAND7edVM36qp/Pl8N244ILs1ndVr6iMQo1NVXOVT6biYF3jAhtcKfvvDk+ftwkA8TZYl4+cGhweXFZGtzZuSlNlmx/b8HkxzglzSXnXMauBsbFdQ2mBo6oiPK7wPa1e6c48gHQQBDYkds59614Qw0JrhFABbawa6Mrb5JrvQjAivUeSp04IojsqoBVrHS0QCk0RJIS10IsqeOS1y29JkhUamjZIQB4z7O6IdfU+4v76824HdRTvTx8793Hirho/TWtDnPOUHIMaswkKiJCzkJVTTFXbdvOZwB0eblSgG23ZWLJQoDdMBwf7xOT8/7i9Mo5dggkqkgwpYRUunM9e0CgLBOmRIgyIbYL5NZxlbrh0Xo6PLp50U1DUiJt2/aFlz6Hji8v1sfHx3nsMyz2jp96+N6bY5Jg4qtFP47D1M3qyoUwxLx/82j/xuEf+w//LO43D996761tfx4H1/iT1cVq3BY+wBAHM18v5t3m6sf+zL/7xLPPfPXXfn223N9uh7adJ9WUIjBkSWoyboYQSFW23VYkxdSN/QAkxCCSCdC5ckRgEQnBoxmXWnkDAHPsc4reoWR0HCQZIVmZKwAwIZT+TCZiztkQSEHZPCKYqhkAOXZkqAhAzGDinB8GDcHXbV3Kct2smmI01bquGaz27TT2iEScx/Ey5g7ouK4bUyIK0zS9++jR0dGBebKRhu2oovOqvexW6CzUPqWUNdV1m1IidG07N9M6tCoACiI55kiesk6XFxsyOoOzqqmCC/PFvKoDkGQRZhz7Pkv2VcAZAvLiYG9xZ4+JVGCaoqqM/TSOQx0a8hS8v7q6audzJoo5zxcL713TNhfnl03bnJ6e7h0erNbbzXa8ceumc56ILs4v6jos9xf3314B2+nFwxc//PyLH3n+jZe/9Rtf+eqw3jhmI6waP8ZxnEZX2tXYGWI7m03jBMDD0LWLRrIQWBNqFevGvp+GtmmbdtE2s9XVVYxShYoIRQTQFASh2EKKAwRzioTOEXl2hebkyIlYyjKlBAZk4JhySiX5xcQGIGbj0K+3W+ddVVUxjwW6oKbMLqaoBuxccCGLiIAKhuCHPs7bWWhrIN124yc+88kf+uEfeOlj37Eeu9liNl6OZ1cXs1kLjubzvTBvZ21jWYd+zFlm7dJYt9vL5UEDQARVVvHO99N2u5nGcfTeZ0nsMGlSA0YD7xltM25k6oa+u3l4/Onv/FQcxvOTx1UIm82Vd95MJRsiKiiTQy5Qv9FXnE2CZ0lxiEPbNsgYZWLmlDMgSxYkcr5S5O3qoiLfhGrUkTxPWddTlKa5yPLqg8cTsgIcHOyr6OHhcoqTr+vg/Wp7NZLOZ4fZESCzc1EzaESUmvyvfenXQsSAwMxV24Y6qFqaJgBzzomoZKmr2gdXfC+i4gmnFB0xMSfJgai0w8FOBSppPZPSH3wd+NpRH4qAC9cNRCIl1QVQTABlWF4SwjvKCxGJ7ugE7+MWiPHaF6Q5Z2J2O/ob7gJh5f4BisBEiEg5CxIKlBp3NVEiTiLJpK593VRxyjZsZ3Xl2nqzOktD3D5+yG5e122hzBIRCErRqA1ArYTbcxZfw3yxGMZpGIa33tw4X+UkaFTXDe58b8Dsum775PNPxWEExHHoXUqlwJ2A0AgYwbKkFAmZgB07yWqG07hRHDSlqc+Vby4UpkTtcnbzzg2B5Krw4P5lXc3efOvxdn119+7R8x96crmcP3jrdYxT1/XBmwve+SrF1KeoV6cHL9wa2J+dd7/99TfefnzRODy5eLzNaZIsGbpu8K0Dp2/de/eHv/+Hn37+hW+88vqDhxdoOPWDpKSWRWLplhSJaEkVYxyGrnMVTkOHpGZCwCaqRuBZxZxHJjYBQ8g5VYGYKCVRLlZUZ5pNAIHYuV0kEAwAyrneEYKB937X96LmnFMRZgcImhVLrFQtZxWdTM03ftttRYS4nDRxs1nPmrmozGeLzXglmnIaq9qfnj843L9VhcCIw9DPgr/cbN94652PvvjkNl9dXKwP9/YeP3hw9MSNPk0K4D2DYooRHQKjImiyOCaHpKa+qs005Tyth4qcY+77abrqtE6x6w0s1N5M67puF22ow/nJWT1rQtXELGM/smPv2c8qUBfaagl7/bbr+6GbRldV26H3wW3Wm2c/8Hzb1kYw9un09HI2n12cXaYsBwf77F0xzofAB8eL119+3dT29hen29XhzWW36j73mc988jteeu/d977yq7/62ltv0gRD14e6ElFUiCKSwPkSJqf5zRuXl6cAkBScKpEDw+DrcYrDOC7ni+MbN2McN+vtMEzOhyY0aerYMRmJqPPeVCoXGMm5SjQXF2jKGZBKy5Sj0gsPs/m8nN1SSv0wRMlJhINT05QTIgbvmVmymBmzsyzMTrI5500tkMcMe/Ws1Ap96MMf/Z7v/8Hl3iLMXZ+nxaw9PbtYLpbI+O5b77VN45iqphbEKtTYVDjJ1Xrwgau23XS941KJa9tuiCmKCHiCCmttDcBVZDVcbK7QaLacP3P7yWfuPn2wv3/++Ozs0ePV5cXVxSUb1FWdYnK7RJJJFmYGtaiJnfOO45TBzMSQQLL4UCFiTko7YAIQuq4bxjipKDIzYOVCH9NghvPZ1RRfvf+oU1DCJlTDqAgmkEMTxCQqmqOD/YMMxOSjmGZ1zjOrn9L68cnm3feaKOSZXQ1EptY09emDB4Q7LhN7Lj2yKUZmCM6B5ver95iYiQwMjAgLuh9VlIlVpUgz1678HSuk+DNNwUDfhwKVZgVTLUfF9/cMeN8JqoqIkgVc8RC74v4rSRG+rrUoNiFAdDuliM0KMEKR6Bofi2CgosRAjsBRzHJ+vnrqyadu37wNiKthxbW7urgi4qZ1pimJmplEiePIjkEFtGxKmETY8zDF7bbLIuU2XKoCskwGWHvHzH3Xm0jdLsZtv1ltAFAkO3Rc+h3NDBXYk6EyUcyxDa1zJFlyzsiOQNO49ViN3VWatvWs2axOvv3NB6GeMTRXl+Ph8S3Ltr9/5NxsczkeHt1cnZyer+4REzCI5S6OqiJsm9S/8PEPb6Z4793T3/mtb7WLg4fvvrbtN0OOITTbfgyhqUPryP/A9/+Rt157+2/913/vuQ+/cPepJ1/+3d9vfJ2i9GkLCCxIoAgJcBr6cez7sqNLTi4QETlmKGQoVQTOWUNoRMXACuITzJo6iAqzF9MQ6lS8xgp47SjAQhFhFBMVBEJyhT62s37CNUywvB3V0CCGUIvkYZiIyXuPBDlFEZvisLc4kMla36Kyd6aYJA3nZ/fdhz9ukklCHlVQm7q+9+DRk3ePlgf7cTPFMU6xb5aNm9VTP9TzKokkTTpZu6ipqBlskrHve0IM4AhBUiSi4AIFQKqAYBqmOE06TlkyLmR9epFVstjhzaNt/zBmQeBmFpbLRkkRfVU1dd2w44OjfXZus92mnGJKLz5xp2qqqq7Xm9XZxcYRFj/R0eFySrFyodv2dVO7wG+8+gYBhtZJnHygxWJPx+wAkfk7P/nJZT0/vTwdpv4rv/GVt956Zz6fA5gP9aQpTaMB1VWVEzZNAwAiu2FfVdWlpdVz6Iep74a6DodHN+I4rtbb1XqzmNfIhkpVVZEhsWNkPT1BPgABAABJREFU283uzMycd0g85czeee8dQooRmIBYTKdpHKdpjONsvuhWq1k9G8bBxKrWiwgBxgzECMgheBUlRZNMQMvFTFMet90Xv/jDn/nCF0p/djf0h7fvEvN6tTXATdetN+ubT91FgtOHZ6PYejtKRiJaLva4nV9eXuwvK9e0ADAMERCBfNTkfe08b7Yb59zVZm1ot+/ceeGlDwaujhbz7WZz9fj89L377739LgGS0aKdx2FQESY0NB+8qnp25Dkndd6zQ0QjMu8ItLRkwxSj90ENwZBd8OgAaRy2YFD7OsUYkMUgGYjnq5zfPD8/GQdpfOPrcRg9V672Zsm72gV/2W3qw7lrGkN23udRxayuHKTpznz2L/6/P82TeEQgrudzX1c5JjAb+w5EMyT2FbMjxzuRXbOZvY/wLCmlUvlpoGhA7HYAuDL8Jyzo4yIWgdpu+loCXEY7gKSalf50Ks0lpS165+Pc3ShK+0xJ+UqhexoA5F0Qt8yWr0UiMwM21B1/osyXS+OmmaqAATosqzgbqAIyJswZMgE9eO/RanvVD8M0TkM/Lvf2yHxKCcGlJKoGiJ4ZgZMqs2PvDdREHbELQdUAbOgHRFARJa4r19QNepq3laSUx+gqp5IdIey4EYY+eECNORLkynszidFijMQecuYah3Fbs/pg2+4KsHZ1lbZpvJxCqPdme9P2ypQd+6PDw+369N7b908e3msrymNygI5x0gxMQ7QXnn3+4Nbdb3zz9bdfe++pZ5556923uJqlbUfojPjozvHmor91484Xf/CLr7/+xvJ4/6pfvfPm25JjM5vFYYxxNDbJiSs2sH67EZtyjlkmZsjRfCBiNoC86yIGIhRQZCeGqqBqgRl01/tBSApqRTMQQ0JVKRyxgpYspedWaLC6c24BmJkQuUJiYiJC0MLnVih3Q1NzwVUYkExyAtBpGhCsWBSaatallWj0rROYVpvTp259EBM1FYEYCBC4199858MffmG2f6DD6Lk+P724yTdReBozByLGFHPcRvbkvBMTMZnvL1cXK0bYW7SjIhAOmyHUvt8OQBCHMQRnUQIwjMmGRAae3ObxWonMlB2nbb7YnIXaJYVuO1JwvqoWy8VsMReR2bzVrmNy4zitt+uuG5q2qrzfbvrDw2UV/GI5X12t2lnNjk9OHgfnhq7f39u7uFylaZq6fm9WyxhdCNvNyhEt57MPfuiFu3dvn19cxpS/9rXfODu7QkBip2rDNCFMPriCmze1LLlum4KLFpUQgmTop0kNZu1svtjvus3l5SmAVVXLipXzoFZ8vWNMpZ9CcgZA5xi9Q6IUU1XV6BwQrNabfuiNIDT16fnFbD7b9Bvn/axtk2QkGqcxVAtTzRnQ2BEbQltXiMpin/v0Zz//nd85a1uqq2o23wyjJu02fdZU1WF1tZkt2sPDoyw5jvngxlGcIgAyc7cZHp2fBRfm8/r06orZXAiaFcCyJgXbrNb1PDSzdn9//8Mf+YgLfuiGYZw20/qtV75JhtZrmpIDVhXncOi6uqrVBIlyzqLqvIuasggRG+IYpxAYHSdRI8op7ZYqBSaOUbKzNEnW7BCC984RuoqJJsmjwMD44HJ9Mowwa4dxtKmvq2oSAWBjEod9HOYHC65n7JucxSYjxbp2KOJi3jx6b/3Gm7OMJuJ9BY5EdDZrzk8epzh657R0p4CV4SoRMrosCWE3pBGTnDKyMZbrihYwpwEUlh/sAli7s3w5fRso7MhuxUKGBkZYQpzFHbYrpdjBe0rip/jJSt8PlJFvvqb77EhzO3oJICKIZhFlJthxZgELAH1nMwIiylkQ0bPDQDnGi/PLYb1NOV9dblOOTOSZTXQchuVhzcFtN8N82a7XSSULmGRJIlwFMmPnxCTlZAgppbquq+AR3Ha9IWdpSimmNOWSe5nGqeYGkZyZFb4uAGVRJkNkA82Sa9/kmIGMXN5utzO3CIGG6aoKfr7H2Tb9sGrDcXDUdxcGSbFi3z559Pzlxfn67NHV5cOqMaDoGNIQUbVZLIZM5vSP/PEvPr7qNjm7Zfvw5beuTrdcmQKCc7724zDdOrr9XZ/5/Je+9M8cICDtNf7s9Cz4drPpAJOvuJSfxCnFcYixF0s5ZyQMzuWUEEBiRma09+1himRZhJBUspkY7eIZKmYGYkLFohUQgBkIidjYTAGovKiggADsWLMSOTRidqBExLuZDmFOGdCcdynnFKcsGVDJYelaYaSU4tB3DBVzdXxwYzrrkUNKUcHuP3zj7vELOaabeweWJPa5qvn8YvX49OLZ4ycw1KcP32u8W1+snnz+mYenj+c815w9V6JiGXzt0UjA4pj2lkuT3G/6Ongj4KYdp7GikCQuZ7NC6+03Q4WtjTnGuNhfGuIUs4ypWoZh280bP/bdEKVuWsg0DX1cD5t2PV8uNOX5oo1DTw671aaqK7Mck+4d1XXr6savN1sOHOPUX/QOSOKwtwjjavX43r1bh0erbjWhBHTDNO0tF3SH+2HGiEd7B00ILlTf/bkvXG0uf+5nfulb3/52NqAqXK0vyGmKyTcVAeYoY+rAsBSPDMNQ1Z4NpzhOeUTlvf29Fz/4wdOTs37bF92XADWDGQTnY85muZ01ccrs2IhizGBoxilJP4xRsquqmKUb4t7B/nq7WS6X0zTuPPWQqzqMwxBCjYW+TxiCJ9AbBzf+wp//781cPWvCtusrF9qmVkcznsdc8Bs0X1YxTuuthsq3s1nK0/xwNg0xRtk73lsKnDw668Y8O5gNQ6esfRyODo8MFI1e/MAxgAXnQ+B+252dPB66IaecpjhutgxYUyVjKnzUfphCVWdVBdBkxM6QxyxAzIwxZRNlcuA4j4k8OefNQHZZWOynCQAhgpqhgmeaNS5lnPJoxCNyh7I1fG+9GTyDc40nFgWD0NQuuGzZGMZxav2hr9toQMAiYCAOGKZ8Z77/lb//s2ESFPVN5drahSAGBrBZXYAIeUZETQJA5DjHxESAuzYhJkRERx6RuUTAmGFX9vtvaD0FnmkKVkpztZj+S/cnoIkJAQOUsAeailzHAuCaIofXBPBr9YjMpOwoZdGw99sBd2BOVDVicsw7POeuQR6IWESxRI4VHDsjyCoIVgyjfTeoKAJIznXw5ERSJpMSaBvGzoe5r5wmJFUXfABE5tA0s8Ws3w7eMTMxhTRNkpKqguZuyIzOV74OVUyJwTVtPY4xhOBUhYiYWdQcMaISconAKauhGWoW9YGzpJwyIilAkmSQEXkaO+YUQpXzelK9c/j02aNXh2HS1HuWcewR89xVdd00bf3w8eXxk89+5/d/5nKbVuuL9eXV2YMHF+eXTU3TlNq6bpbNo0cnn/7M57//+374p/7uP9iMV04FRPtxPNg72A5D3fg4JUJFm6apzzmJJLWMJSYIQEjsWU0KFtL5AGLIxaQPJoZItkvlESDsoF6lygFBwZi5vDcK+LFs7GRcBN+yGXgfRJSYmLmk+lS13C6ZCRDHNLFjclw58hVnTTFO3oWUcsxj2Q8kC6GTrMqITITQDZcG42K+x5DRwbafXO0whNPzy5uL48aHxY19HYarq7W/9+Dg4ODqYr3Ym3fdQAE5+NRrBqnrWsyBCgI31TylKaaIhCE0IuK8T5Is03w5n9fzzXrtiKt25omVoJsmB5yH1PqwP2uG3hwKEZ+fX9ZNw56d2Or8Ikq+8+RtqpgDBu/IwaxdxCm1bZNFTh6fTSmFUKWYzJQALefU6dXZxeFyHw32Zssck+R0dOMAFZq2znkS1YP9JaIR8RuvvhLa5gf+re/7C3/hL/y//vZPvvL6a7NZCzhOedQxT9OEznsXvK9iSqDoPU9xQsDdsZBxs1kP/fZo/+j2zdvdaoPZHJT6WzUAVKuqICLMlHJiJu+ZuOr7aYyDIYjp1CdyXLXVJGm53Mt5NEQCcI7RSMGObx4N07Q+v5rXy1s3jqZ1/BN/4o+/9OGPaBq2m+2NoyfHcTzc30tomPI4xMXBPGO+ODnbO9hnxjTFYRw3fV+s68u9RV51Krmummefe2rs+v3DGYD6yvddH3yoqpqJh20PCg/u3YvjyIiaUrfaEpFjIjEDiJKatlHVbhzqeeu9zzkRgIiSJ8cOJasCBwccSo5JAatm1o9b7zFnZXab7ZaZCbmqvGdPjsksD30aRnIeGTuRqxyvGF+793idJddeJRMhgZtirKpW0ADwYnU5v3FDiZTNHKpIVmjbylQbDpdvvXf66rdniqaG7LmqTC14HLpuHAYfXI6J2VMgckxIqTCgTNHKFdpAFZ0rR3YodRFwTfZEICSB62afUv9SUgO7IzqVsM77m0GhuTG70joH7+cJrkGhyCxSTORlCyn3AEQELR2MiLumAYQCCyjg2BKwKFMHUSnpL2LMWdgzAhCgZuUqMLJarqrAPsQ0IVFVVZkEgTar7VMvPPvcB587e3x+enqiKB5JVZIIAgx93/VdjpkdlzwKgk3DVPtACqUvQQXZF8K5Cshs0eQpOSp8DFVTzabkiBybYPAMgN55VVEVQgZQJiQiTw6lUE2cWkLGKKP31DTu7OTlvfYoDxMogg8MSOQdYL/pJ7Unnn/uE5/77idefP73/+DbH3jpA7/9la++/uob+23YDhv2LKB9537kR3/8B374j59frGYH+1cPLlRl2G6Wi+X51WndLsZxsBynYRynTZr6lFPd1FD6ZxCtFGMCOiw4QABLZoJACqIiRMjEQATXkx5ER4wpZ0IGLLUSSkgEBNe87wL4Ln+bKSGZyC5kZMbIqkKEimaqkqXkVMUk5VzVwTlfcZXTBpmyWNQ4xmFeV6rgsWp8O4JMufctT2mzXT8+urPsLk/3lgftzI3DsKwWOeZvvvIHH/3Qh6s6LBbt5enFsO5mVTOrqzTmRbM3pIHM99uBKxLK4zTNZ7O6dVeXq9lyL2S5urgSkarxl2cXe/vLMKvGPnabzfGNA8f46PRx7GNOeW9v3m+7cbOeNE+niqii6Kv61nK+2vZg4arbLvb3vG8evH2vWjR3n7kjmi3aNm1CFaZuNGQmJzlFkHFKjmi7Ws2qahzGcTscHxxaziJSVx6rihxtrjZNU/nK6TBOQ4c5Kaa9+WwzDMvjGfn01//aX/m93/3dn/w7f6efhqZx+3v7L33kJd9UX/7yr56dPbp75+6UUpbkg0cgM4txquva19yv+sdpPFgeV64ytjxmh0gcUjZFzQqO3c7rQShqY44pZw4+SsrZ6rbl4FWFEPqxZyLHrm78MG42q3VOiTk88/xzP/bjP7697E7PHn/gmQ988Y//0OOHD8ZRj27eWPdds5hdnZ9NU5rvHTgOMkzzvZYWy+1qU7cNkNWVq9vWe748u6BsdRUgGyZxtXOMJimm0dMsEEKKMaW+7zfnGzUZu2Hohsr7HKMnZqM0Tu18kSVXviJiVT3Ym2UVIGqoUcvFrk5QLrXIznl1ZqCW0QRAj2b1lCNFbuqmbhpQQ0PHbGYiWSRjYe4zxCTZefHu0erqdIoRKI45FGJuHfaPDoYEURI64RCa2SyjS4QqBCbeMwpQ1tsHi5//xb/nEpAqEgEzO1+ct/1qk8ZYO++YinJCjAYgIo4rEEUCRqeaFdRUaYcJkqLCF3MkGr5/eiui/PvkzqLQaxkZK14v4jv1fgfqKZwf2HGFCtsZAREJd0W+OzTsbkr8b/iLyt5QZoe7bEFpAkMABDHhXa08MjMTK5h3XCoF2RO7ipCmbquAWdUzI6MRTaLNfNHMZ/vZHjx8CKZD3xU8NaIBMhA4IMvqidAwS26qCk2TSuHXeB+896TEgUELyAB2A5PrFmxipOv8tOVcPleIxEpmuuvNUbOsmRwlnZyvxSZB8+wJIrP044lmC26eRcwV1xTOF60s2i/+Oz/a9/DlX/1XQ87fc+d7L69WVR1iHLfd+uD4AIUQ6FOf+Myv/NIvv/zqa9/1hc8dHy9+52u/SeSvtuvZvOr7tWMWG4ksT6NYdh68x6HPkrQKHoinaairytSYiRAdUd6Vp5GAqEpJDPlQmezqYRGAyQEhM6OiZlHIosJEyGSFIg9WhcrARBQARLN3QUxFFInRSuExloJacggImnSaRmSbxqGuG1OoqpCSCMKY+rZaggIxFjMoGI/90Hh8++3XPv7Bj7eke4vZ6eVV3YSu70LgZVtfrq5mudK2eur551ZnZ48fPjq+davybbftw6IexuH45o3tsI3DNJu1m/W2cxhCLUBR4+GtwzhNBHiwfxSnCQlEdL6/v9n0xHh046ZJXq03BREaKj92PWeLcax86DcdITiiqR8Pj/e6rmfnHWDcjI/efcCemlnbzltNeUyxH+JisZi37eNHpwcH+1PfWxKj3K22B4v9ylerbe+dizEWV4Nnn6OgUds268sVIQfvc5+feuKJZjafLxdXq4vPfuHzH//sp/6bv/VfvfLat3/iJ/79T3zsE8vjgz/9Z//0V3/1N37+n/zCME5N3ajoMExggEzTFHOCug6mulpdVq6ZcmLyt45uSYZQcbCqH1beVzImch4Mk0nKWVTTlACxqmsfqpgnUUk5GRl7BNN5M/+zf+7fW8zbp5555mj/MDTN5ab7yf/mbz98+PB/9R//lcqF5xYvPnz3/tX56Y2jGycPT/b3Fk1bx37wdYjb6fHVlYEC08Vq2+y1aaR+tQWytm0vHj2um4bR7y33Hj46aerKQRXTNK22m81GpqwmOakmqVyIU2RAiTm4ihGdd1Ubhhhd7Y0hS/EaCjokx2AGyEUAAUN2Lo05xoK9q1GNiHOaDGHWzKJzjAhGyKA5Fz1TRBGsrv2UoItTr7l37iymt84uB4IoCYiZ3KypiaCkc11w6264+dTdrEYNqYFlCUzIBIoB8OSVb6/feGOunKehbtvZfA5mTRVSHE4endS+MlEiAAJR8UgxjiLJsVPQOE7BOTUokk7K4p2jkgIBJGIxK7PfMpVVVdsVxxLhv4lj+0NWxLWFf2cAVVW13SoPiFbYOqiqSmUYCIAAxCRaDoXlzF1GDbvwQFlhvXNMpFDyB8DOa5ZyzkYq1dMQYwqVB7MUMzIxYz1rE4iJGKCIEmDVVG+//U7zMIzj1PfDYj5j4tJDi7v0AwsoADrmFJNnJzmX4YSZOU/EaLupJypoMYO5Im4hQuGdFIAFEooqAKqJgonKrijTmZkkyQZKyDlFRofsmCirqBmBAQEFzJKYPKhycKLWxdV3/cAf4ab5/a/91pTpmQ899+jhw8X+olvMH777yFd+sx3ms73v+uz3/pN/+DNnZ4+utpt//Pjtj374Qz/yI3/in//KP794fG+2Vxmn7XBJbFM/OA+UgNClKTNTCE5yNjVPRATDMBAyEIlduwQM0dizVzFHXMw7ojkL7MxkxgUEQkgGAGTElLM455BwHKNINjMR9c4V8cGxd8xkQEy7biIoVYOkpshYFVOjWUrZESOSAQHBmCMiee8Ch6Pl8aZfOfZqmmO+d//d9eZqf76Ypm7W+G03BRcky8Xlem8xCxVcnPfP3Hly7/jG1aNH/XqTOS2ODoaUDvcO15drZJCs26uemdpqJpK7y209a2Mf27bt+4G9C0CS07wOwzQsF0eXl+cn64ubdw6btgG0rtsOXb+Yz/rzdd02BtDMmnGMrgqBOHdjRWHq03q7avaayWLWPLXd2SM5OD6o22Z/uUDQHIdnn7i5ulytTs4XTTNuNrPKV0wg0jYtIPWXcZwm79gADg72UlTveLH0ofEiMj+4QUxIbhzt9t2no4pz7V/7a//b/+L/8jd+61997Y1X3nj7rXeX+3P21TRNgO6pu8912/6t9duqMJsFMEO0YdgiYwhh1EGJ2PPJ1VXTtM89/fzr3361bZfTNNahktKJnmOULKBEpKDsKOWBPaYxKkrd1Bdn53/5f/mXf+J/+Gf/yU///L/8lV/u+m1ArJsFV7PX33j9ox/98Mc+9fHHDx7uLRaz5Wzo7vabDhvOQ25cxUAOCK5yWy+3XVes5rJN6HcQinHMOQlMKU96cf9RigJgVeVSmpq2IUZQKCwjBCIPKlI1NTKXWWcCQAQhUhG6Zp2CgDMuvOLigzQzyeaAVMpslGIcUopNVYsAO8hJsNyUU2JCBzimWPrPADWlCYq0Wlen4/TG6XmuXcqZA3t0ROKZY8wq0k9JGOrF3LeNiZmhy7kJYUwTGbLRjXb+0z/9k60ypljXAYgLMllSdKo6TIgoIlh5MOKq8lXoN5sSzAUz5xkQ2PucMio6IlMlQiKkcsRmR1DaobVUd4MBIKoKkAMkMtwNgRGQqCzbxWlKTCIKf9i8uNN2cDfaLa3SgFyaIZEIrkPou5sEAiIjQFlJSlckEHNpVkLZBcrMABSjJFUN3lvpPXQekJAJEFqejf0kKSlhTKmuffB+fbWZzWfHx0ebzRaZ1QREEYFwN/EuI+/gfHlv5JyYnJgBQMzZIXnHhAhIAKYiu1JjUVFTkSw5lzxFeULfL9WkMuIsFLRSZg/k2RFhoXNIyo45xqRSKmQNSAgNFcY4LZaL5WLvN7/6O9/43Zdv3j5+8qnbr37r5fvv3Gvahr1XI3D1d33PDxzdvn253uZklqNj/frv/c5Xf/3XPvWdn/38Zz//8OGjadyGCvpuwx7NFMjMRE1UDABNzTtWlZxSied5796HbyBh2e12zDbddS6XF7V4s0TUDMrVgYnLdVJNcsrBV84x7ARFglK1U4xoJUIKWEy5WVTNUoyWpPIBAJHJRAnZBLzzTJRy7IYtkqlqW88qblAZFHxwoaZ33nnt5q2jUPm9vYV3lOPIYBz847PLbTcYwLrvuaqWhzemlGIap7GzmK4uzm8cHxJy5QMa52x9N/TDWDetJENzeTKH3nO9mC8JqqlXtury5HLZLpbzed9NMSZiahfzup0ZUru/GFNOauCRA+Wc4xT7zYBJNKaKHU5CSdN2iJvOAW6uNu+++d69t++dPTrtztcn7zxYPTorLQ0ByKKM22FztTHRftulOM2Xc19X3Tj2U6pns6zg6tq37eLg4PbTTx4eHx3funHzzs3FcnlweFjP2inZ933fDwxTFNQbd2++9JmPn5yfEhGC/c7v/c7R0Y0f+3d+/Jmnnh76McXsiH2onA9TSkA2nzVjjKPYi9/x0he+94/+yT/97ymwGiqyCAqw823cnV9wubeXswhoN/RTTEg29cOHPvTBvb3Zj/3Ij/7Nv/k3X3nllfv333vztdfN4mc//0nTdHCwf3V52U1bDOibUM0bcXDzyVtIaAySJU5j450zaMhThP2wCJlpUIwCQ9YhQs7d1Tr2fRpGy5HNMCllyMO0udgM20FECnJgvdqyc2qqJklSUok5DeOkKIYWU0o5AQMQxJzGccwqxfRSBl3FKRiCR4+KUlWeGUIgIMySdzggNEQQyeSQCC0LmJEPo8Emy2VO99ebs2nUymdGIYLKce27OA1pUhVVJcKDg30zUBAfvHNuGicXKkJsDV7/2u9M9x+6rExKxOQ9ICLlqvInDx+SoYpRmcx4vwNZq7IVWRbUoMAdiNk5j0jelYMslM93lgJzujboAOxI/bDrhNnZinbOnN1AoBz2c5bC/mR2TOwcFyxoUXWYWUomSLSUS8vue5X4MlzHS3etwjt2UGmjLjExIkeOkKYUY57M1DnvnAMiZCbPIhJTqupQ1fXh8dHiYJ9DMM+isLpaz5YLFR37sexwuyUO3h9iXncfI5hquYVci16ATOxZVEpzADtWVTKgMp1mZCbeTdJFVGS3EzABopoCgqpJNlMCckmMyCNQqZ1EAFXwriJ0BRHlmAsjhZzbrqZf/u9+5Q9+65u379z+jo+/NHTDs88/vV6t1tstVhWG5gMf/sxsceOf/eKX+jGSJwQcLrc6pXsP3v7KV/550/of/sHvzzkOXVdVzlSYXBXqXfYCkYhVTdTs/QonsCxZr7dFtbKrIztiKrhg3b0jVAGoMNoAoczonXOEBEomZZgDBI6AvKsIUYvWf62V7d5pigyeC/LXEMGqyqtZTOp9YGImF3wgwJxiX7iPOZna3nKfwaGxqJKTb7/x9boNZjB2Q+3DvGo8MwFK0m4zmdBm3a1XG67d4sZBhPHk5KEHYJXN2YUXsEkq5xftnJRQcezHrBLHxBhQfeo0j8AU9g+PQ2gPD26COaaqqto8WbcaNYL39fqqD1U729+LplMUYDZWQ52GsV9vyKz1wQGmbqpDHYjTdordlLq4Plmdv3e6PV/pkON2ykPsV1tNggZgUIUKDOOUmtlsyqrs2oP9sDer9ufPfeyDT7z41I3bN49uHkfJU8rLw4OD4+NswI5RLZv9Wz/8Q6+++s6jR1dPPvvc3vLG/t6RJA3BN1X19d/73W9/81vddvvDP/CDL330wzJF72tEms1mCpBF1MFVnF745EuZ3e2nnj66fbuezackYpgBk0ES+ejHP7U4PDhbXVmFijjFTEwgkHX87Gc++Tf+8//88HD/5u3DyXK7nD39xI2Z41/7tS+v4+r4yf1Vd35y8uDd997cO5g99dzdwxsHJ49PDm8ehsZxIAKXxtxyczg/2qv2WqhvLY6Wvm3U1+i9YMPeKTrACtkbYzIZUx5yHgUVMWMadejjNMacZb3ZDP24XfWSdHW1SUkMQQGmGH3YNeVNwySq5DHlFGPMOeWUY4oAkFWSJABlR1XwKtkkW8yWs4lKykV4ASYDHPoJgUTx0aa7ArwAem/bP+j7wdNmmmI2blpq24wuCmDtR1CehXa5QPSym6tSVgPnAT2IHZD99j/7p3MXdBoISMRCW1XzoKjb7WZ1ebk7QROIAjJVdSUxm4jbHdVL+kqmaQLTguGVXRynDGZRTYBATZn9Dgt3fUS//sOuKRHvY0F32v21dQhVNeWURXYpWgQt2AbmcqDcFU2UmC+RWhkS7oxCZYMhIlFV0JJJ3lXXWVbTyofgQ+VrcoiO1CyJxJjKDzb04zTFKAmIZsvlwfHhfLE4Oj6KMc0Xi8ViKSrOeSImds47Kt1J7AhR1bJKsaMzeyt16c6hEejO31TEKiQgZldGGWUl3ZWxl3EKEu3cM0C7rBw674gcgwMBUyMCQgI1JjYxScrMJRSlagBIAFVoFou9PE37s1nrq3deffut196ezRdHN2+180Wo5l/8kR/7oT/2xeqg/sCHPrCYH/RTVqMqtMu9ZV35nPpf/7Vffefdd5zjLJJidM4jQIqpNC8yO0Ji9oTsnJMsjpiQr7OCpSjOUswpJUmak0iWlFL5Cc1AtMCvBAxKQ3NWVdWSCC2/CxOOkFQKRBCZGQScc7sLIgAReu/QsG4qS4pqCBiqyhAkq3eOFAI7ExOLMQ0GmrJWoW3DnMFp1qzx7PLRa6998+bdG+xhb79lVCas65BzXm/7q/WGPI1xHFK0hmZHh+jd+eVpXQeL2WKqydXoyUizIbhygvfoh83Ub3tVSAkQwjAlqrxVXl2YosVRjg6OAtUo4J07unF8erXNxPP9fSEShno2E8nMKJrjOEzDYKDlPbFdD6SQN1ONjJPG1Thd9XEzkOpi3qQpalbHRARJIzDOl/N2r33quWeefvHZFz704o3bx81ylkzMkwVWR/P9hQV3sV6bw2bWiOlsMd+bzS8v18+99KGwN89A33rj9b/6v/+r8+USkEJdLfcPzi8vx2n8ta9+ZdNtPvXpTz319LPPvfjBg8PjW7duiWQymzXNz/3sL/zmb//W17/1zfuPHq03nSJzXYd2jlX4if/J/3i1XT2+PK9ntZlut5uq9lXwzvFyPj+/OHnm+acvLzf9MI1jujjbfvJTn3zmmafWl6vZrH3+uedDqG7fvjOfL7bbbd937bKd78+HaQSg5fESAtazpm5ns8V8sb9g70xkb77Ymy/358va1YH8rG7mdVMH33jPCIG8x0DGHgOT02yaDQ0lZciWxqgpjV0fiHNMw2oLU5Ypxn6QKVEu52RFAVQEtRyzZMlJppgk5zLh67bbodtOY88AZJDGWCg3OWnMErMMQ8xqWWU7Tmumy8DnBu9drTrVKDrG7OvKBZ6mKFNEAU2GyGI239tDj0LmQtVvI7Nr2ooBDqrZV//pP3X9FsYICkQkIllsmiZgu7y6sCxoRsTknCGQc4SUc9IsBJRzBgRiBEDnHABZieAClOM5cWnjZtiZfXbL+/v6DBOVHO7uoEy4U47gWrmH6/kAXC/lxV4IVASg90e+qtdOUGYEYN7tB/C+NnSNJi0/jIiYARoGHxgJSr+NiopOYxJRQsoiuyQD2MHhwaydN00LjGrAwY05imnJpTezVlRFd2VnZoUvXQgUiACOCQo6whDMSuF1qbFhx3j9FDjN2TksCFwAUBVEKo05u1KbYmE3JSmhcNpZmgDK9y6GSMnahBkyAxgaahJiMstInolBNRA1jJjTt7/5yie+51OnZxcZYH959JEPf3R/7/DnfvpnH9y7t5gdfuG7vmeUzduvffPy5MFiWSvrZt0D55e/9btVHbz3JqkMJoqK55yXrFZ8YFnLY0Oi0huPiERaILDlXgTl4XHhcgAhQ8HKKhDRzkWAkFMucXliBkJGmnJCQBUtAxNGJmMsbWVmsqMDAhhIFhTx3oXK47ZPMbKvggvzdhaHSIIBeRj6jV/fOlqYutSnwC3IVREIQ1t/5de/8oXPf/dmdWEp7+/PN30/xhxCyCZJtR+mJrhR0rCaDvf3br/wzOm7752fnO7PDwQSTOp9hY68mYrVdTV1IxnmpFVTNU297YaYs6sqLSBOD9hWnkimqXKhH3sQXO7tyZ6b4ug9z+Zz9txfrhezWd9tg/PTFAEpThEdxxhjzLUDGwQSeOdRsOYwbrrQhH49zecLcDaJ7B8dsHNVUwPSfDm72mz7YRvYuVCRozFOTd2YmQJM4+SaKmW59949JrfYW4pl9nhzdnjnqbtPP/sBwmQOX/v225pBEbLoEAfnnas9KJ+cnJjKbL5/cX7Zdxsz6fpewfm2Pj95fPrw4Wc/95m9o/mj7Xa2d+C9bxez5z744rrvfuf3f+eJu3dVU+r7o8VsiEOMQ9XWT9y+8y9/5UuhCuQ8CN1+5k5TVQJwfnrq2LXaxpVKpr39Q03atHXl2M+cf+LW2YPzPIlmHMe8v5gBcFaLMZuRD41mQXSOuK2ZKlIRNXGOU8xEburTYs6ucsM4IUDloqpJznUIWTIhOM9TnJyqKDCA9qNDZNCUs3kIzgFAGsYygWQgFEVVmVKKGUakigDKkgRxGEzVAZhkBEtjSik3TQuOjWAU6c3ORS664cFV1zsHhrkf6nlDqBgzZw1Ahi5KTiYHt2/7upEcK+dThsp7dqR9rthPjx698xu/uQeV6hQqDwTOOzNMXZIxrU/OHaJnJnZRhbwrkfuiS4vmIraWFZWJRTIoonOF4glgVNI7poXzbKYGiteH/OvALxooAhLydT7sOiN2vfSXdf8PD8vvCyHllyhSQf+DmREXQWq3xu6cZUR23URflhxRASzgajQzMTHYnbsLQwyJCMjM6rrOWbPqYrm33XYcHSFLEkBs5u3+8dE09GOKwzgBKjKSsTMi9AYFaW6EhEa2M0oJEIomUJdVCICgFCkDEbndk2CgpoyM12lmVSVi0czOgb4frSgvBvDO60qwe/aMGQGAPYlER4SeTbInX1chTRFJQqgc470H7z3z0Q8d3NyfjPYODk8fXty9+9RqtVkeNs7dOnvYfe3Xf+P47uFsuXd8sPfKy3+wWa+IJEvvvRFDjCMDgFAIZGI5aoZsiimmsoGhGhKJZAMTVb7escvLQ0giqiBFs8spE5eRGSATMZqAgjKWI5GJqiOAjMZlalMKpoDJl95QQMwpETMySU6qWZMSk2oO7NKUNGV0rFDwNUZAKWVEcg5zTl3fz5s9x2Ex37vcnKVysXX26puvnV2eLfbb8WoQk3ldI2QDmFIe+vFkmm7fOnZ1NQ3TtppSloPbd1Ynp6th3bQzzCKYSck5SJL3632aLS7OT/cW883QXWy3vgrL+Sym3A2DEOY47R8soBwLvRLQdui6VQdEHsPJew9ffPHpLHnMGzBkC6YGjN67sRvSOLXz1lX1+mJ98/CAEEV0MZ+1TT0RN/PmfLVa7i997Z33oQkpi4LEaUrrJAbOkfNeJDOzdy6n2NTt0A/BBUhpO3TL+eLe/Xvb9UoVHJmQIcCbr74xX9Yf/MAL9d7izrPP/t7Xf1+STLlf8Kzv+qZugvebvp8vD5/7wPMP3n3njddeb9rWBMaxD1Xrg/v93/3Xh/vL5dHe8ZN3F4uDuq7vPvHM//2//Bu379xRTZdXj/faWUyT5BicO73/+Kkn7+YcKTA4dsE9Or340Ac+8Hi1On98kbb5zvHtz378cy8+88zpyUXXd0TxYrNySHuHi1u3/N07ty/PL+MwjF1MY9+Equ/7UFVIbGIpamh8ITQQkgErGPvAzpu5pq7xD7vngopIFucoxmkcBomxZmYmYwCgbddVoRrHzrEDo3GYnHcAICDsmVBNLU/JOcfAWaJERLQswECqpjmL6tRNjr2SVXWdRLtx2g5dVOkJz8mfmp50PdZ+HGPj68pVhYEx9KOwMwMOXnJ0wU9RCJHNaUyugsBOoL9ZzX/2537BKWlO7CllRVUD5yQ6qFZnVw7BOwcGQGxqIXgwyDmlMXreQfYNNWdlIpHCcIKYkq/YNBuAKTrcVbCUP0ALAqL0c5T4Plxnd1Hf9wFhSQLj+zYewJ16X7YW1aJtICI653b/jkTl6FzyYvZvnKRFaQeS24lFzE5VTFVRzKwU9gKyghGjAUrK17jJTM5XddU09aNHj0VFxHzlx2EUtaqtjo9uZM3ddguGaRxr50wVCMsBPZuYCZgpKBiWR6aqnhgUicl052dERFeabqBADgiJHVipviiN54WyZwV0UP4nMaoqoxtibGdNweiVp8BjKFRVK32Kmqdx0OzYg1He9hvwcvfZG/O2fuMbr3/1X/zW937+ey5PNvfefBNdIkzjds3Yv7E9PTo6eOHZJw4PD09P3q1rcQRETATkK9C0kwGzEVPwXgSK5TNrLpc+I8gpB++ASFIqOZAd2513T5aIIjIimIKaEpoRJcmImGSqfIPIhPnaJEWErFq6xKmsdFmVfEkIFmgolde3rn2czJE30Kqqs6nzLqU09tGRWx7tqUmUlJMYSNd3TT2r/d7laq+bNBlmFUP7pX/xi//uj/3JijitY9cnrXg7DHGKFPyUUxIbpzhrZpvVevRcH93Yv3XcXV52225Wh37cqkBwYTZvTu4/TGm6cXwAWRZVvajrMaXN5RUHF5hMLBBP3XB4sAxheXZ2tr+/ZMcx5XXfI+CTt598+PbJM889dfsYN92WjJNMbOAotA0QU902p6dn+8tFEwIBilPvvQkcHB+6yt994Zlu2ArqmKYcFRDbWQ3MgDaNqakaUxjGYdY0BNw09RQnhzRue+cdxJTH8eLBaUrxhQ+/mKbu9Oz8zW++8t//iZ8ATw/uP1rsXX78E5/5/W98PYHWvpmSHB4dnz0+nR8fxXHableL41ayVL4iRAMlp1lHEwyhylk+8MEXm6NbEeiJ51/4qZ/6BwcHx87re2+/fevGwdCv1dChe/T49M/9D/7cL//iPw+z1pBDPRs1fuZ7vqtiB8mGdJEHbRfh//F//C8jyosf+fCP/fifwqv+4GgvpWHbZRZ5+ODh1en52G0kUkrJkpbFpd8OVVXtHe5Pw5hF2PtsCKDIKKjEGFoPrIgQGlYzECWlUGNdBZNg1sY0ra7WqEmS+KpqXTWr2nXaYFJNo1oGrRBBVFj9DlaiySEnTVWgDGKgBSs2jIN3LueMCDFHA+qH9dVqu5lGrKvMFuu6Y3/Rb4R9mjKSIyPOJSigNQdAE0Pk6vjGoavclJWQLENdVxScjHnO9b2vf/Pq5dfmzqMmDgHJQA2QIOtwtY6bTTmyhlAlVXQMSICY4wRW0J7m2AlkLCR953JKprZTe9GJaBkSF+cF79buHS+6+EC12DHK12DF64nXd4T3d4M/ZMbtVCSAXZ8w7BIDYteOH0Qku75DvA+c2BmGkOFaCSpm+yJDwLWtxhBQizhhSAiIihCnsfVus94+Pj2bpimEUNrH2DszIKSu215cXjrvJKfgA9ouqySWHXkmSjswajm0EiGVIQSAMpMCqCkToZlTMCwmWSZRBcUSdRNRQC0Ma2Isly9RAUBHpCII5r27fp4s5+zIq5CCqigIM6DzFSGZICBMU7fYW+4dzD/yiQ8e3Tl4cnv7x/7Mjw4X09tvve2dO9ifb9bnbePi0Hnmzerk5Zcv7z18c96EaVipJV97QJs01gVziowkKjtEa3nOy9AixcTeA4Ih5LSzaKmZpIREheOEBEyMjKXnnR0Xv1MJ+UnKBffhvd9l5EzAwDlf+BE5ZXZOyxQEiYgkZwMkQF95TWqCx3duPHh4j5gdkEPngiem2WK2WOxfXlw2TbPK2yjRMZKfm+lyPhcd0yRIgN5e/vY3VH8UEeeLxhTHaZzNmrquNldr791m3Tez2XrTzWYVmV2cXSz3F0CV4bju+sVi7h33w3i53jRtVdfVdr11zIrmvCPmWVUZ4WboRHSxmPWbboO9mbSLuYJUvhrHPG8W3bYXlOVy6ShMOrbVzHzuJ8YUh26oF6Gu6svN6vkXXozDdLw3zyn2w9QN46ypAYEcD0OPjtGgXcwVNSeZUlI1H0JwaAYqsmz3YoxZEgFt11sCms8WJ48f33/3wfnpyfnZORHHafzYxz/69htvzdv56urq+Y9+sKrbb3z9mz/6p/7t//bv/r/3Dw6GblzOl+v15uDgYBjGpm0fP7z/0ic/tFrO74kWoAqYFJ/cNKZtt/nswRcoNO18fnJ+/s79t2+086vz04984EOrq8fzxXIYewfeef7ghz/wsz/zc81eJQpVVeeJPvnpT//yz//yxz7wzJgmsH5Wu8urk3XuLn7v8stf+/Xv+MiHF4vFs88++czTd1989ukw8+vTC2c0dN2saXPMjgPEfPPoaLPZNqHeXK2VrW1mKsAODbVgBtgXJBkAQfA+JZCcRGQ79IzoPfvKH988EstDF5nZk222HSMRgm9CUS66blvVwbIklawyTXEY+7puYxedD+SoX28RME1pwmkYx5xzP44A2FStY1fP5hMTN16cu392dTFNquSqwIFRTA2n7eAcIaIiYGCq3Xy57GMC53xTj12ctTWYgdjc0U//9E837CEmyYJOwMBSrmc8rDcpTYyGhr5tOVRdP8ybBTEwYxcjc8nXQ5ZcrBwllm8sJopIqoJERCQmWYSIkDirOOeKDrRzQBV1xv5wgS8XACDaMR/KQX7XJYOlmed9i6fpdQ/w9aUAYHfeL7niYqckIqLdALKYi0pjODEx0665EkvhsJamAiYyRDEp7XKhCimlpm3TJhFRMaGoGAeWLPfvPZjP5ykmy1r0as9umkbnyDmnJQMBAEyQkXFXmVloBQxORWHHODZAdMVNZaomVgxPYJpFiz2qoMCJUDOgISMjMCjsGpazYVYEA5PgGE0UE6IB78SuGCfvPQU21Cr4y/NzL8tf/pkvt0cHbl4//8Lz9+z++mpeB1qdnY7dhlAQk8M0xqEfJVSQ81RX1TAkUoo2eXaEjhAlCSGSJzOVZCoimpCQ2bNzKkbEKWcm1qxVFUquw9BUzTkGBBV17DQrIgGXOHiZzVjwoczxtSh2iAAIBMSgYiZaTKUEBogKSUxLe0zJgmNWSWnRtmPXu7oyAgCbzRs0/0M/8sVbd+6a2RSHs/PLlNPV2er85LLfxIO9/ZynbhhFNbT+/qP3Xn3l1U985ONp2M7nVTfFqKAJCCD4ehin1dXq8GhfTTVL01Sbvmvr+vDw8OzRySb2wZxrnJj2kG0YlvNGpqyioSYidJ5jTHXwROyYfXDkaBzi6nK9d7i0bHuL2ZAUZtg21dB3227bNLVYTAluH91WEzHligz52cWHosXuqo8xDv0o2dp2JiLNfNYuZpu+E0hiBh7NLKXMLqQYVZS5JnTTODi1imsXaNz2Aat+23/rtW8+enhyeXm1WDR3bt+5OD3fm8/PH56sVqvnn3vh53/2n3/i4ePPfvfn3nrttXnTHB8dgWmgMPaDZx76fm++B2Z5iu+99fYzTz7z+itvWibPLoowIwMCcYz50YPHz37k7sHB3s/+zM80oR6G9fH+EhSqpjq/uvDBK+K//eM//vWvf8PIJCbnqkWz2K4vbx7fJGRyPKXuoKYHD1//3B/9I+88fPjyG/fq+cE3Xv7Gpz7xyffefvP1b3zjN9B97KPPH+8fzZuljHHKduPG8dXllSTdmCjhm6+9tn90GOaNgE45eWQiMFEgS5pySs6xZFUCUSN2TCy6yysgWnEjVrPasrZNQ0RNW43DKJqnYTLA+XxW+Ghxik3jNUtKedDtNE0atZ3XfTdUVWUAGIiYK+dC0yxnC50Ag19pTrPqUT+cjd3oMQHXvo1xQgTvK81KyNmUCScRYj5cNIZatfWQJQqExUzNYMhHdf3bv/hLdn4eqEJiagI4jMPIABolOAZxMY3eB6ibVTdR03JdQ5riFMHAVMkzIpkCsBESMY5x9EQIKJKAGbOqGhJ6VyGiguyyuICGO8kH0QqxHYEYKauWAOfuDAtF26By4QAt3b/XU2DAUvuNAMikorBDOlxzIQFgR4sBVQUENOLSz15MMaYADFD4oOVsDUVbkCyKxt7rtfZUN42ItG0zxUlFCclMJBoCxjStCwfLsyZxSKJaQsVoJJqvda0y5wA13Z3+afcwy5pmCuTI2W544sykmCBh9+Yyo+JbJTNwXPZSQAQFAAXnyRw4YjNIYsSARCIaAhsURw3VIRCSqSaReTNjcsju+37wu5ubN/71137vld/75p3btzHn1XpT1wGhvTh9FLzlaVsF2K5XOUePvvZ1UzUxRWR2PozDRFAmGQgGOQs5RCRyPouICACw85YMzIgoF5i/KSoCARGnmAyVkEyZmUvQDpDKwEAkqYKvCMB2r7mZc2iKOWUEcp5FNEsmYykQCBUfCBDjFAlIUq6dBxNinjSLWB28ZLl95873/dD3+dmsXczi2Lng27pN0/TmK+/+1N/97y4eX7bVrA3ddrKh6yvPv/DPfu7TH/t0nwURZosG+tEB1/sHF1cbV9E49JdnenC0n3OmROxp3W2MbXHzaExjt+kgprapAIwcr4fRAyBA3PaSswtOsmaR8j6LU+LgjIDJnV1cMeKyXQpGZBKDPk63bt4AzVUVAG21XiNh1VZJVE1oHMyzr+s8RTMg50Rk24/p3Yf7R/uJbH7QEBIqAKF3zrIS8tCPjFqFunFN7StJqdtsTh+fVlU4eXR2/979cRqH7RYk+2P3ue/63Hq9QsCThyeXafzf/PW/fHm1evTee2bw5S9/6X/xl//n/9l/+r/bWx5UjRu2fV2HmKa9/cWwcg/fue84PP3MM++8+249a4fLS3BiQE07Dx6//e3Xn/ngS5fnj9545fdnVZjXnoFiTKtNh4xtPbv/8PEP/NAP/PW/+tcXy+Ww3dR1MLWDvb17bz7qhn6xXIxjb8GOb9989Y0/GAyN5d6je0zhhY9+4M7hQXdxbt3wxmtv3HMP2tA8+eQTmu3xg/t1U3vC7eoKmOpQxaHvp3F+uChjtilOLjh2DKA+BEBw7ESVmImK31CAAUA152TqfUVISXMmFEfqnDIZunZZgUFOcTab5ZSqqiKH6FgJhhirup5x5YgnN1VN1Q2Dm1fRsg/VOAwm6tgZkQvVaT+cDePD9XaqXNU2qOadQwWxHJCTQVY1R5lp72CBlRvi5DwrUDJwxJClYjx/5+1vfeVXG64kJkRC71UEkTxTHKISC+Bi/yCrJXbtzdaMun6cBzRVBCvla6BlpSLJWURpJ+OIZ4fAwAYmWDq9rn3v12NdLJnesrjuDEBAf2j7LCs+lGt/cfDjtdlnNy3YUQOweHsMDaxALHb/eXeuJ6bSHLBzkZSQ7u5oCIpSQnnFS7q7QJghQPAemYhdSuKCS1PMRElFRYtnh4lzlhB8zjk0vm1aRJt60ykygoGRZxUhJjF17CUnQ1QoEj44ZireWFDnHQHt4lBMpCJZE1LZVQp/A9kxYJlXF2Wt2GkBwEqnkmRJOU15ErMqtIBOicw5AzZl7yv2HoDN0IBms8V21YfQhNDef/fx2ePTT3/+k4eH7et/8M3u8gwsra7W0zguZjVhFh267WXlrHIYCCyrgnkfTDHG7F1AJDElduVe49kRGgIwQnF6SRYEKtxmAkJAK6w2QjN13lWh9i5o2QEcX1cGiIoagpGIpZySgQJZASdcuwgUDQkYzJChJP2cC6US0sDAFAFvHt/cXK0lCyEyUR1q5913fOojR3cPw7LmuQ+HNdR2unoUaeIZffhjHwRvQBhCqH1gCKp87/S9RxcPq/nCNVVTN5bBkhLYfFaTYR7HQGQpO6QyQgSi7TSNObH384M51/6qX/dpGDVjG0aS3qJUIBVOmgXV1zylcZx6F1w/jDFrBmDnybmYU4opOLft+r3jg/1b+1rZydVFVD28eSO0bT2bzZf73bZvmxbFEM0I+iFOUzQyLgNNJk9oSftNl2OCbCAaQmiaxhFTEt2OVw9P3nv17T/47W+899rbwbi/Wp/cfwCqJup9tTzYf+a5Z8ixrwIxg5Gp3Xvv3du3jr/wue/8xEsfuTo5e/G5Z24cHni0nEaDPE2DYBaQqqk3223hODj0Q9d5JgAhj1OcyPHq6ur04YM3X/7mtF0v6pCmoRu6bugUOAs9Or+88+ST277vplhVdYzZkctjfOHZZ3/2H/zjN199M0cx0+eeenpvMXvp4y/t7++fXF5SFbLJarPuur7rx6uhO3ziLlRhkPHhg/cA4mIR8tSN/boNDlNaVMGZeYBALrggYnU9A3JjzKogojkrMklWEQNDUTMgFTR1wBW71qgSDq6dj4JUtVGJqlk93xuzKnJoZ1fr3jczrGohBueWBwfVrK3a1tdBRIggp0SAksSxl6wwGQqAGTvGys9uHZ+JXBJmohhTjrEOXDt2COPYA6k6myg3B/PmaKnEITR5VDObLZo0TZ5g6f2X//HP+QyQEhbSLgMSIVMWRcfVfjvoONa0dbD/wpM3X3wG6kqJXHAlXloEWAQrNh4AIGIEtmK+JFTYpTizpCSpABtExBTKIX6X+VEALRV+li0X0Zi5tL85Lp5yYsKyYtj1VmIiWsqBzVQk7/7JrCTnJIvu7JuiKiV+tBOZSs3kLqpURCFiLkIdEqHj0l/JAKiiQz8gqkou/iMycGX6XWrNzCSL9/yZz33yO7/wmb3D/aqqgVBUFWyIQ8xpN5Aku443ELwfW9jtYVigZoWN51QzFDYRkYkWkJGilfsdM6csxKWPDByzFX9oFucZgBBAs2rZSwlNbczRMYOqYy6OGmYehqlpmhin/jxfXly99D2fpgp+7ctfvr28gZCHdWyWizp4GS1Oa83ZQEGsDk4jqimDR0KzkZUUgZDZ75hF7AjAUo5WqBeq5b6i185ccoxEqGpgqiYinn3ZxtW0+K52ERNFAy0vmGlJDOqOHMqEgGQECmrGzECIQI5dVlFCTQKmaOiIJKdZaC5WJ6bqmIEoJ0XLd+/eISLPnDWx5yzx6PaNbr3FQHeeuet8tZm6eTVD1ZQSIADZP/n5n/8rf/k/Pn/8yDjOF/WwHnffMSN7P469iezt7yHQNEwUPBlMcZIx7+0tDo4O5rEdx2nop2FagQoCTGhEFByjQQZAdqq7biERGbvJzOaLGamOY+qGoZ41pvb22+8+9+LThzcOh368uLqaz2YGGKepnbXvvvPOnSfvDmO6Wm0VEcBQzfkwDvHk4Rl6QgJXuW61BQJVm+KEiJKFM6no2E9kOJ/NQxXeeevtbd+pATuPlO4+fXt5uKjaGVXBax67vpd4586df/KPfuFH/sSPfPzTH/uJ/9Gf/0f/v5/ZrE6///u/9x/9w3+4mO8VGDAyXF2tnjo6fvvtzXq1fe7FF4Y0vnvvbXYYh1FN23ZZ1dWU+m+/+nUw2583KXUeEExF1ZiIqn7bf8cnP/nVX//Ndm8+xLGdzVKMsyzDajtvqr/4l/6DJ5883FseVqGetdUX/9gX26/97lf+1SsefcnRVHW9ZT6+fcuyVLPZw7ff3V5ejBJvHt6svJvX824c6qo+P7toZm1dV9IPxKY5onMG2TlOmpnJREUECA1BDWJKZfmgQslHy0nIsaA2s1kWmfpRsyHCwcGRqYLpco9FZegHxRxz7k9OjMAxbyarQwUK7Bi9j5Jkiog0b2o12AzTNI5Xym+cn7x3diGLxiSjyP5sYVFMTbJKyq72zoetTPuzVoGyZUkJydWhcsDOcZvgd770peHevaWrBNWMXMWIFPtIjrR29f78+3/0j33oky91V+tHZxcd0ytff/mpF5+99/JrQ7diIs0Jd7YekJyZnKoRGhOJZIDi4EYQY2ZCzCKqSljQDrt2gGuL5w7siYglWV1O/7sRLuzSPju55trVX5iQO8wn2C5SzY64WO9RVHhXJFnCT384Y1AtA4cdb1gKJros5WCWd4IPOwdl9nA9qQ4ukGOzaACMVFXV0A9NXaWUTOyNV1+bpnjn1u3N6YUjp2RKyt6BGBCqZEvFoy943ZsmqpiyDx6ZyqWg5NhceUAmZmY7e6juHnGRdBwzEYrl4q1UUWYHjgDEVIgJHeymz+xijEQIDAAglsmzGGiOdd2mPhK6Fz723Ge/91NvvvzGr/zSr/jE2o+p6+fzdkoJArez9ux8TDH54KNlzawC7EhNQLHydYyTc05VwdHQTxWzZJlM2bmUEzFpucmBIqFlUxFCFgUzQGRAQyA1U0maga9NoAiEZAhYcr/IbAJIuxO+c0xIpSpyp6TRdZbOwIBi1sAMhppFptzW1dHewb2H73LwU4qhbgMFQrpx61bpqvbeQZbFfKZq873lMNm7b57cuH3n6tFqVvvG0zCMGVBNfv03f+PPn56SOaM+tG4cOWep6iqOSRIQwBCnoyMnqozkjFCQmOftfHu5qtqmnlWLUGfYxEFLNFw0oZGREqDErGqztp0km5ihSlZiHKZ+tY6E7Dw9vv/g5o3juJ2+/XvfFtDFcl43daBw/vhMNKvlyoX1+er07CKEyljFADKy4+Bd3w/DMCIBoJZYYWnBJsCu7xdtC6h1CDnm++/d22w7REZPgNQsZotbh+ScssOqigabLBNJtbf32pv3FnvzP/j9l3/zq7/90Y9/hAnXl+sf+oEf/Ad/9/+TqkrVHLt+GOfN4uTx+Z3bz66vhhT1xq1bV6vLq9UFe84mhjAMnUN7/N59U10uGs2pDs0UJ1WLmkNVK/N3f/8f/b/9F//nw5s3r85OyExVhtXm2yffPL/cnJ0/+pEf/+E7Tz11uT67uLj617/5rTdfv7+56D7wwY+9/fZ7jutJbHl8IzjIaYpTbO8c33/7gZKdnjxo6nDr4ObRYt8IwqJBwLOTx3t7+8I6X8xSnJCUAgMwAjh0oIhqWUTIkDCbIhipee8IAUipuC3AOSO/qFTEszfJoJLTtO47SZEdiVlWbZum7/pt6kNVm+WUp5pqQ2iaelxvzZIFiiJdzgPz2Tg92mzredtnaQLPmpn0o0PqxgmQXPAFt3V082bVzrshtrNaMzgOzC6uuz3mfPL4m1/+8txXNk1mZgjeeTHztVekDuD/8H/9Pz373HOz/ebeW/c+FapLs5e+45MW48/+t3//ta/9q8oQTQuK1QxFS1kzmighIVCx1JOhogECIbsdaQexVEDiDhJRKl+MbJds3a3vpjuKVznpF4loN9EtrhIVRVVgKOhNsF1equBnDK3Ag8vo9X07UEGKFVV556S0ciFAESHV0mJAiFpQpkDsXe198RQ5z6qWc2Z2hlD6qUTEEYvI1PcHh/tvvfx66kYC876KBlYQ/rgzuOQsxLsu+LKTlYuUJAE2ROcdIyA5R0xAZABCCIzkiBChaI7X2WYCQOc9mJXoHJdPMxEYiOrOHQV23aKFCgBAqsaOmXDsuxC8qJ2fXK5W6xdeevHFj7ywt5yfn14gmCeZtc40P3pwb4ojkWcOqpCzlI3ZDEQEkLJCSlkN8iQ+eEAIVSidxsFX15x/VLXdlU3AwEy1qHZMXI5OhLRT80ABLKdS6gZACgRqYGpiUjghClbiwbsxL6KIlq5qK2cNRlPVbIzM6A6XhyaaJCMTiKEYqLazuQ/tOOYsmpIAoBlJNiAk55D47pN3fQgq0gZ/sNxjQ0Lm2v3UP/qpg9s32VPwVAVP6Ahs1lZooJJzymM3SkyMLCmNXS8xdX23WO6Z6tgPXb9d7s3vPPH/J+o/g37Lsvs8bKW9T/iHN93UOUzP9EQMMAEzwAwCBZiSSDOBgqxUVFm0rSyWRH1xWSpXWRatokSKNk2wxJIoUwyITCJBgAQJAgwABmEGg4mdpsPtvvlN/3DO2WGt5Q/nvaMv/aG7P9y69757n73W7/c8N5uud8JiWq0OaRpLTq7VYbPbb7e7+eOmb+Oii7PaMU/T9mLTiOQ0SEBEJ8dhu7/77p1vvfbGo0cP0jiNu+H89HS/2zZRAAFFnEgRs9luGHPRpmn7pu+bRR+7Vb+MHNvQQsU+tABeq965+/DdO/eGnFCYYyNNtz4+PLlxnSXEtl0cLIvbZr9vV4uKPKoOqXLTP/3ci5/4zGdfePl9Tdt/7Stff+apW4eHB8u+j00wMw6y2w/9cvWtN98OITx8+OC5Z581L+aqYDmlYdiiW9cGBouEy7YVwlymUrOTHZwcJM39cvHEM09ebPdDnopr1uygOe8F6wvP38rj7uGDR9NUjONklJ1WhwdHR4fjZjw5OtECVX3KObbtYn1QEJbXjo+feurFj33s+ovPNcfHj8bhjft33z598GC3Gcmbw+WkeUrl4uJi3O9rynnMpurms6CChEOIIUZkkhiIZUZRIVHkKCzs4LUKU2CyVLyqlnL64NFuu0vTtB/GMaVcq4jkkszqyckxMQOLi7iwIuRazFWIU63G7F23R7h9uRmRQ9MAoCDnnAFgtxuIGQUradYSuqZbLtQdmVOxqh4kBsCmWpP0b//lvxxzCqpCQIGbvkupajYHrdV/1w//S0899ezm4ixP5ejoetu2B/3y1vXrzz/1wrJfWFWix/3Vq8oV1lJx7liBkxDHAMhIyFcmXrh6G7kiwtXW5KqWC2qGVyMQIJa5MDUzf+YIIT7m5lyNhM1Nr3QuZqaq8xZ1Xioi4tXUiPkqGzp/Wc+9r1kqDjDTCq6KAkiEJHKFkaT5ZgsyJ1DnFaa7LZb9YrEUkRhj00T6tkwGUWvtukZLQXMGXC1WWirLVXd1BvAIESOBgyATIBLOn7DEV+Nwf4wH1Ko0y4WZrnKxc2Tp210IRja1qqWUAo7z7mJOO5VS0VFCYOCZv1FrcVfGmVCKM0hJZ9kNgqFzDEfXDrXq137n1XdvP0xTOTo6kMCmhWoad+fDtJ0BTV6dXYSiOxIxOTMFAGQWFkJ0VxWSWh1RZsqmulVX09lvibPIy9AcHQmq15n7P1cw5o6Go5MQIsyAjqLF59cDXGWCbY7+EAPhvGFwd1cnh3kjAGDCwIi1zNCjJkhz7eTGfj8BczUnQgJvorQdN11j6E3bxaZFolKzu+dchWO/WKDwjSdvuJMm7YMcLDpxIKff+p0v7MbL0Mbqulwsl/2KEEUohgCObjoNQ5SA5lFCH5pIGMDH3SbMngzncTN49cPDoxs3bi1Xa0AstaaSFDRrqaYKtk/7Ydxv95uzy7OL84ucEqM3gWsqm7NtzqlkLaWkaWqjuGvThlILEpl5DI0ELqbSBohcTOelJSO56gxS0erby92wHXcX+zQkrbof0sXl3oC4aSEE6roE9cbTNw9Ojsx9fbDq+sbcdvsdMj397FMlF0D/wIc+8OjR2T5PoW/eeP2tD3/qYydPXNvuhv/4P/lP3EEkOqDlggBTqhLl/Pzs/OJ0v9ncuH6j6zshYZK5l2+l9G3bRLZaZAY3os30GiJ83wfe3y67uOzNYZxy1/WRw0G/vnV87Xh58PL7Xtpe7O8/OJ0KtusjoPCP/9E/X/XL4XI77Ya+CSVlApyGEczb2OR09eG+WB1Q04aDta/aS6q392evn969t9/Q0eKyTslsTLmkwo5Qq1d1q+5aS6aAJDh/lRF6rdmsqNYZiVg1o9ZV0zZE02b34Pa79995bzjf7i82c3WfhEOM4G7F2rYtJUcJVmvX9dUdI18OQ0HYm15qvSS8QHrg9QL8spbtOAFSZbHQbkrGvk2oSuwUwnK5OjpE4rSf2q6ZvS5EEhxO+v5X/t7P2tlZq25phGqoXKr2q55IumaxXnT/zv/x/1A2m6PV2ib16oKRkzcunuzk6LhpG3Mjxqq11Ho1wyaZtU+AcAUuc3Ofm7czyQboCt+Cc2EIvh16nOPg4P/bT/hVCeDxjOjbIx58HCCieUQvOLOswR2vKsdFiz3ejM7HAiJeXQYAbg6IZj53h+cTfAZTm3vOJedS0nyuAiBKENOrUnLTtIQ0jiM8NtHPt9asZ3CzNE133n3v8Gj5/IvPxq6dpoyIM/hItc4UOlB3NWZyM8dZ+4vuRsQs4o8zsEJEuRYiniP/7gZG4DBvvBHJqxJQDEGrirC7a63MTCKmho7M84oCRcTU5iXy7NRRc0AIcyYUaCayXbt5vKT2n//yb6hh0zUwZbSatpe17hGUGGtGYAKdN7hlHuKZq7m5VqAA4Iw4DWPXdgCWq5pp07QArl7NXIQkMLirGcIscyBCKT7N2Lx5eCezKKcaIBDNGFDMUyLiIGJquRZhMQcC7OZugQEB8lUOzNSruqkxEgcJWGHZ9u976aXf+MIXhlwSqIDnnGnphICgsYmpVCPsOhaRWjWlalVv3Lz+5V//ysmNa+PFxqahR4YAORUV2KbtL/ziL/zh3/8HLu280ebR/gLVgSA0wkZqYcjJt5tF361jbLt2nPaO1q26kit3zVTy+uBgSAMJI8HqYNl0cXNxmVIaplGIzUGCpFrm8rubQgRnmlINIshExFWtao1NDBKnnFLJ5DS3R7rF6mK37/oI4MNuh1GAcRym+RWNjvtxyjmrmQhLCIt+MaWU8jROU/VawB29bbq2bz/60kdSzWnKTdsBeSm6Plg3XVvVxjQ99dwth/rsc0/ceeedr3zxS5EphuaLv/blF557YbHof/iHfvjP/Hd/FkVyKkW165ZTGruu20/7B2f7X/nCdrlecmBLvlwupzS5aWy7/XYfA2t2A62WsxaiMF3uV6vlZz/9qW/+zitEeHx8+PSTNx/dee9AuqOuPz45Gca8q+m9O+/knOT6yac/9/mL/aZbd/dfuX2wOrrc7WOgmidQ2mXUquCwXh+ihb5bTqVIowDeLWItddwNNZU33nv33umj9WJ5rWlUa5k8q7EQR1HwxiHGNsYwpcQ8vwfYq3JkAhx2+2lILOijnt1/4Ob7zdDEqLn2XVe9GqKEoNVKVWFCxGlKsxjNAcFtKjXtiqpaG3fDxIdHp1O6PQzbQIODSWhDq2oFYSzp8Ph4c3ruhKkWZ1ofLJq+HXNuus6BS86rk5Vta3R49cu//uaXfrOv7qYhhpyMRJDjONTY9ZPVz/3g97Z9R4TTbuoPJKeym4bV0eFqeZT35fThwzzmTmheUiIhIJVS276tVRthLXOMHhDRzGZ+83w+Xu3sHFUrXik/5uz+FSrHzBztKvQNMGeHHoMfYN73sbuaVS2EPPfOLBvh1Yf8t6NA4POIya+wkFdnnzuoSJj/49widgBEZ6JSddY2zrsBcweEkisLm5upn52drw7WTdPs90NAcQMiqlrBnIWRqJbS9d1HPvrRL/76F+uU+2W72+5mFOjjX4YLsYPO5M5UJiRiYAcw05mVVKsykpjPTIx59O8kMm8/Z8Sbs0mYVcswb3/xam9O8zNpLlLNAwQzVKuE0Q1c0QgUMcSg6hyio63WPSq89crbtz7ysRc/+OE7mcazsyYEUTP0mkaDilcAb2xCtMdudXdn4ZozE7jZvMiJQaqWeTVdZx6Pz5cwXV3r5uhQSp3LFEULAIMTCZuVIKHkOu/8EYCQ0pRDkDauAJkQhdHdVUsMAWB+AIIbGtH8PQJWYxMxyDhVABIiNjtoltPlbre/DE2YUgZgYTGtZjkE7zomwLiIErSkBG5CaF655dA2SQZuIxG45vWivdxNVAaR9qd+5q/9iz/4w01oat5fO16cWx5rzey77XaxWu+HHVXt3FVLzW6phiaUoULDtVjTdMlyqVVzXh725rg+POiXi2E3bC8vUxoJUVj22+RunjGGCORjyl1s9ymFyLXmgJGaUAizmQt3ywMknFICh2xeFS8vp6olCFXL05SatrHqaUxggIzm3i46ieKIQx4K1Kmm5dEy5XR8sFgdLImkXbSbaYss0gWOUmrBQKujVS6FCUtOxPbic8++8uWvPHHj6PL88vLOw8V69eD0wQff/7974803Li8fHl5vqhEIsoSHDy5e/ugHmeSbr7ySLQPpmMcQ4uFxQBJi3u6G2IijFjN2V7AKVqy0KOBmqd57593v+PjH//V/7V+5e+e9d9+6fXBw2ACBy8MHpweHBx9+30vf98wzL7z//UddVC7/9f/jT5+enh5cP9zvdm0f0nB5fHwchMnA1WrSPO6OD4+01rTbr7suNu2j8zNkV/MEdvOl5/YXm9zw6/ffPV4ddrHh2Gy2u7pTFGxrU9PpNI1NbJomoFqeUhqG5arXquNuSFM+PFy3FBZ9P4153feX223b9cXKfphCI0HEAYplJph2kwQE9ZltJgqQS9NE62hEnZbd1MKb2/2dPFXpahMjoJoVLaOaVxg2Yxv6SSdglz5IJ4zQx5CK16pt3w4Xu2Nu7OLyN37+Zw8YpzwBUdagzIvVyivuh8wLebTf/cs/+ocpNm0X8zhoqQR2uFxrrQ/uP2ik3Z6fLvpWx0nRERmFgciRxnFqmwDgeMX8qSxE5lqriFxl+hlrNUElZLwaADn6LG8lN+c5/39F4mEAqHZ1esydLUA1dyYWjoAOBq4GYPNagJm1qvBjDQDAPNkHuBqkXK0faHbCzHIxdwARUTMi9NkpdtVTRgckIg40y+5zLaWUokWizO3m6uZXoxePQjXb9/4L33NydBzDp37xF34xl8JIxDROkwTOVgMyPI4+qlaK7D7Pu1jr3INGcwMCcWBmMlVCdAK1qyVGVQNUUG9iS0yOboaPr0yYi21zPHQmYMzlA3p8387f03Nu1MDBVAgf3nv4xHNPPfnEra9/6VuvfPWNg9CGJuJuo/tdiNYHmDJkVaHgDm7V0YBmXgMhzrl/wtmz7O4OEsTVaqkhxpITEZvp3MVwtTm9o1Wv0r8IxPMj0eYgKTy+J1gEAYVFOMzPIBRWq1aNBU11RswhUXVXU2au6pHFKnmBQBGJY5WF8A98z+cfPrwz7PfY2rx5IooEjIp5TDXn0HeqBcElMBghQVWdpnR87ej0zsO2XZRC+1QD8dHxtfHOu8JBxX/qp//yv/9H/6P7u01g61dt2e5LrkeHq4vtFhGK5u3OFu3j2Hi1+YvbsEZpFaFd9GZ1HKeua1PJiLhY9f2iSSmdPTobxsEZ+q6vZqWoFnX3IQ0xCAUSjgagVku+2kTttuNsk0bE2MSUS4gUhEtNNSMHRiIUWB6sCDm2wc1zyeqqrqELmnURl+jcdLw6WDNTKnkZlmVnWtN61RtDkLhcLZsuGlhVzVNaLtobJ0e748vddotaf+kX/uGLL7/8kU9+7Au/+pvf+ObXmqgf/ODL42jLxdGj88vrN8ZrNw7HIT/3vhfTNFxuNk3fSYwHRye//aXfDNi2TTtOuWv6kicFHYYBA7dtl7YjOB3EloH+zs/8zWvXrz136+lPfORj4/ZyIe2LTz37zLNPEeHFbmOh/dqrX/2xv/xXT/dniGEqU708b2N766lby8PVw4enzz7zdJlKiMKF2hj2+6Fd9jE2ZjaNQ9e0EpiAo0V3wNhAYOn7rebNfrLNeRtj17UkuJkmLYUQNrttU0J0hKptCKzg2RahO2hWzFSmiZddkFByCjE0TSjV+kVbUlLNoYsNshcVxDDHYxSG7Yj7UdpG1U7Pzsoy2LJ7+/ads6rt0dHGtE6WxqnpGgkhuBMjpKSmTo6RD66fcNPuh6mLvVd1gS4GYTzW8Dd+6idlP1otURApVMe+XzHJVCsR5Kwvf/SjJzevVzRnlrZL44QOZObo168fbS+2771zu6RMZm0XczWOoVZAVQCL0glKsiwx1jIPGjTE8Dj+D2AeJDg6Y0Aic/321zk4MOPc8Ly6BcwRgQCRya40IeTgjOgwT2CAEMHnRpTP5GcmngUqzPNmGAEfNwMAgNDVEdDNgAgea4eJ2E0BgIRqrYgzbpjUHEw9G8fAANeuXZv72KUUJGSav32DAxLB7Cr47d/6yo3j4zzkkkotBRCrqkgQpqqFOUw2gBsRgAkip5rmSRpd4av5igXkPs9vZseCq+rVIY5AV5x9neOrYA6Owlzr7HygmRo0B+euJu9uqaQgARFUVQIJODPkKUsbJAR1Ozo5/OD6ibfffnd77yG7NZqlTGMZQ3B0IArojIDA9cpt5DM2tgKQ21yvQGCcjRg0T9mvwM5mbgyOyMBeVdnJHebobggREc0VfE7CAhIAIRJerYrBqxvOWX9yR1BQkTh3/7RWtCtOCEm0WrUCEpNT36+iNGWz/9znv+/5Z55/5923uGHHhEBzgpiImq4tpYQoKY2aoZI1jZg5GHdtuzkf3L1p2p1fdKulNM3l5iJN5eTayaOz+wH7X/7nv/SHf/+/0q3XlLSpyJjaiFPNi77bbXZmDkT7zS4sF4GZhfcpT/vp4Hh9/vBsee1IvTZdCFGmlEIMjt60UY27Nt6IzTDsc07Ddo9E3SJqMTOFWlSrZwC30ER3JnBEyKmK0FVqFiFbqVjQWVPtFg0bNk1MUwoihEDMwzTVXKdpXBwskKCY5lKXq3a5WF+7cTJMY9Z6crjqFv0LJ0evvf6GAx2sVjkXEdlu9rlkIGj77uzBvfd/+AMnJ8e//s++9PDhBTTNK2++8wv//J/823/k3/i3/p1/+8kbR8dHh7eefmYa1cLifLM5WcJ2s9+lqW26vl9cbLap1PXB+j//D//4P/mlX+yaRdVpP+wJvSFBJgMvOQ1TuX7t+q2bT955873dNHz2M9/9wQ9/SBjIa8vx4v7Fr/zar52f3fuOT3/6v/gv/xgEiYR1qrs0qPlysbj53NPf/X0/cO25Zy+nt/YGbdc7QcPsZm3TSRStmQGEwVTLlKnaIjTSSN+0+3GQrk25GKEJjmXa7FLTNCEIYgiB265HM80FwNA9DYkA0NGqQlVS35xfasa2b9l0vNxNOXEkN+3bZtoNEggQgcGrmhpKWB4sRUgdxlqWfZ+Fzrcj59oSD8Pk5jFG7JtiaqUGjqxQi6mbHHSwbCyEqobST4V40UoreZzWEn/t7/29y7feXrqROUk0RCEpVduIzC5tO9T6B37094J7qXZxsQuEWhSmGnriRna73ZvferPWHIPUUnLKsV9IiObFaxUhyPDEU088OH1kaApW1dEc5vTPPKAHdMeppBCIIaADEaPglaflcVBztgXit7sFc2UIXMHwcRB8XibCHGJj0KpXG+K5ljDfEPMcysnRnYCAAFGEZmPNnCYyR3BQ06rVDZhp3kLPIRcWfFxRQ0TMKXddO43TZGleILdNxyj7/UBC+81+tey357vhfLx+/eTk+vXb77zLwnNlOufaNGEYN23sa8lqha4c9Fc7TAkMgI8NCiCEMAOSqlZERMa5SIDorqCkQjh3rAGBiMzgav1NMw8CiOZy3fx0uNrYIpKqgaq71zltY1VidPUv//rvxGvPP7r/8LDrh9P3rhEdrhavPjxNTsyY3NGMiWzGulmtZsI0t7Fnuce8tyW+yvM6gNUaYqhahURN1RwBmahqRWJ3mJ1f7jYnOxGNhWepzzzCIkFAAQBCMDRkV1NnV69EYm5ajZkkCqDUSRuURsSKL5pVUNlvNr/7h3/o85/5vtO799++fXuoU+asWpftyswcyIDPzy+JMO1Ts2xFAszq1dlHpmaq24sNIF6cXV6cnWVPFJDn4jtJrfgTP/UT//G//59u0xmQHR4strs9cBxS6ftec87jOFUbAeNqXas2MQbCcZgOluvd+X51uIokSdPBwTqlpG7DMCFhiKFdtv2qd6/bzTYNabfbApubtW3DzClnREmlXokiQFbr9ZSmXNTN2j6EwMKsmg/Wq+o1hkBIHiO4jykHRBFyp8PVUdW82e5z1RA51frMzZPdkKrq+miJxBeb7cHx0Y2bNy8vL5E4NhTbVlRDG80sxHDt2vVXX3316Wefx8/179x5ABIuLy6v3Xzq1774pX/2m79282jx8gdf2g4XWoM062+88uq1JTx4eLo8PqlF3RFF9vv9iy++f7U6IA5dt7h3dr5YLhEt5Wxuc6Z8sVhIaJ9+9tnX3nz1j/3x/2Qc9n/yT/zXteSLBw9ymlTZg/3n/95/+Pv+wO/5I3/k3/n+H/7+t775qoM7I5LE5eL+xeZDn/hEc3T44c9817TZHXZ9LbmkMqWppKKqxhZZSsrLvudFn9KUS2YRQ0g5IHlRl4ay1RBCrWV0SzUzyqJp1HwOdTAzIsQ2CFIZU07KZpFpmNJ6fRQa2T7aT2laH6/Xq2WZUq1TFOz7ZhhT00Qzm6YkTfR5Dq0urmzeOB5wbG+t3ttuH2R1xKpGgC6cpgw1u0G1io00q1U4XMy/ElXAJkiMdRoPkO9//etf/wc/t5JGUw5RSq3VsVt1SCHlWop1vbQtffSjH0Hn0MfVYvHeG+/FKIuuZQlqHiS88dqrAKZqBsAi1QGrNTGmUtC8pPzJT37ya1975Z07b3nFpm1rSfOTF0y1KjAzQN/0asDI1RTQriq8YA5z/B+A0NQQHeaBiBsA+ZyAgZm+YOZAPLeIAIHn5ajpFY/oMRsf3Ofak6sqswQSZJqmUYivtDE+f2Q7IgG7z5RQInfQqkDIkWvRKGRmueQpJY4cYyBk5hnu400IiDjltNlcrtcrBJxKGVIBYmAgQ1NHAldvQuNm1TWIuIJfBeNpFjAwzrUuRWYhwloeQ98IHzt86Kr662BufmVJNrRvk6Kv/s+ZtGemV9Y5wvnydDAibKKoqZZ56EKby20t5cX3P78Jy6dfurV7uOv6+JHFtVvB377/zlB8BhCBOTFVQDMXEPi2sl4MzGtVeGwBdQSfsUWEiOQKJPzta1lEGGSWexIgoM/+hLkCbo8xD3M6CokRbMawgF8BXkMg91oycBuliTmbFyLAGPooUcfSEmPWJ59+6g/9B//e008/eXHv0gXHMhl5tQroOWdEUgQDvHv/FFH6RRcaAXJiNMNpX0uum83m8ux8HPfTbndxfgZYhV2tlKIUyLK1/eKXf+WXf+gHf/j5J19Iadt2/ZTYqjdRasrE6IYsXErRWlMpq8O1oqNz2Y0Hy0UeJ1fBQMrGLGDGkYBwmhJ2zVQzgbfLvll2B9cOt9tdHqZht90PU+AQYwiAfb+oqqVkAliEjgi11FKmWYtXax4nR8IyllrUAGIbQmRzHcbBqmOdHEya2Cz4xfe/sB3365P1k8+tH50/GnY7LaXtOrW6PlgdHR/NLfSUs6oWrcdHR6GJO4Rn3/dSjIun3n/46R/4vp/6yb8ZV+uLy814/4LQfvcP/dBnPv+pv/KXfvwv/7U/PwHWanV3EbixgMu2v0pvE/zNv/7z4z793b/zs02IQoxmQAZmprXpFrs0UeBPfe67T88v3n7nvSjC6/aN116/efOkaxsRGpEenN9/6qUXzi7OpJH9du8OYLheroZUR6w3nnrypc985M3X3rpx4+TG8zd8N9Rp7PoeHcuUp2lkp2kch83++ODg4Z2HCC7CTkaIIRAjNsfdlCpq2aUp9IvdNJr7OO6pjAy4aFtS7ZrQEmWQ4CD9snD2aSoABXDvdbufLPDq4LBbdWdnZ12MHMRqzlqnUhidmWPX7qYJRQAY1PKUAc0hB0TyepAAWTZql0NWBwUXYQJzqNAxL1ftegnCOpUKlZoWhHPOnaOfnf3TH//xRRPqZtt1CxZJKXEURzMrOUHbtamWT372M6vVcszV0cb9/vhkPe4ndxqHNHju2/DPf+mfa61aEiOZoxsEhlqLm83Mg6duPtvFNQC8d+dOqoM7SoyqlZjA2cAdNMxNAM9EV8Wnq5ANwxX0HuftpSGSkxFycSOUmcHHxPP74DHiS6srIMx6EESaK7HC4nh1jLg5zrgBBHAnRwLSaiQ4z6tVNUgoVecsJlz5m2eX7JymAUKYNb+grlqRXEJbc3EwRqw1o5kTpVyd4OLe/UjRwtxEYK7moKqVkSvofCPMJNUrV8zMU8KryY0bivlVpPEKXOdXXM0r7gPi3LBFovm8ZKartcbcbTBzcyAyt5mBN6voHTK6lOxEEJpQktZalutFu2iB6PzB+cWjszqoXV58+H0f8NN7PfAgCPPBi2auV0Q9vYp2IqMZABKCM5Lz4xyrMAKkVIhwbmcxs5mRUCmFRbQqMTv643kfPkb0ze8MB4RaCyLknK/yX1f7BqzVGalpohp6AaHIIAjsBQJxcBek3/e//z2f//z3ekMKZuin52fnF5u5AGFmNVc3RObi8N6dB+/deXjjyQNDNXdS0ArnpxtVmsbx/t177vXy4hy4mpXt7pIbpkDSESullPuD5V/4n//8f/cn/t858ZTH1eGyPLoI6AfrftiN5p5qqSV3fR9ESkqOyCGqug4ZCUnI1cbt0Cy7tmv200iR+uVCTTkIuM4u7NDwUhZytHa9Pg3jfhjTNJlrgbob9k2Mqla1zCmLpmvMijCvln3KyR2nnBwgtKF6heIk4kjdukOBEOLxjaPFavnw9OF+ms43+6Q65bQ6PJirJpEbFBIJ+/2AjCIBEfpl13admTPzwcGheTjfPvrU5z7z1//Xn3N15m435ZOT9Tu3Hy2//tpbb92+f+90zKY5naxb7nXapc0wdu2SOLYNXr/+5Ffvf+3o5NrZxdYcSykk7m4sXGvNY37fB1588X0v/PZf/1sHx6tbN2/+5E/9tRAkTRM7TdlwEXSc1icnX/j1L6rPHm0LHEJsnONpGp87OsgM/bWDsO7Ot9vWjQS3465rG0WNfWRHirhY9W1o9vth2o9eCzGbe9fEag7ISN7FEENQxmyWrHAbi9Z9zpmQ3LeuXQistUEim+fPdtQ00jdbt6Zrai4VbT/tJy9Dtjk3IeAmCK5UDJCk74rWUowRY9MwGQkr+TCVI6FWZMW4CHZnuxtUKYRqOYPWpo3LNmuK2hKKGYTYF9eVyInVn/wrf0nShDkHQQAoSTkIBZn/+JImEN6Ow0sf+2hyrwSrNthQLOXD9cIKUhM02253eeftd1ANHM09NgtDJuRay1wIHsdh3Kcnb936wc//4K9+4QuvvfmKMVrOMGODgMCcgxAhgysYgDHQPFD4dsyfSeY5js1chKsNgRMCshTN82ScZ5T0zBx1Y5zPaNKqV9H/x5OP2T7LzIwM4LVUIkIm0OqzD34mEQHMB737bCCE2IQ5h0oyW6pQIn7nJ75zSukb33jlYLVG9BERDMqUaR7FCmdVBVJiJI+LHtIEVYHcikYJpRRCYmCYmRUGxDIPvBVnWISxsFUTVaerD2p6vOD1Ob46V6PmUJHNGExHvpr/GsxRmyusxIxcIkcgnk9iYAZmdoecCyCxcFbdnG9vv/GuHD2xXvbFiwZ66Wjx4MG4IDo1AwRmBgJH0JKJSITBWA3NLJUkwrOBwdxoXq34/8ZxM3OJM3qDrmwIswoGfFYJzYe7O8xxK4pz/gjRgBwjN4DO7kSEII5AjoyiCm6ETsyNZ2jbtpFIat/3Az/wue/5TBMp6YDQEApF3g3bqpXC3EZxVYux1Yq1eFW/d/fR0bUFuTnAME6AQXNNyd9+9e3L84s07Lfby74Lu3FDjQPXqg7goWUzUPW7Z/f/1s/+zT/4e3/P5aNziXjj5vXT07Occwy8z5MjVMOH56dPPXmriU3NJSCKBFVLWVMp7bKJTaj7gg5zjaAWzV7Uatc0oQuz6qzmbAgoFKXvjlfjPpWcS8o2i8IDidK8R8tWQxA3zaUWBQcLXWdmuSowAZMzro4Oq9XnXnxuGPbNsucYQmwP206t7vf7We/X933Vsjm/XB2udsOwXKy2u+005VLLwcl6gP0wjVHi5Xa7XKz2+02Mi5R3oT12nrq2A+K3br/3ge96f9MuDWCxaPtr67zbEkIZh26xSvt929Jo4eT4xJyvP/n0m5s3ClDXNAiqlmMIKTsxfu+nPvXzf/tnmyB5PxwdHN1+852macG9uktsqO3gYNl2/Te/+s2mWZ2fX4x5alqZUZSg5mDvvXt7uVgOOXVtG8zEzbK1bVRSd8OrOiYU8OXJwWK9ymmcxgEMa6k5FSBuIqZaEUMBX7VtqBzEs2vsPLsWs72WbU5EBBXqVCTIQRfP9ht0L1aWq74MuWkkVA6x1VpLLm0bGxGtldBJ3VSDm7oCMztorU0Tcq1CQuZS9TCGdZCm1OWNa82UH057F1DE5fVDlEhmrKgYmj4CUGP2xGLxd3/s/2v37vdVc0qRpIxZEYGlbwKS5KLtoqtmoW8+/j2fxia2AOePzloTmiy0/VhqyhVNf+0f/lMxnb3w5nR1qOIMalQyNrOz89Nbt25dO26/77M/6MZvvvsGkaa0rWaEMzoTaq1EBIhzXlOEzMDVcT7ortKbiMS1ZiKeSQjuimiMAFpxTjLPPV4AJjawmdkAjGCzRHzeFV8NyUUCIhqCehESeHz+EBH43LBSIrJqxAQETGxqBk4ArhRjtGrEfPe9+4/OHjVNs14fjHlIZxtGQgQHY3BizkiF8OjJ66tF++Uv/PqN9qBqAXBmBncERyZUJCBDJUCew69m6GhqyCRCjij0v7GxZycZ+6yvnBXGgDMEDR4TLkopBOTgxIRGSIA+3xzobghcVWVOUJplL8xhrsmZKYd2fe3ge374M1/8xp00JS1+42B1rZM9lk5kGraybJPDfPfTjG9Wv2KhALKIag3EuZQQwtVB7zBv0hHmCJfNmX5wCMzuTsQO7lDdneXbAgOYA7gEKBwUbBoTkwQJQmQKpsgSAAGMmISYzFA8BuFg/PxTT/+e3/0vrpqlE0tPFLFZNPuLYRqGN99809lzKcDgDiFGc5ymvDqWaZw2l9vtZogLbru46Je7TV70y/feevPtb709bLeXZ2el5J1NRVOIZODqZtUBbI5Cxhj/+t/58R/6ge9HjkVzZBbiaSpNEOwXReswTYPqpLUO+0XTWbViFYWOj1e7qUxDinPZDyx0oVm0LlSLhtgRUynFXNuuCY0gYM0FhKiRCLA8WNVSjq6dpDRN+3E/7EtOIoQVFI1ImKhuh27RX15sAEHamHI+XB/cfPLmwdH63r37zjDVIrVyI7eevjVOkzvUUokwtgFMvZQb145rrTnrJl2kNAHjM88+OZXEjOv1Yhimk+vH2+0m530kiUEUXAHcUCEMqYYmAOrlxQVJg9SfPni3i82TTz9tWZu23W4HiO3q6CDn+uyLL772jTdCbNwcUMENkRCt6xbPP/v0z//dv786WbPDrSdunp1dIBGL7Ia9o3cIBNyE7myzM8BSvQmdVh23W+m6lNPHP/Gxp5568vz0chyGrNYsVwZQzcZaGFFV0YBE2mWbU0IlElot1mErmmsaJq9WamUgBhjHkdvIRVvk1ao/3++tpIicGYRjStnBDZ0XIeWy30/rJmqtjjKUHBrZodUhB6IYo0s8L1qGi0Xfojk5mplPA4H3BaJjK7wfR3fXcVz3iwbA1LRmdj/s+lO1u9tJQ2xXKyAKIhFRK0gTjalO+bht/snP/MyDr391mWvNYxNCLdY0Qgb7cQpNJIGcaxQkiQp1HMfdvlk2feToqquDZU5jExoCb6j5pb//j8k8jUmIY9tMU+4PFvNOEQHcjTBMw+SKZn6wWH7+uz/34vteeOW133nvjrWBa0k2zyUzGKBEdq0AVzKuORGrigaOhHNO1ImZBMBo/njXMp8o7uCPI/CAV1L0WioYMhMSBcIZ/3bVIEO++uwzE5bZKTvDXJHg210zRiJ5jI5wQKQQWE2FZd4Pp1QePnpkaDXnO3fvhkZiEzSrmaMBEjiQtPETn/vsjeefPjpcv/H6G/lsD8U44FyGdTMyR78y3tBsSXM0M5hzrCJajWD+hYAZurlHieYzQsHgiltE8yfL7Nr1mQenjnhFPSVn96uylbmZYZB4taNlQmBHnMFKRFyr3r/98H/9ib8/hfWHPvTyl37lt28eHkWRjlBoNqqhq2JAMyVUR5gndlkLo7ATkcxxWgCsWgCRiFTN3dVBJJg5kc/WCFUz17kUzvPtqfPN71o1SKhFGYUpkIPEiCgwXxLqpRobyZxzQIkUYhc9lRsHJz/0g//Cs88+tTxoU8qhbcI6zGPwcdiXPD46fYDoKU2wQIOKzu7Qtk0tdX+xe+Wrr37gIy8um55Jxv2URz2/e/Gbv/ybu9OLi0ePpt1QaqquTuZWzUzNck5RAro5uZFzE/70n/3T/6//6k8+unMnBOnbKAfrKZdpnEik6TpTe/Dw4fWDI1mt8jgx0rgb1bQ7WAk3NRuxY3UcbcqDBZdGRLhmbdpYtdZSkdFV276rVdWcg2Qt0oib991yfXKIjqUkreXy8rKUtN1stsOehAebsAvL9eKF97/UtOFyv92N+w7b9fV10wZpTxBB1aY0Nm1M+8KIfdtG5LyfAuG9b719cXH58P59BK61Hh4fQUoHJ8ceeSipW69iK5vT/OS1a02zCD6zNDQK7Ydty3Jxebk+Wpw9fPDxT37XndvvvO99z+ah9G2b6gDuADalqVt2UxpOrp8Ag0SBOpqW4lWnEZvF937v55y5XXb7aX90fKhEDzdb7g6HNFy6a1EvHmMPIhe7DQZpui7nYloFMRJ1BO+98trbr7z69FPP7ac9KIz71MbgTmnKhIzoZBCjlJTMqqM7+fLgUIseHR5enp73Xbfd7JCggYDkFFl3CYXStD8Qih48SHYbTTNI8jqMKZVEMQDj3qz6lRmb2JjJJQICqO93Q4yBMe5U1IxJIECCArW26kuRmOsqRteybPtEUjyjUMrFUC7Pzy+nibsFLjpeLAhYU/FVb8KZFHM9QL7727/1+i/83BIYa2FCA5AYDWotGh10P5k4EyP7MO2ag+Vf+h/+p//Lf/AfZpsOVvHWjWsXdx+1TSiWx3GH6vfefgtcEQyZFUxibEKDBGoGtSCQGz548ACBxv2A5k8/cevFl154+cX3ffObr7z25usPH90n5mG/01CJ1Syb2VWHF7HWEpoICLWahDgMIzIKURAa00hEMvtPZsGWKQuTkyuYWdLMzIGDuQFgtToHFCWGuRpVtJqag+M8DHc3U2HReYoOGGNTtKoaODAxoxDTkEYkZ5Kaa9u1gNQ0YUwpa40hOqhNVqYCBloKubWr1eBY1b7+xlsbgk9c//QzL738zm98qZFo6I5IUtHmb1+aWXjuDmYkjI4+z8kc5stMaslEiAw2A27czUwkIIDWq/nY1SPBfQ7/IKGa4WMfGjPPOLl5vla1hBCIgBBLdQQLQu5Ya6XYPPncze/6zEemuL792uvLVds4QKkzb7MaIFIQLvP8D65kDAbAxCJcpqSuCCAcHEAkCLOaEQMy5TwhXvV5a1E1E2ZmrrmIBHCrXufl/mNeEMzpKEKaSq5F2z7EGIdhnG9IQKzVIsfIzAbX1qsf+OHv+8j7PlxLDoGslqaPsRcMLEFsMs86Dfvz89NSCwo5OTA4eBCayhi10ZS3F5s8lM3pfr1enT+8XLbLV77xyuuvvHJ5ebbfbmvNTobkTuYI8x8eIyMhAXPkaRoF5ZtvffMf/eN/8N3f9d3TkJoualGYpvVyuUs5dvHi/IIRLs4vI8embTBQhDanorsEDJE5DVUAa5n2eepXSyQB9pkqhoBNbA3dyXOu5h5EmJhZWHgGgJtWBJAmhC60q4X7LOK0aZy6VRtiZJLNuN2MKbRybX3CgCEKuC+WvamO+7FrYhtb2xYCevj23ZrH0/sPx+2uYVksliuKbezUNA/j+bt33/nWGzefefLk2vV0uj0d98fr1f2LHVHfhJBLIcRSEmL9xCe++8MfeTlvzvf7y1rGZ55+YtktatRhNy5Xq91uf3z96J17D1tpAbyW5Fq1ZIZiVkWYQ9iPablYbS62zzzzzHt3bx8fnWwvN1W1DQgAAmJWtZTlYnVwdFirMcluP0Au5kZN07TtQQM/+q/9we/4ro+e3r2MHIZxqAGpDYZVgAjBTInA0UwrISwXbeQ4bDdN4Pfeebtv2kXXWYk5F7fatVzNVl1jCLorJBxjyOZsDtXQvG2aAFjMKnst7rVKYAxUh1wU56hczpVZLESNcTcmYagIhGBg2UCaeF53R8INeCFd9b2yZK9GZGATuDd0OYzWClCsQB0HcguhQQpqhmrLgJs3XvtnP/7XOmRIE6O7kzqoewiI7GTiFQCtbVsQaADE/M2vv/bTf/nH/+gf/T+XXLZD6o6X47AzsOag+9mf+BsSPA0liMxoGtXi6FqLMBU3CcEr15K0VC2Fga2oOK761e/6/u//8Ic/dPf+3Tt37779zu07d+4CKEFwTIBWawJ0AKoKrhZjHMYxxoCAappyDhLVzADU/WqKjXQlHERwm8nM5ODwmM5GiDNg2B0Q538iM5u51orCM4AIAGopAAjis8eHWRwglyxBkMgMWChwaNqulkpIIiHViohMlNIUY0OAoxso7PdJA1eQhw8fnpX9+T7df3Seh6mLQR2bEKZhCrO++Crt5O4OM4sAv/0OuSKeCgu7GeFMzTZhmV8KMwZjfjhcJUmJtZqpsYiqzkjsGZAUJKg5EyPAPMG3mcfEkShoSTRPUdROHz46ffSwyLB5eD+EehgjTAXrrCgGc1cHQnawWlWEgJEI3Wj+Y5h/x4sVdWNkEq5etVZiASaYb22fm8xobuKCOG84Zlg0XKmfEREgSDT1UiozRWnUIOeKLMQCjpGaJoggH/Trz37np7/jQx9pWcDGGLFtw1RyiKHrQzUHM3Ygh835+TgOKIpk2TIgoihFncZh0S3Sfnjw7r0779x/8oWnEJMb/+LP/dKv/vKvbs7OUhrdtO3DlJPOFfRsyAhuCK61YiAFoDZOU1r17Z//H//ch/7kh3pszG257KZxUtMQpOSyaHqCOm2H7eX5cvnUME1oEIjGzaZfLhh9EcNuM3GkZWzzbho2u7hondHXnbRsXpARmIRCCFJKybmQkBUHgKZtHudogUV0bgMEkEBNJAxYtGYtIRBLMLWGeRxGRmokoGsXmtDyw3sPd5vd2b0H07BjkD7IjfVRoc5q0Skvmrjf7Ay1bUNj3jTdeO/ha2+9G2KvbuVoFRdL0bpuezUPANM47YaLN1997fWvXLs4PdNcEMEMkumw3XexzTmTcNVydLAcSn3f88/fvv1O38XLYeNUKaC6W6ks4Xu//7P/6H/9+2fnj5Dh6Phgd3kJqoLADtEMAQK6BG77plSLsSEkEkaQAnSx2Z6en//yP/z1pr957ehEqweJSDyOCYsKeEQKQqa1TLkhcbe0T0O+EIfd5X4hsWU5v38aY2wDtyzb3U5LCUjZ7HC5qNVVrRUqtYprHxslAMNipAQuYCqAuM8pGLqDV0eHjhnciVnV264ZrWITplJJ2EgyeXNwuCvZewZTR805HwvXkhJiZUwB7pWyIRg4d92Bp9qtF85U1dlhyUEf3PvFH/+JkBKmDFaQAwUGIAPMOYGBcASiilpqEmYvXio4NV/9wpd+7uTn/qXf9/tPN8P1J/qmX1ycXzbBfvonf9rVZhh/iNEIkFmtpDQhISG4K6EMw16IuzawY0kjY0egVuvx4cFqtfjg+z94en5x+/a9ew/uvnP77YcPHwAYhUk9zRo1cKy5Bg5B4jCMTRvNdWb9wsyJvAqMzsAhNquMjEjm1dyZhJlVqyPOX5mzfsZmR+3cDICZ/Xn1nphH6urKV6QiUHcnKFqrat+vdsMoXZiBkm3TFLMgYqVwCH3bgc02YTA1DO5W05StMVJ+7a1XOtCDZd/Umt2gQB/bUjM6ESAwqc4n4sw9BWQ2c6sltEKIMj8QzICRQgjDNBLzDNaQucUAV7QNsDkhdPXVD4yuOmeYZsP8rCVxcABDI75KHs1vBUe0Wuq42+4uzh6Nj5559vrXvvKb7Yc+WPYTAGWrwOhz2ZfRHKM0AGDzKoIZ0Ry5quGscRAptU45gc/hK5u7eWYOOjf3Zi2Cg4O6AhAhYaC5+IEIplDVyHmuARBLDKFmJZQoQooNxZPV4Wc/9ZmPvvwhT7VlFgErYG4Q/OB4hR2OZSvSNzGm3YSG77777piGHLORF83CoqpGJB6G7X7Vrz3rO6++c3Tt+O679771+utf+KVfrXkc8zCOA4pXL4rm5ERUi7KiV3d1iZxTISGMAAjJtFu2f/LP/In/7v/5Z7aPzoF0dbQoWfP5ZR/FyLx4u1qncdxfbttV3zaNVvXA0zhGb9XyatFl1XE/SitEUodkjNucXLxdNkDYr1YomMoUghCJiKRUEGchj0hkRCxakTi0cf5p6UJrqNVyScndWGTRdnkYr62OEfTe7fvjNDy6/2gYhpSmhsIqdl27YCQBrOPYBkHBQkDuXcPtYlFKIbc8JUQUc58mANyeXqRHl4uDMRBP44bcjw6PJFgI9N6777jVYdznUijwfioP7j/64Mvv3++3iq5pQGRN0zPP3NA0XT85vjh94HPKrDgJNKF/42uv/84Xv5zq5KJt29699x6YaU5lmhCNxYUAqp4/PD8/PzW1CsBXmzVaHx/yavniBz/QLpdTVSeUlkGwFu2FGgcxY9BSq5aCbGjutUaHtBuw5H7RT8OwWnQXlxdH144ut1stKQi0iz4Xvdjs+n457nNVFfTgTlUjSVj0U6l5btswmNMsEmPh7FpycYEmNuebbWgR2sasVnYhVoCSNVsd3MjMuCdpq7Tn+3PPGpA9Bujb26cPUxe9b/rVAlnUEIKAYy1FrJJufu5/+UtyftFqBVRicSStZm4wD9cDCQdV05zdzY3MsW0aVW2NfvHv/v13797/d/+zf/f8Yk+iXuxv/u2/NQ5bUWBCdzRTQ+z7ppTSdG3Z74SoptTG5vT0IYCqFkLu++XF6SM1RVMUunH9RBUPDtdPP/XMw4dn+L2fu3377d/60m89eHin6JhzaRueppGZcqnTkBaLpZlOY2qagAZmHgJXVUQCM5Z5uYgws52d57zMlUuK2c3rnAhCAgcEnKf7M91/ngjNlVrzeWWKZo+H0ebSRIlxnKbFohcO6sZMaobuTWChxq+U5D6lDFWRSJpIJEOaCjAiOtYybG7GYDk5ejVtHtNJTWEm5c0VLn981hPT3IIKzPI4Y0/ubqpd083tqlrKrFoGRJsReIgAqOpmSsxuM90UFGxW8JgaCVaqghwVhbCaOVYScLOSFJHikj/+mY/d26R8efHEzeO03RXyPI3FVEIEcyJER0ExrzMpyR2EQKv6XDQgNDPQudVNTFJKmX8Y/aqN7MQ8E5RmEY7O+VxEBLfqTMyBC9R5ud+2rVaoBUS4lRg5YPVbxzc+9bFPvvzSS10MVIyYmiBOYGzLoyW1wD1WqojOAWrKw3avWc9OzyVQEdBSm9gCAJqRAyMR4P50awne+J3X7t25f+/evfOL07NHpxx9u920XXTFYcpTLiTOCOjELOgoLatVJqm1zpfxOCZjf3t876/+9F/90T/0r24enXWxiShEXFOKItVUSLhtLk5P47i7eeuWu4cmOOiw38XYbM4vFutlQ6TJkJwJCCBNRdl2w6Sml3JWEbqu77rYdZ13rTABI7qXIWVwYgbGEDCXAmCIXr3Od/GibQJxzWr75EP62jd+O03jxell28VF6JcWrq8XZw8fkcyLDsAgjpRNg0hctGm/Xx2vSs2RY9JSa3XCpglpyFHmsZ84WOwCAKobIKj6MIxt01bPtebYdZvL3eHJNSe53F4uF4vLYWvmZrWkcbXuUhlObpy8/i1yphk3T4597J977tnv/vxnv/n1r917dOdgvb539467hSCxkWm3ByIkWK1Xz77v6VTyYrFEUCyuZkPVhw/PnvjAcx/59EdSrhQbRrRaUK1pQs8kKZdhQPA6pmG7SxWC0OwCTPuxjc3+cqPFKtOya/N+LMN4tF4P08RqOqYnjo7HnDyiAgGJMKZSDCDlHIRchEMYiyOyqboaCU81177xSGMq1588ApEEWPK03W2HcVRACm1oOjQIgar5+S4V8UXT7IphNauuyXSxHjVPiDXl/qgjDoMXSLVxvib803/2L/CDR60WtKrVnNHNOQg71FpN5gV9dgOZEcHujQTQ2oWwefAApPnaF3/jj/9H3/j3/tgffflD739w793/5c/9jz0E0CosVSs6uc+09izMWqoAxjYy0XZ3GVucJm/aBsECwbDZdV1IU3FbdIs+NE2tnqcIiB/+4Msvve/Fs0dn77z9zttvv/3O27enEZcn68J5yjsvBgBt0xK5mpnpFU4U0QGLVrpC3LuTz20n8ys09BxAFJErugzoXISaM/Nq8zzCXeu8JGCaA4BXO2UWmRerTQw+YyaFUpqQjNC1lqqKjggoRKFpR0rVlADNsVssn/zQ+49efHrV9u9++cv3f+23OoBaHYmzVgQstRASI9nV2A9ZmJhU3dAA59kKydznLaW6OwHhDOoGJ2K3mW4xh4GICN0dhWtVYix6dSKD2cyKmL1bJAxXnWYSmskb7mAxNinVvM1f+KXfejTUZ2+c7M82y2cOoKRkdRIZqhZX5EarmVUkq6YgUSsIApirKqALESI5AijPlFRmQcSaC5PMsazHgQGcf7cRkYiqKtrVDV3Vr9b6IFYdDLsQQsVI/MLNpz/98U8+/8SzpBgEBQGculUDZGPNcRXaw1aDTVAMFBhoZiE5TNN4dnlq4rs8GQOigSE6MLEgEaB5JdI0bDfvXLx35x43AALb3V7amGuZpsohtMRIzgamFdQYCRSsQowNiRhkCuTFHRRJfuZnf+ZDH/7IR1/+yLjdtUzHR+tpux82eyaMjdRq4ibCm4vLft1DdW7CtdVit90JSUm5aWMp1YnGKYc2uBNxGMZBAC0bM5yfPzg3M1BuGwdYrtcisj46kEawAVU7Oz1v29A2kQTGYU9CIUgt+dGj89MHDx/ef8TmbdsT4Cr2XkvJ+xik7IYbx4fb8TKVabVax0gsknMGFiONqxZjiDE8fPAAELq+G6dpGoeDxdIB9intyoCN7rZDLtnMS82ztTTnSRimPMXYXDtZKpEBX5xdLFZ9KnWxWESSs7NzQpimiQJMZQKoWmqo2LWL9XL95qtv/eNf+KXV4dIRrt+48fD0kZlNw1RyadpQVNM0tW1fi96/c3+325mWRUOqTg11i24cytmDy259YgBmFaoSoLtPJeM4lstNGoZGoo5DmRS7NoRQUu6bME1D27VgiuDVdD/sSy1mdb1a7fZDE9ldZzTQbpxmFmYIXNSEcLacu+OkhaIHYhDKVivUKhT7HgJPnjfT9sH5djCVtgvLFSKk4mOexPGoO8zD0Ddxez7EZYtE/XqlsRly2Q47bEVi4LZrYm/qWtKCeZGmv/MX/4o/eBhSQvAoUoQdqU7KiDlnYCQO5mYETq7mXmutpe/Jq7pW4QBS6v6s1P2P/bd/JhDrtJFqDgXdaqrm4A3F2LhD1zZaq9UCqsTB1XJOYKqldieNF0VT1AqWpt3u/nt6fPNIWA6uHb/88lMP7p2PYxIMi6efePrWze/8yEdLrt989Y2333v77XffGUqKDaWy5zbUmsGRWRDmBJDBYxMZCTmgzaf5lVgM5/O9qjKROYDVeeTOCKpGSPMGmJnNTUS01tn9EoIAoIHXWmMTcylR2hDCNA2NNyKcy2RF265pYmO1DvuEzIhopoxYzTLUsO6ee+7pZz/4gVb6J5r2733xt2bTPSFNeQoUkdndrRoz2+McJyIhGTPPJhhTE1N3nEFFhEillplsNP+bOTBbqwGboMyzrRCFANHm4KW7AczDOTcHQ+e5G+FO7upmMz4b3GOMALTs19dfeGoV6MkbN4aHF75CanwSdRKkK8saC5llZAd0YnKA+SQGhFIqAiAzuldTRDI1IhaOCKhaiREMmMnNq2qUK/aFAzKJOVQzMGIKbtTEhhSbGBuOz954+nu+87NP3bjVBGFwQCfEEEkiGZWsZX1zvTpen23PQdgJkta+Waih5epuF5fnu/1uDqeIzAFfJSBGVC1qFJeNAdy7cy/2MRLtd+M4jMhE4F6hkegAzMhEQnhwdKy5XDs5MtfN5fZ8c4m1SoxFM0YpuaZa2kX33/5//uSP/an/IYaQd1MbQyVu+8bBdrXEJobYD7u91yGXfP3mdXPPWoFx0XfDdpj2gxt0q076dnO5I2YCv7Zcl5RSzsV92R6enZ3Fths2yczOzu6mPAGTtKHtu+XBsu+6Yeun+z0hdH1LQmfnl2nM28sNuIXQiKBXz6XEGEEdzIBFkNxh0Xc31tcvLzalljRNxLwb9u7QtO1u3NWa1REQjUhC07SNu0qUyLzsBZsFIOSSUhqbvpcQQmyuX7/56N5bJZdIoRoCwvHJidTJqlXVmnWx7JarVapDNZDYFfRFiITQSqg5B4ndorv15C01Hcvu8ODw1VdfYeFixcybpjHITLxaLgFA1Vbr1bDbh4AisLFUUvFJ97uyOGYFAwV3E7Wcsu525eIShrGP4uMUEY1g2u8uU+m7znLeD/uUJjcPEpM6gN+88cRms7nYbGNs+m65H4ZqtWm7vomjj4JiCCHiMBsQ1Qmha0MGTTlzbEbALWIymC43F8OUwPc5TQYujfGVOsWIGAOpD/s95JoUJLmSn057eeqJ81zvnl20B6Ftlw4C3Lqi7sth2/P+8pd+6if333pjoUBmEsVmEg5gt5BaFJsm9u2UEhICyZSSxCAILUUmLKbEkvPomlywjpeSl1OuUHM0Ms0xRjdFlgzQdU0pGoNM03SF6pzrTm5TSu5Qc2EHqKURgJz7wOJmU54gta3k3a4JHAJdPhqXbetG62aFHo4Pjj/z6c+cXly89c5bX/rt33h4epfYVJppHJFBtcKsFHRjInUj4Jn8bKoShFis5FkUz4QAwHglnUEGm/kZcxifgIhM3VSR2EzneN8c2J+jTUg0HxZRRIQ0537R9OsQotx778HNmze1aJAw7IaIhFF2KS+Wh5v9/ku/9E/eeP315dFJX4pOk5Bkd1MTiqXmGZyASERUcgIiN6eaI8crxZYZKAjArMdEmz+KaS76olZ1MNO52ewwx3iAzDywAHmIMk/YUfCqKjwHgdTMYU6+uoOqScPzw4eA8qgP7m/GU/3Ih19YyLLHqQ381rv3z1OdYpAQvBih11IpgJsHFBOcO9iIZKCE5GCuanOgzX0OBampuwYJyGhohOSIDgoETJEMbN6HqzEGdIjUNrETA2F68alnP/Odn37+yWeoUgiMZKBobNQitThZWq5Xh8fXiqcBJm+xYGYMRIFZWMXUEGg/Dfu0q1pnEIWpIxABa3UCJwJ12w17MBi3Uy5atTQhmhfQ2jbtybXrJ8fXrp8c37p+w1JZHawW3eLy/Pzw+CCnJI3cv3/3waN777z31utvvuGkZjSMOQj9t3/mv/lv/u9/IimNm23oAoDtpwli8MBpMyxXizJMVuvDRw+XB6uGm3bZWSn9squpTPuMFYj95rWTcZp2u2GYtoRO4J50X4dlbJk515ymFGI0E2JO+7JP+vDOA3ddLBddG5aL/sHtBzmX9cGBAx0tr+WahnGopcS2cYOcciME81uTCNk3u13RWqst1t0u72MQdCMmRx3GXdO1QoIs45SsetuEWqqBcdOlcYzB97tRKLQdqmoTQy0FgGOI+/2+J9qAvfmtdzvml5667q7TPtXJtfpidXj3/iZ0bWy61eHh7uLhoolWFQlj5EcPT/vl6uHZw2oe2u704hyQ3EyrskQqlHNZLJcc4ziOw24sKVUOjsAk0ITv+5d/4NmXn0/FJTbbYVzEMA27VeRx3Os4hFIrKlWsWtlRS21jk0sqOcWmNYNS6lS06bthN917+GCapoP1gRZ/tD/tF71wKLXWNBUzYK6AQ8pDUWoCEGGk8/2+gDlx1vTgcrOpuSAVpCGVAjjmYogi6KV4hpoqs2ith22HWdGhldj3ISIdPfnMRZouyhSPVi42TLk/WnoIecgLRNltf/mv//T5q2/0DqQlhkBCgOyOi2XXd00x89gpwmEXSs0izTCMpRYmmrb7YchNGyYtHlBrxgJNDLbfe1YCd9cQZgGtI7jVCo6qxRjno0ZYSq0SXdVSziysahxmFyEEg6ZptkOC3ne7bSAm4svLy/XxMu93k/fLxbrpotdwfO3o/Hx64okbH/7QBz79yY+/d+/2l778xTfffdPreWxlu92ggGrmIPOnfqmVRcxsRoGWnGMQ99kzglMaEWD2Es4nJxMhYGCZcuJWEEHNSklNjMyCDgDAxLN9K0jIKRGjhJCnidCffuqJ7/vc5/pl+7Xf+ca9+w/effeeSIgx5lIsZTDb73cJHZrw3oMH9d6DddXFcuW7PQEUs0DsyCIxl0zk4BgkGjgSi0gplZABEJmYaXYCk4G7G6g/liELMrmag6sZkgPNGjWyXIhARFLOc+5TghBCrUUCm5GCIqOaoxkJSuRaVIJ4ATcbh+Gll5/T5fVXvviVfpvLdtgt+3vV90iVhYkQFQyFo4HRfJ0yVasGjiCziwZsHqfIvDC4EvugAyA7uqEpTVYIiZBZaJgmN4wcmtg6QuAojIFD9PjB9738yY9/8tlbNzVPLXF1JWRimWyUVnjB1PLhwYmRJpwUVIu5a9M20z5JiGCoRachAcIwDvspVTCRqK6OBGYOlAbtF10xW8ZoOhe+k5FpsWtHJ5/89Hc+cfPmzWs3miYGIrRaphRDOLl5/d69s5Pjm13X7LYbR2u4efrmk9/x8kfPL8+/+upXv/LGNx/cPxVqXvnWaz/2F/78H/8P/rNpHJjRaiQz1Vp2w6qPNY/IWGqBVC9O060nbl2cbVeLFTc8pn1/2G0uL1cH6/1+K0FCJAkybIemj2bY9uHd2/eqOQodHB1dbrfDkEMbq3kZJ3ME5tPTzbjbPXHr+tHBEUFyh3GahjEVrYCMgkZIQXbb7ciUUz06XufdwMQSZT/WNCZnJIn7/cTMQJrLsFysDCBrbjg0YTHqsJ+mk3Uvgfe5tm1bzEiIQ4jO2/3++GjZt32/WGwu5MWXXrp/Pvzar31h1PLsE8++a1lt2hdvgu+m8ej60Rd+9debJpqzSFguj8q4WTRx2A3ro8UHPvbCb3z5i7HpOO8QKOdaSiVyjsFmnQcYAD56dJo1x9nccAWU8nGfvvnVb50+vJCma2LfL5Z52C1WDXkBMkAzL/t9WS9XTJj2KXTNxeVliI0CmCZ3j01TUq55csJc65RLubgUCcR8ud/HtgHC7X5vJBpqcc4IW/f9bl/Mstm25GSa1JQ4Ow4VIVA1qhwAMcYIBDNlBdi7VWtVu27BtUC1pom63187utE4F6u5DMkyehclLJe9sdmw642uN80/+Jmfunzlmys3L7lr2rbrjKU6HF475IbKlE29OV5TE7tFP06lW/VLVUCLMWzON1ChlOny9GLc7ANFTyWI1N0UGAGcHA2s5ERETh44atW5uSrEFKRMEyHWWs1xtx/XiyUwYmALCMpqYMnE5PS9s+V6eX530zSxb+Lm7qO+70L03XDuXNNo73/+OON0sBYX6hY3D1aLZ554/uzs8itf/+orr75Sd/eIUSkXn0pJAM6ObAbgEgQdpprNqs2TR0OJMwDdXA0UGwnmwCjZch+7GTwMBk0Ta61BAlzFagAArSiChhCq2ZRKv4hQ63q93m8347DbbLaPzs8pRpHOCXLNDNjEdl+rE2dsBohdbMr+TLOLkysQedYE6gWLegXDakrMgKBaVZVY3F3msXkxcTcWUjVkAkAAm/Ogs8SSmEzrFSgDQc2JpaoCzhJON3CrCgSI6Oaujjyj9xCFzVQVwefws4dGhOOXfvWLyxee/9inP5i+Cra7eH27e8+hcHBgdeXZ2GV+tUkxB0Z3nZFEwqLuhIRObgZwxfgz9atniis4sTBVIWYArGpBWnIUFCzQSWTDo+Xhxz/0sU987JNd6JnIS2ljKEVjH508eaaFLI9X1AswJMwS2dCREBUYqJEw6r5drkBBa93vd700m93l1W4iVwhEDE6MwK6ITE2M+2FoQmuujPThD374E9/5XceHx7nk2MRl34dAXSPCZKqR5eBgyTGKhN3lPtBxyruWsOZSa1ov10889cRLL7/88//gF+49eMTU/KN/8o8/8qGP/eBnvvf8vQcU4LBZ2MWFEZIbM4MQEqWcAfDO3bv9evHg0QMSdrdrJ11YdaNmtbqQYOJDnR5cXAx3xxBku9sv1stsur/c3r7/gIhj32z2Gwq4WPdaytnFpaqt+v7s9HLcplRLbJuma6Zcm77lCG3XDftLdjeCIITGRggsY86RAAKHpi0Zmo77xWqaxiYGIsmpqFvJRSs0jbVtVwpyiLkWBd9uh+agH1NR81rtxo1r07A7PTu7c+fendv3v/XGHV781v3z80f33j29d/8V0jHtvvrVb52dX5ztL689casL66effebazSd+9Z/9w23ZgEOptVu0sY3vvnX79tvvXnviGkvo++V2NxDLrBGsxfJUXPzWE7e65WKaShlzHqe+FyQ2sNg1n//BT9966vrFJtVawUzdzetuty1lWq1ad3PBsSZydKFitV10KVdgoBjGYQJVEplyYpaSa7tYuGNV1ZzVfV/LlNNunKhpM8OoPlbYlWJRKsCYtQZM5sVAzbEJ3ITimFMJTWs+N4m8aUIgAHdWrFMNkVsXYlw2bSNdF6MWO9tuJszchGzAyBJEiwrAgds//Ct/5cFr31x4DQbcdRJDcugWfQyCMVDX9sv1Qdusb14raOZ21PUSIiiEyAjwxLPPpGGqtTDC5YOz0wcP77z1bjJrFp1PRatKCJpTLhojmhrNOdvAIXBNO0dDJjBgYgTa74ZF2+ecYtNKlDI6IFl1chSWPBYiJgOr2sdGhKZpRKTVQUOY33nrtXGqNe1C1z795DPD5ebaegmT/u7v+8GPv/9Db7319sPNw9ffem2YthNN1WpJk0EF9KozQywykRclBolBVVMpUeAqTO8zZCMTo7mhudUKcxQFERFqqUGCamliQ+x4tdJEEXFgLflLX/ydb732GiJshwIkjpyqNm3s1st0sbdijUTqmyc+9h0Hzz4JWR+9+s3b//RdYbRAaEheAFnBhYNrJbiKJ7nbFdUfwFwZAxEKM89f0MwCM+LHgYncwVTBHYFmTpKZIXqQcBWydAS8gl8DADrNZ55pRblyyYswuLNQ1UrIpVTCcnT9qL928O6777W7PBb7pTt3vnm+t9U1QgE3NRMSoRnlFtKVozVUSA6KgCLBzPDb4G1CVZvTwWouDRtYzSUwI4CpM4grLtqO1Jd9d+vkxic++l0feO79DCSt1JQRggQhwRh5KomDNOt2fXKQLGHH1SoiOpqCR5Rcs4NbUTMT5qqqtYJ5Lmm72xA5uguToUugUtUVmSSPebFsu74PLB96+cOf+eynFqGxWhz16eefoRA0j8uuRTCOHIPst8M+bVfrtSaP4qoeuzYDhHaRk17udpbKU0dP/eHf+yN/++f+7u3b74W2/dN/7k89ee3WS888P56dkfq66ROkkhIRIiMLi4WUcy6FgoD75fm269tvvPbqMEzrg/WwHczUyWPbVIWMOtaaA6Qy5GKVALtG3UZUF0TAy82QUsEYq6btODVIHEzaxpCnrNVdp1FAnFwNxjSuDpdIQATbYSQgaqBdtYi23wxtG0MTtKbYipmWnLu+y0mla0s1RNiO+75vUtZhHKkL0gR1R4SZ9S1B+r4jMKLwse/8rm987fUf+59+4vz0/GTVLhfdwbLbbM/+xd/9h/6v/+X/7XJId+7cJ5ddGlYHy//BZ1KiG2hVi0Gu3Tz53O/6nq98+Wv7/W6YximPAG7gEoQJY4zZU4zx/OycAq3jYYkTYp2mCYjGIY3bMW9TDCFKmKYSRABqEJlMkxV0FUECGocpjwmDSIwgILFR97hoU8pBGIkUwBCUyRSwafOYQaCaZRbr2lF9KFpRvG3Csr/Yj6lUD8J9S1ORqqa1qgKyMK+W3ZQUHSJQE4MQBMROQidi2EUiTdOq7/q21awu/HCzezAOtF4YBek6bMJmMx0sVjLufvnv/I37r3x1gYTVsAmh7Q1wcXTIQfrFgrpW1gtHPzharw5WJCFGSXUoue7225Lo8Ghdau4Pm+snT4Ug5cUyjkOe8huvvP713/xKv4jRw7jZiWBLzQxwRGI1DyFarapVa3GtZAimwpxT6bs+6xiErbqDEaMVB4QmBCThwMI4TTtiU1DpJE2Te735zNGdd+6ZTptNhkseLs7PH20b6Y4PD1Ftz/Dh559rlh/48PMvPHj44HS/uXPn3oNHZ3EdH12eAVoqew4AZlYrE1kqIoIs5g6GHAgNAF1NiUVVhZiYmKloUXWZrSSqwkIOKDROo5BBjGpkBbquV7DtmJsmOnIp2i0WoFBMtVYSnsl6JhShLsT6tm2eOnrQEKkhqs37UoKcUgzREByAkZjIHQGAiDny4322i5Y6u3/RAYDoKrYP8NicDuQ20zNV5yyUzU0rIgQ3tccJWVBVMIgczSvwDNycqRDoCkQYWMZUT88vxoPlC888sVQ6u/vu7ffKJYfsRlccVjQzJp5dLQQV5/sLgIEqzIvfGTnnqk6GQPOymJjJzBBDYAxBsGCgKEZN27QhvPC+F15+3/uef/rZRgIRMnFOulyskMCg7sc9CPSHi+awDy1XUXdPlgA9NJGJ0NTMCZkDl5T7pkOwnCZCJsKS8vmjU3ADM3PlwOAgTCLRlbqmB/Vb1278yI/8wb6JueQ2cnfcL1aLfSn7nK6drIS875cG2nSNrMLm0WXS/XY39G3XdU1g3F0gOsUIii4RLy4uD7qDf/0P/es/9TM/dffRveV6+ad+7E/+qf/qTxlSKaMDLBeLTc7gjoRqGpoA7CQ07kZppVpOigfHB3HRqZqSSSMSQqrlYtjVGZhEJMBKjoHcfBwKAwNAzjmwSBRnXx0s3Y2KVjcJjCxuTgAUEAWN1MWDxIpWS2n7zgxSKocHq+1ms1z3y5Pj3XbrU0JwMRQRR55SCaFhCZfDeejbo8OT/fayb9sAcTuNHsWhmmkIIammadI61VqH3XB8cvjh7/rk6pln/5e/8JdySptSHt65M5Tt//z/+5+L1sNbN68/8eQHXnj/a6++9tJLzzOB5YKIjLgfh9X64Mtf/Mrd9+7nXJarAyK+d/9BaJo8DbWUZtmltPcARycn3IbLi00Hmd24NQ44QV1dP/zM93+qasUQckpCBI5pSjCl2DQ2DrXWrBUd1IybEEKkIKmUcRwBse2amVyGjCllobbkogocUN0IpbpudqMKgohWpCYo4TjlEGK7Wg65VPMgIWATxF1Q2qjmSHAQIAZhQ6g1EkVGSKWO06JZdCGurl+zkveb7TClzeR3dhfttfXllNbLg+JgU+ndwjB84ed+7uE3vn4cI9bCbeSuSxlWJwft4ZoDh35xeP0aRIpNe3yyvDg9p1wiyHd/x3c898wzT9x8qhqw0Onl6cPTh2+8+eZ799672Ow4yI2T65//l37Xd37q47/5z379td/+emzFVVNKXdurQwhxSLUJfamzQU9SGYklimiB7WZXqwFhSlMXJMa424wBQ9c2pXrXhKp1KrldxKKTmSIIAWwfXkL13cVF03bTODaxqaPpNBRMg2bLSCUzcDC+uV4dLfvQtmeXu7dvv6usr7/91oOzR4NzaGkcB4qsXogBHBsJdRZUVrWqMTaEAIRaDefA6JyyYcOrNM5ME8IpVWIBtL4NyYgluNZxSut1v9uNRrRarS7OtoFjYA4kKqjuJZcC/vUv/fbq4bvP3Hp6f/8OOAAYGqhWJlLTIIKIBGhoRDQLKr8NfnN3rcazHxXA5rowXjkT3CvMh6zPnsyiiI9FlwBWNQRBBLB5afwYOepzK4uR0FwBECA4QC1VgqBhKQWADterZrm+8+7dl9vlWdm/N41jCEBXZjHFGUBRnJ0QhJjRQVArzDF/AAJAIEBytArzzTWvKQytYBCOHMSYHaKFk8Xhh1/+yAeef+n42vXYctfHcbcjQ/fS9021nMYkPTfr2B4vpCdpxclNq1o1965pWVhLDSFsLjYxRABMWUOQPNU6mVQcx0EcqxUJBNmZZUayuLtX7ZqOnP7g7/+Rj3/Xd20uHiWoTJDNseLh0bWXnnpheW2llvM0IVvbt7v97rhfnEzXHt67CyvfP9guwzoXXx6sHtx/BEZqVsYMFUTI1P/NH/1Xf+Jv/PSDswenu/P//s//6f/yP/0vNg8Uplxq7pf9NI25Vjc319CKWi1jtqxN1+ZadqePVoeHqeaTW9fHaZyGUURWq3UlJ5GcCwLGueQOGLpYSnHzEJlobsK7tKKmHiogSBdIGAEVnIUMLLQcYsOMq/Uy5cLCDrjb76ec4mE/aFnFbnl8ZKo5JQRC5LCIBr4bp1ZwfXJkDptxj0S7cV+tUhMmU7ecrXAMLYdcpq4RYnji2afGlF7/8lc/8PGP7lNiQEtjHoYKeu2Z60c3nnhwut2O733y459IYxrPtsfr9fbsdEp7M+q7fn95mXI9uXat6bu79+62Xc8iAEm1CsusI78cL5Ho7bdvN4t42Cy3Z5fmqO4SwuX97Ve/8LVPf/9BGxoBaiKX5AWRmbplWzRV8pwqOSCSupdp8oTC3HV9LrmkUqoiBVXr2j5NFUPQqloNmUs1ZD46OSrom/0YmSTGXKFxUycq1ENAZu4CYohte7nfAgO4BaayG6nUPkYwh1qE2Iu3zSLEZtzth1RSybXWbSoXWHHV7LEubx6rWtkPDfJJt/iVn/97D3/7t446plIBAFHchdsQ1usMoW3aEuNY6tF6mabd5f397/rez//g53/wZL0aN5dtDJv9EPqFgZ3cbJ5aH3zkpRd3dXrn3Xtv33nvwfn9V9/66vHy2ud+6He97/3v//m/8XcwKYSQrMbQZdWu71xNtdRcRBidTK1YsSrnFxdqBuREWEstuRCSay0TDuPUNo0EsuyqlQSJsZbKIrvLYb+d+r51UzaDnFOyZR/QoExDG9t4wOMupd3Yd32LPI37p44Xt44+EJrmxSefPjvfj5oePLjz4PTR7Tt3uEHlMqW9qboVQG9iY2LIjNlqKSJCAO5uXhhAwc0LIiJLcS9WlSFKw/Owu7oBBKIYJOUR0RnjuN31TYMG4JWJp1rrlcUe23415fLW22/W84fLtodpKjmH0NacYmzGXNQdCRFI7UpnT4TgmFNGJBFyh/mRgjTnHq9MaVeAZ1U1cxSeVcBmRsQGwEHUXOgqSg9wtQibGTswL5NRDZycmYkQEcBMRYKpv/P2t1YIz9y6IQ13R6sRIF2JOh0RGNndAb0WnS3GAKhaEGiGPxMiEzuYVpvFx8ICBFpdiIljBGpB1t36mZtPvv/Z93/4fS8ToLCEpnGEPGRAdPK+b528ag2RaRFWR723hAJTGapVYQ5tmAl68+U3G4/dveYK7l3XT1Pq2mZ/OrhB1bK53KZUHEGCVNOaatt2iNKF9t/8N/7IM888c7G96JZ928mNm8fPvfhcNa2i1Or57mGIkm1qgozVuoNmypNCOXnymG5ReF7uvnlv82hXcpGW81QXq3bYbhZdp2Zaxia0f+j3/oGf/Os/oVq+9LUv/bWf+fEf/YM/Olye+5BJqYutTqOTo8O423eLmAMzcy6Z0JvAWqbI2AjFg+WibZkJQ5hKmT8KdpuduUls578dBl5KuXIpI7RdY2jIFBo+uXaSS45t0zaNNNL2jTtMZVwdLKYptV3Mtc5s3RDiuNsfrBe77XYc0+XFpbv7JMN+t170qapW7Q4PRSjnEkSAsdaUh+rgHAVScfJaM8dlHlOtRdO+6fxX/umvPPHME7/vD/+hL3/1VYltqXtXC6EJHvf78tVvvP7MSx947+7dv/g//rVHp/efuX590baoRg61VGB69rnnivnP/vw/dubQxIvNNueSawkiXdPtx70ziYTnXnwu5ZSnsh134IiClk1dX/roiz/yb/3eYYBCwaZsXs1LykVUudRSioRABqCepty1LQmnXIiwlkwIEuZ6r01TjhEchQAIkAicwKqysLoxkscmq6JjG4QNdlNuGBWFOCCF8+0WVbmYVUM0HSrl2keB/QTqi743cw6hjVHBF6s+lzIN9XIYpmjacDFT5EmrTymgHnHzWz/3c+999cvLSKwqgWPf7XY5htAtVkaUTQng2qL1Mkpu/9Uf+ZHv/+x3D5djnvJ4eSYA0y43xFjdvCLptN1ZQAZ84dYzN45vFPJ37r716rdef/OtN97/0gf+T3/sP/7xv/gX9/cvAoZxTHERhAmc3H3Rt5oTAcQQ01BEwtn5mQTCKIBYaqlmkRj9Kgyf0xRIgpBqQTQOYjbL2aHkjIO5u5ZqWNs2uvu4HxE4JZ1dIE1gK5MjNEICtUxTLunpG0cdx361thdfdMZv3b79lW++8t79d6sBRqs4pjI5ILNU0/8/Uf/9bNuW3fdhI805V9h7n3Djy6lf54RGIwMEmgAJkQQYJNOWFWyalMpSybLoWJblYpVhl8sSKZVLKkmm5VJZKouiFewSxRKjiEZsoNHo8LrR3UD3e6/75XfvPWmHtdYMYwz/sC9Kf8D95dxz5ppzjO/382EmcnRDElQ7/ncQAqm5O6ChEwKBE2VtiTkyJyAkAHNhkChIJsRgeDgchAMillopSZAgSB5Ebt8Z7px0aN+/fDQvC1cNLPN0SBLYUZCrN4LHNVgnICQ3QPQYQqntWKISPnaU/UiuYGZ0d3U9Cr/clYCP1ptlXgCt1eIkQqxqxyfC8ctxxLcRECObKSI4uTcDQHMnM0JUa8QhdXy+Ge7e2mzffm/Oy3B2kg9bQCZCVzsiSN0sScfMrRmYNVVEkMeeSLfWkOGoLAM3QiSnIQQCThKevffkB59/8cVnnjtfbVJMEgmQvEEpk4Oreb/uOPI0H5wVEqRN2jxxPpWpahWCbghBpVmTSHOuQhQ7UeK6lNZaPwwlVwIUZlNvqsdDarff55o5ki1HHCCuVyfMYbM6+Yv/43+u79bvP7q49/T9p567F0c5XY+HfJiX/XDSu2LshMhjJLdGTlpaihE41VIOy7xed8/+wAfefe2d995839GZUHPr+m7aTgGlHIoQi8kv/ok/9V/8rf9Cof7N/+Y/++gnP/HRD3z4YBeUrU9daQs4NTfpupZzz8eWmh8dbprrNM/sPm7WrVV3DIJD5OPJe//8ZFiN292hWjPAphq7WFvjKMMw7A77qipdQiFF64dh3PS1tqXMdSkskmupWxUJdc4hBUnsYJc312dnJ1Mpw62TTu38qfuu1mrLcy4152U+7PeSOgQPKZY5o4M5Y4jjKuaWUYSIDABaa612fdJDO+z3f+Yf/4W7T9z923/zv/7iV77ZBymNm9UuDUkidoOhOMbzW3e//63vpURvvf59BvemrtqN/c1+f3Jy/su/8mt9Pzy6uXn+yecA8OrqeoiD10oGQUJjx4Z3bt8yBOLQhQHNLdSlVgnh3e89+u3Pf+XDn/h4WA8UA2pbqoLDZr1qV1d931meRcTcxtNTdVuW0rS5OiPaUbXIEqUzxa7rclYiELYQpGmjgA4Nm6p5NB9TAqaiKgirvpuXvFuKScDUsVo9TAA4bjptupTlfH3C7sCW+ugANWscupDS9eXlYZq0lmWpTZzGpA3mNg+bVavaBV45f/dLv/X6V7+4YonHqyFJrh5XQzeuSgNXXd0+G8duvr78Z/57f/ZP/txPe4X9xQNsPISotYYUKSBFvt7eSAy1aQp9hRpI9vtJEIrVl5996cWXXnr1e9/73S9+uef+n/hn/qn/8j/6G203BQzEoK26NW01xsc2J3djQSTf7q9DCk7GRM08BIHsEiQmqZVNW1m0H4KqpxQRAZmOzpKTk7Pd9ZaZYupbra0qmMVIaObNhIMJlFrNUULgQGYtCiMTWz0ZRMQ4JG30sec//PEPffrievulr3z5tXdeu5keCe4NS7NqzZHAFJAAkcGP8RmkIEhc5sxH6zmJHCP5gFNuBEAILecPffTFH/zsJwHNir/51jtf/9a3XckV1D1bA8Cidvrccz/1539xyTo63rz6vUdvvdexifOmH1uprRUAEiTH6kdsGqIhHuP+ii5yJFeDACO2xzFbAAcgNzsOj5Do2L8ycxRgZhYxVTOjGF3tSJpDQGdA48cyraM6GB3wqO09wvS8NetTt5+LFRuwe+UL3/z4s0/dufv07375K9LHqRYKkVkcDZEAYV4mUwshVjOSo62XXE2QqjZyDsSMTEiiwMS31mcf/sCHX3ruuftnt/uQmFAISMAZcy3WGiF1fRdSt9SSy9Si0Qj97QED72wHAsMQaqu7/Q2zrNYrBxDhGKXUio655HHsg3BeshloM821VRfm7L7d7UqregTMN4VI7tjF4Z//S//86cnp9jB96kc+EcdYyvzg6urN975HAAA27PqzO6e+w9VqCJGGoUt9qrXFIIZOmILEWssCPtwaP/HEx17/9mvvvv42uxgoM11fXw1DV5asVu+c3v3JH/6Zv/dr/yAM9tf+3b/6r/+Vv7qKXWuHRTMHbkuNQZi5ZDyUg7a2HofatLSGCCfr1bLMTDiOAxLNh3lYjahtPuRJtc5zMwchJq61VjR1b60crZxMCKBlaRTx5vpmybOBtaYo6IYnZ6dmPM2NhBSI3Tjy5uzc0DkmBXSmXLObSycSuwD9aCfPjetlWVhwvzuAw263RWvLbq8IuS7NDB2BKNdGxysCwbof//q//dc/9smP/fyf/lM/9fN/9H/xL/1l8IbIRCySllb7YTzs8jvvP7i6Pty9299cb6fdHsyCBEdg4ffff6+0+U/8yT/+y7/ya/fu3CPyYRyhNiafD3sTxhC0uSs9fHBZSivQBECPqeokP/S5H/nMz//o4VE9htIMvDYz1WlbfFqStXyUfzmVkrV680YsXRcBrKmbGTFoa+pweXM1dKvWjBhiJCjo5KnralV3yE1zqUdCk5bWd3HVdXEqmLoCtE5hO08UZFoO6HDv1vm8P7gTMxOF2nQ4G5ZS3nnnrVIbmGttKohDvD6UhWhzfrpo0zav4+qdb339a7/5+dtdyofZI2KfcvV+TBzjkdzZn4+6LOkk/tJf+z/e79fLbh77IKHPrqVWId7OBzMPEFYn64cXF1MpSy3rk5U1XY3rB48u0jpO+yVD68fxJz/3U1/+0u/O++Uv/E//0n/47/z7JETGZmrqQ995mxGdmUouRIERluXgoPM8JfYjUyFPc2nKBBL52BYKLDEJkOWypK4npquH29Y3dwAHcz1SBbQeicVHrIjVpXEXA4ohtqrujsigqta6lEpdYkrmeLI5GTebSN0f+yM/i90f/9ar3/rdV37njXdeb5yLL7GL02ECdFMXDkwM7mZg2saua9aaaV1yN3RNDUHUNHYdC6CrCPddN0/7zcnpxde/XnKu2VarTQODUs1IwS6vbn7j87+2Xm1+7od+jK31qSerbtbAqtZAclzAqjo/xlMgGOZcQohmGmOSgC2rAAAx0RERp3bs1h9RzIHIwYVFa/GKQcSPjAcHMNemCCAS3N3M0Z1QjtdycmQQd3Mzx+Onm46isoixbOvlu/v9VdvfCZJOu83JbrpOKalDqeWIfwN2YDFXQDJHQGq1OYE3Z8HEkZCgKQOs+vGF+89+9KUPvfDsM0PXdSHkXNAAmXkISGToXRCVykgOtp2vgUhZuzt9Oks2wNJ0iCEA5f2k1pCRAwEjIjChUgsUrVkIwkja1FQ3mw06WjF2mqbF1eb5oFqbNURgltT3aPQX/yd/abNeqbYXX35OOX//zbebNhw5JFmW0sW4K3X35ntM3PcSo5zfPjs73XAQVM/TJBKiBFcSEh/h0c3FUx9+ihI8eO1B3SkkHNbDe+88YGFAvL64ee7p5z/1sU99+ZtfvZmu/t3/8N//1/6X/6pasbmEFACwlGagJNj3XS553s8xRlANQkisKFZqxYyCwqhliTFGJAVvc3ZCkSjCRNHcjYFZ1AHMN8PYCEUMw1G4hlGiaUGkZrbbzRxCaxaSHPbLuOnbbiKh9WZ0hdgJEpGwmRkgBzlq3ApjC6IOkHoiWKcwdF2Z5mV/GM9uXe2u5gypG7WKteamgQWw/tiP/fALL37gK7/6pVde+f3b6/XsddlN6I5ueanTPD3x3GlDKIfl4dW7P/ihZ/quM0Bzm3OWFIG0H9I//Pt/5wd+6LPdMPz2r/yGgKmqax36YTYzx67vTk9Ovv3a99U0BqxzpkTCWICvbpZsAhGY0BHDMOByaEs1ghhlvrghdGZyB0aqua5WY6mlliJMWhsCIXkpxdRCDBIIATnQYb+XgAjcasm5igR6DPoCYupCoChVPfUhg9ZWvCmCt5ITcWvFW9kMfdclRyq5dX336PpmrnWuqvupj0GCmGthWUK1FOZWtZSNhIvXXn3l1355TYTeJJGkvjjIqoPQ5eaSUIS82Ydeeuov/8//xTNJpBYDA7IBVK05t34YpO+vr29S5EcXD+KQggC55FZjEIM2bPpFyzLnTDYts7l+4jOf+N63/uD6vYs//U/82b/5H/8nZ+Ot5bAAQKmNrLpqDEwIx8XfbnejrcUQCEmhoRsLszmYM1BrNfZpu9ujQBzCZnNycXmJCMPYH22OgGBmWmpc9W5aah2HtL+eGaHvEkXZ7SZkxmNqPoiqtqak1Byvb26YOl/2t564tdLUlTiV8uEXP/KxD33s+2++9fY7b/3+699+7+E7FIaqC4k3y7UtDhZE1E0YjytbChSOJhXzKHHJOUjIWt9/+Oh3v/zVvosi77/zzoNaDElaad4sIBc1jtIPvdV89f7b3/7qF6frd7UWcwsp5mWOMSIA4hHzRebHAjIaGJjHEItWUzMiBBQ3NzdiOsZ+jlUFM0U4WoNdVY9US3cAsxiCqzOztuNO+WiUdGJGIERorUUODkgofuw6A4K7oroTM6jpVPaf/clPl91c9r6f9vFYDjzihgCM3MzAjYlryW7KTIKIBkGiNWPCRPL0089+7OWPvPjsC4OkyAyuXRRv1g99jMEQjI/f2aa1CXEjq6jUUxXtNml1bwMRiuVOiEqbp9KWHPqILKnramtA6GiBgrkiAQkedoexXzvAce9UamUlU2OmaTpkLWqqrhTDsi+/8At/+t69+9om5rDM+/evL7vNajMOmEStrsY1oqtbH6I3FcbaytWjm8tHl8B4st6s1+P5+bA/7PrVUJYFiTenJ9bKk8892YXh8v1XqtX9spfEZr7s59ilmssPfvIz33/77Wr65W9+4+/+8j/8xZ/+ozlft5opSHBwdUAPXVT32pqbRZHa1E1jCA7mzVyBAxPjMi+11NVm7UhOmHPNTSUKMedp7sauqapBiFERi9aAIXVdaWWeCxK7EyAFSeBEqEG6mqdlMSRalmaWu2GosyIZCSERozQHCbGpFYPqYGpKGESKO7h3q9VmGPe7becWVrEa1mYcBBrEwMLwxFP37z11fveJW2mkd9//9uF6HruYmM1qCiygr3/31W/+/u//1I/+0Lh6ph/AUJGpLI0idLH7xMc+8so3Xnn33Xce/L2/+9STT/3sH/25X/9tV60BvdZsyK2pcNhsThBhNQ6gCuYGXs0A6KQ/efTmo/PTEwYzwN3usN9N3jT0ybONY7/kverRwE1Aj2nsYKatCTM4IpC2CoiMnHNu2ro+kSCJtGa5VkecSwsxUQyGWGpdWrXFDqV6SC6CLFWbuSJAH+OwWWFryELC6pjVtrv9blpyqdZ8TDHFWGNE1LcfXug6kDdb2mBoN9df+Lt/u8slIOVWhnHMFRpz4OhGjh7HHhWff/reX/lX/1ekqsVaMETMuZhhrS0Ocao5hdSdrLY3O4jkTHMt7rY7HMbNcJiX/TJls9j3u+2uqjmBT8ud27ffvN5u7m1+9I/8+G/92hfGsPJifd/P23IkWSKAWVOv8+FQc04DOQACMpKqE0leSuwSC7MIEsY+ovg0L8J8zN64qztKZFVvDnmphBhCIJRx6EotakWrhxgMXAKV3EpZELHr03zIEpO6hcTnt9f7aTduxu3NIW/n2HXo9MzdJ544u//xD31yO9187/uvf/2bX9vO19vdZRdCacXMRcKc6xFUY+6KrUtda1C1Dn1y9rha5da++a1vd30AkNYcSYTYzEJgU533i4zjwzcedh7HofvOH3y3LTWEoK1oMyGea+5CeIxwA0VwdTvi+Ii4teb++AGKCPI4w6MKjn+o9mV0QARmJmBTPaZCjzblY5DIj7YugNaUGZiCgVVQdIgcjmIa9cegKpYIDk1dhJlp2l/326tvf+VLJ+PpB198NiYi8gDUHOyo7nUzdQbE4ykCLMAGQEwpxLv377383EsffOEDJ/3GWw3CXpWZJXRavR+ToSv6kqtEbqUSYeqDalM36bkFPX/mjgcrWupkaDUK3zy60dKQcTgZFZwja20SxM3MTIined/HfrPezIellmLN6lKsGpgzUWu63W5dFcUjB1N56aXn/sQ/9nPvvvPeydl4evv0crdbn50uVas61oqErWjshAnmPMcQFAgpptDnVkVCbfTW2w/eeuutJ564F4XNFLTFECGkanp27/Ynf/iTX/q131FSNTvcHLqYjs95aPiDn/yBf/gbv1zG8h/8x//PZ+/e++jzL3kugVk62u8OsevMTFLcBN5td0IUkKsdN0KuWohRveVlSl0aumi1VNNhvSIJepz4ufYxWK5djNJ17793MZ5txs1QtNWlSoyUQmnGJCGwmaMbOmhpYz9MNXd9NAqHfZtrcYRuSN0QHg8oEWpTJtpNCxHVVkOMDRBDKq6gliiEbrWSOK7Px/XJVA/aPInUkiXY3/h//b8TxuuL64997JMj9kugVuduFWury+Ewl+tnnnzme2+I5uWNR+/He2sgB8QQQogI7vvDcnJ63g2PHjx89PSzz73/4MEyZ3rsR6IYooMTc5Tw8L3358N8tlnLEDO7qSHaJz79oWeevJcPC5kdNZXuGkS6vgtiD1673F3cnJ2uhPkYtJunBR7vzkACu6GZEiEzAoGqglsptZQqQdwJiNVNjeZlcWIRmWs1wNIqpG5XKiKVWkMMQSK7r7oussQUZ/XicHm1PSxL9eaIQwgsMMpgQGUMV4cLX4Ws6rl1Cj3i5/+r/zpm7ZBLy2M/qBoSpxi9OSYcxr6L3e3b6//NX/6XdF6AJMRYmroaIdbWiIS6yGz7XA7zvqlG7rZXNw6o2CDJ9bTfzzNEzLnut0uI4oallMCRQ3jh5Re//MWv/fAf+cmvvvJVWJqD5aW5m7CYluMDyE1rW0rNHQ6EVM3kWHwABBZTcNK83202J7U2QlpKToEBvLZ61MOZHtkSCGBC3Eo91INmlcSKTYKwkzarVp2OzwXPzUBC6HsCrm6HOnutD3fXrWoFHWInIFjQWl7H9aY/Ca3/0HMf385X7z54591Hb33zD76NBBIRfGfQmFQSu3vO6ohOaO5iRAzaDDihxJvLPRwNxITmj40mXYyt1ZiSOqsMGZTiqu13iJSXObIMaahtASRTZeZamrkLBYDHYX1iQuZj4F4QkUX+EBZHePTZIxiAt6MW2Y+ag6MJQFXBYSk5sOBjP7sjuJk7GgIBHSmiykTHr4SaEqK5U8Blmc/vrH7oJz9WDmV7cXj/4cPN+XrJU57UqqUYm1pt3scgDgTorTlZknD7zv0Xn33hAy99oI8DVliPvZXKTCmKE6s2BpIQkJEAirUQeZlzSpGFFFq2lqH047i5fVo5I1BreTOuucnFw4d5msBh6FfmGseUW1VwcjsmTlut4zAIhMuH1wiUushMy5KRUEQON1Orbc4HZy9aCEPk8Gf/3J+5vrrq+z523dV238ADw9AP6g0RgjAhIaAETlHKUhHcFSYvJEd3RxxXm6GLb77x/TfZP/Khj4qEXErfDxJovxzuPnvv5PbZ5cNrZhSm3fUWELrVSCJ96p5/4vnX3nnNx/Z/+w/+7X//r/57FYG0arV+HG52u83JWpSZsdlRAGrkoqbgEHputRGLNm9L0daGYS3I++s9h4DCrRkJHXlH02EKXb/u+7yfrbX+ZAAHy41jALWqLXaclxxF1HXZ5tT15pjdjEQhHmYH4ck0tjKMkR1SJDcUcEVQU2ABDkhYlkJCzjGrk6QQYjeMJ6dnl9tcpwNHZjB1+9wf+9zP/uzPPPv804n7P/fzf0YpSoBp2hm5uz14//1P/vBP/sV/7p/6O//F33HOq/X9w5KbWYgxBqLAr7766ttvv/Mnf+EXKdDvvfLtP/jOd+ZlWfcdNV5KBkcL0sXoDtM8SWRt6mbZWxzS5tbp7fOzZZr6lLg1IGzQTs7WusA8L8sybc5PEkueJnAkhgaGCNYMCQiltOpGSKR65JrrMXDtYBIDEJpRUwViZSzFmHnRVh2AqNRWvYYYm0MILIzrYexT8Nq6LlVvh2V+dHNjCtJJXpoXCAwn/ailFtfvv/Hmu8sVrzdqupZ+pfr5/+q/pP02uBarw9gXdTBHRhGmmBpYIiqW/8X/2b/SD928L2OPBpBrMfW+66STZc7lZl/Yzb0hNILLq4vUpZvtvrSFApaal5yhOgcJq7C9OQBiLaq1Mai29szLL33lS6/8pX/hL/31v/rvDKGzooBASK2Zgx+PKQebpsN60xmYqjZDa6YIquqtSR8Iabffhy5GIQJWdSBDRzCTiEcsPxK4edEKzVhQhJml2VLaLBT/UD2CDl7BHN3Aa6kggMiHmyn0aX/YcmQnP2yvOh73V3OM6Wx1elhyJ3E8Xa034xP3nijt4z/+wz/96PrRV7/+lbffe5tCm+ZJgtRcQsQGzVwNHzMuY4z9BkH99OQcAVw1zyUEak1JBFwJMa26CV0E99dXl48enXNXq0YOCF7KjIyM7Gi1FaIj1tOIKAQ5Et/MDAnBQcD/UBlwbAwjsiACPJ75IBgY/qH+8dhhcPAgQo+51wCEDsZM5mBg1RqBC4XjoQ9AYARMIVJTlySHKf/eV77jRszp4x9+9ta9F775jVcIA7OX0hhicKAKKWDf9fdOb33opQ+8/OKLAQKoSxeWaZbEPcUCSIRWoTY9OVvHGIFs2m2ZWMEw8unJmOuy1OpispHN2Xk6H4tm0yLOiWl/8ehwuZunOXU9svSrMa5SCJRVmUS1ihARN3VXv7q5mrYzIm/OTlrTnDMDa1YE0Naurq4MXTpBxw984IVnnn16e7GLqwhMBtpvVoZW8hJTQDUULLVJIMsI5DGJq7faGpgwjrHLWoPwxfX2zr1nTOuv//pvfvYHfnB9ulmWJcYoKRRtn/jhT3zv1e9O1xOAS+DWLJe6LHMD/aHPfnr3azu9suv58t/4d//N//P/7q88eP0NEVKFrltdX9yM41AN1uenFw8f4TG8dSyssDPz0bBMJEGklmbmWpWd61ybqsTQWhmGwZEON/sm5A4Bh3JR4tBL3xsgBmnmphoD15YlcMfJ3GPocm3GpCKK3NRUvSMu0GJC4wDmPTEAH3msrWpzdxQF3+Wy6seSS6tlHGDox2WaY2BrjdGJuaq+/t3v/erf/Xzi7qknbl8elnk5lLIAU6t6ull/66vf/Pw/+LXz1emy5JKNJTIzHrmNQMPQV6tff+WVNAzPP/f8G299r+v6WmtECzEYkyGu12tEePedd4XJXUOQm2V2dLrc61z7GIMIqnEibnjx4HKMGAZh7perpbiGodNqquqILAxoZursx1q9mwLB8cZKiA5otXKIqtBMHampUQyG0Kw1Q2BxYRCOKZVmidlqPV/3q76bp2UYh2nJV9vppsxGgEhlaVjsJI3JMVQQkSbw+f/s8098+IUNBDfrV/Gr/+gfwtWjDhzASUJtJqlrVYHJHa3V1ckIAf7Zv/Dnn3366VqMg+TSDoccxm486W4ub/oYc54lxn4Y3rt4tFqP7731dn8yzq14IFUAcRYmw9oaIBXPqUu77QHI1dGBKMq9Z5947913PfjTzz559dajyKFQQ3BhMlPzhkjAsNtu7z95u7UKdMRbahLOqv3YhS7WZoBobjF1FCjnSZBjZK3lMdfAUES0Peb1M2HWalqPHtzjAlLd3ZnQhaiYSZdEApJsNme7/XxzdQ2kaeDaaj0UidB3pJ6vb96r6qt1PDnvl0xEvN+hNXjx6ZefuPPMXMrN/voP/uD33n3/rYNv3et886hbp9ZA+gRa21zu3LtfW/WO3n/n/Rj4qGAnpv08cR8xxo985sO3PvoxmNvhjdd/51tfr9MOA2lz8mOTCxqqO3ShKyVXNyZUUz8ilfGxoxgJRLURsQSB4wIOCdABnBCJCQC0GQsjQTNlZkT0pu7WjoEhcyZhEfQjQFSBHImPxYGjVOFxEcDdtDHxej1ePHwUpPvwxz4MphH7FHtTJcQkgUAI8Pmnnvvwyx944bnn1pLqvLipEFIIrn46jDWX3c01IK37FQAOt06AcWpFAFLXWTMgYqFDPVAkHCisQlz3kOBQDzFKx6nNZdoe6pyn3f4otFqdDtKJHq2S8Fh7666mCgZBxNVOTzcGGGJc9kuelnW/KZqZUa1tp52xFa3i8uf//D+ed7MkAsTtYY7rdGRj8JG4DQzmHNhAzaCPfZ6WlBIy1KrQqbHX0hBj1w+73TSsus98+rO//cUvfugjHzo/PUOEbujyUjZ3Ny+8/OJvfu83Ouh3+8Pm5KSpupu1Ot1M92/de/e9d4d+/dXvfOX/8//7z3/uxz9X5/0Yet8td8/Od9MuSdrv9uvT1XJYalnMWtfFkgsQcpDUh1atFiPBru/6vl/ycrJZu4N6Q+pvbrYgxGjetLVWyPrT9bzdca4QA6WEREFIBKlGOyI+nEptBrTUVgxn8DD04FAczLFMxYkigxzZI86I4O04NXdAXBpwhSDBmuamJycngdDAYyd5ymr+3qMHFPjuk7d+8JMf+50v/2q5XFrNHFjdY0pN6+np6Xdf/97LL7306quP3n3/kYSkagh+/G1er1ef+uQnf/uLr0y5fPxjn7hb7zN+Q1FJGA1q1QLt5PRkc7LZb3cnZydymJfDQoH60/GlD7/4kz/9I6U2duqEcqvmllKSYCIy7/YUI0pVbdiFOqkxOVNMQU21ujU9uvAkCJqFEFX1D50a7OrHu5maIyDHUKsaEDI3BY7B3RPzet33cZOEammr1fBwt52qXU6H5sqBS84MfKfvN2kgR1Cdy/LmW9+jVnpynJYXn33i1//W396/+f2TwKglpmjOFSlXBUIXdy/dMGr0D334hc997nNtKYF5HPvDzR6ZDH1uJXQhl5xWcZfn9/c33Wp44+23nfFyt1VXA+BIuTbT1gwcuDWvRZmJkCiwm0+HpQvxsCzPf+DF733j937m5//of/Lv/Uc9HUWkFkRqOdqOzUz3h4PEUObcxUCuRHw0iQOCqjbVcTUWq9tpLwGPB11RR3BQDYGR0LSBgwhD89rMHMDM3FnI8cj0IUeUIDVXBDEtij7tSqs1Z009cuTD9oI5IJND3u/n2HfgcnK2kZgub957+tnn33v3EbO4gwTuQ7+O65NlE1k+86lPq5eb60cXV+9JL289vLh49OjkvBtXXa6F2W9urlfDZl4O7qTm6k6pw6EzZJa4vdyfd6s3v/u6t5pSdEcL3nIVEkAorZrqsQ0u8BiJ31ojEnQkJnAHACFmdyAigKN9TJlZqwI5ADBzcDT3YxzIzFqrTMTCak5ALNRaZXw8QwAABCcCe0zqBw6IpABohghkZlfXj5584fS5Z59fjf3p2fjic09+51tf7vuOIDx9/6nnn3v+5Q98gJWIVK3Mh11kIeEUoiloK551vtkTUbeO42qo3ihYNePIpq15C8IUqULDKJnqcHudTlNzAzQ21KbuoLXur7dlzoEZhSQJp+O/0kCBAEnQTAnZvEoUbdaqnm6G7e6QYrycHg19l5e55spgTna5vSnWRMLzTz1/987t64sbSTGX2p0N2HGtVUI8LsBTN1gzc3OiVsv+8uGdze08V5bAAWPsrne7vos5l8CEQWqxm7z/xKc/8/Wv/O7tO7c+9elPTdvter3ZXk2f+dEf+vx/++vTditR3nv4sI+9ujqYGW6G8XSzvlquJQ1/47/+mx/94EfP+nG7vT5NJ0e0ay01dVKaSgocoOVqTUVEQU2NkISp1Bwo7W+2p6enY98ddjchBkfMuZycjM5YW8s5d11wpjIvJFKWrKXGZtgFDkmrEjMCSExNAShK5La4snQhLe6I4gRALtzta4nVUogATizghkAO7dhLDGlo7lpaq01dV6vVfDjEGFhiF4K15bXf/1701XxVX7j34vVNZokdkWOZD4fV6eY73/7uj/7Un/zp9ebN197SZtWsGwdmBq9M4kiM4fuvvQFKblCLbrc7REoxtHkWCn0/tJaJqJZiYNur7Rp8tRqWuixzffu1d7cPtuP5rUBimpmIAJa89Cm6eQhpc7rZkxBiLkWNHHJMrEXVFfg4d3VTc1QSQiYhUjN1VfBmhsJuQMIOQMwRyYiBJQAgYz90gSkFjjHOh9mBHl5fXeeyK9USDZSm7WEV4ihxrdwZFK+GhlDf/v3vxDKvyXU+fPUf/aP9a6+uo2gtAEqGTgCIpm7ucewLKCVUK//KX/4XLFsgJoDD9eGwP0iSMfQ5l/12F3suc2kGHOPN4UBd2s8H7iWlbn9YiumSFZFKQ0SSIJtxVZaqoAjgQpKiEZbaTs9OTk5PY4FbT97ZPbhyM3Q7JhUd3Im06n4+uKOZExGxkRy1jJBzRa3SRQUzBPWWUgcUa8lCHARN0QCgPda4mzUhYeZaKxwZvt6gwVF7dxSLoICgogAB9glBp8jgZnXOQyetLSY859yPfWmH09Mzo8OhzOuz4f3337m5nohCGPnkTu8M2tStjn1MQe7effo7S/7gp58eT9a7ql/84peeevbelHfff/P7m9P11fs3DCIcG6mBIlPOtUxl2KzfeeeytyGcwVvff6uTVJeMyEhMzKUuiRIDc2BURyB3MNPjqAYREEGbHh0tR8eLt9qEmRAVkAidiAK6WmutqCLh8TovEpgFEUzdmhpqkBAkMhEBHRcVQqCqRMJ0bF6gG5grHIdOCm3Jdbebri7n6/ls6F9+4aX6k5978t7985NzcpoOByzl+LJIMQXqWi6tVi0tBF6tx4u3H4ZAad1zF+MY2HmqMwK6VxbikAi8oFXUuAp37tzd6jRBcVV2t6pj38/b6f0337NcE8syzePZOnapW/UgGI60UQp1zhTZEAiJSS4uHs65vPf+++uT05yXVpou2lFoZs3aYTk4OxK23H70J34slwJMS66ViNkRHZzcTYsq2GFe3G1puesTAp6sT4motMqOuZW4SRKjAipoLZUYyDGJ7K+ufuRHf/zLv/ul3/7ib/3IZ3/46uJR363nqa3PT2+W67LU6m0VWatatdYaIz55797Fa9fIAaL9W//3f+v/8r/9pYBxKlPiAIZuVmYNY2pNpY+tqSu4t9AFRjb12rTrOyTuU5r2eyc7PT2Z5wWFYloVUHOnnrphKKXkXJFj7ILPjYQVTHN2Bu4lLwWDqCl3oZkCUhNbWllckaO7A0FkcW5aGxHtltwHITPTkrqg1dERkI6EWVfkGNQshSCEoA2M3Vro+LM/8dmf+eM/9/STz/ni95998ZVXvsrk4xi6bjhM08vPPXd1efm7v/u1n/ixn1zscPf+U69848vmFoVV1dGHsd/ud5/54c/cffrJYPLw4aPddBgTh8AIVEpu1u7evVNrfePNt07ON7jbN9Pm7fzW2c/8zE88+dTdQ3MzJfBS9TDPJ6drIQVwFjb3frMxVyihH1bbi8sUREvdbXf4GKULiCaRwSHn6uYhBTomrs3Q2dQ4igOYHcntkFKUEJBhGCSxlFzmeaKQLi6vt0suSM00iSy7/UnqTlPfo/BcIFcWuJm2d5+6X1vuBa/ffPP9N9+ZHz3oJWhZQh9BwlyrO2FQAybumkLcJED83B/5mSH1+WaHzOCccz67tYl9euvtdx3B2edqJbddnrjviqqaAbEbXD66OipDAUk6QVMOWIrW7UFrk8Db3UFSIJJSS5fiNO1Xp5vdw8sPffKjX/j7vzJ2sS3HOTm5KyKYt7nMpRQJbKZw5AczciAO7OQhyH7ejyfjuBqqZuEQOyKgWmZja2rkTk7mx+IGAhsRoYBmfOzzMyPio4xWouRSS567fkWByzKDe0oM3rwVwWDsFgyCMEHxg1A0xf1UL96Zz87uXN7sbt+9s1tucl7u3L0bOlqtBwFG97PNyem4evutd/eL3Vqfd2EYVuM7b7y3fbR/9pnn3n7zbauFBVF4ypUksIQ4rN+9uMKadpdX6M3MmaWpMSAoJI4EBGgAqFYAUVhAvDZlfgxxMT82klGI0A0QHJCOUCBAqFoFudUmkY+LkbwsxKhHuKg5M6MgC1nVY860eqPjl0C4OTRT88d7bW3GJMySUoRqvYTl6nDno2ebW0/evffEnXH1g5/6VETZXl6X2oIjZCNCBNS5SeB+6KeDaassCRGP8JmmxgTIQMhkSMLmDkwAaGjAsFqvLeLCOXUxl6q5IBCqXz+4mK4PwVEBtNbNyZhWQ7/qgRFZVGuzGkKIScxBm3cxUQUmGoeeQ+DI02HSWgOHVgqhGdF2t29q4ETAn/rUp0upwNKs3nni9iJV3dwVMJoaBcwlExOzgCOgq9vSqkhCECKYDiUNEQGdHIGQsFVlMwrhnfcevvzBj7z62u9/45Wvffxjn5gOCzH97B/76X/7//rvrLpNSkMDbaaqbZ4PhpZiDCEuOhPF2esv/Zv/p1/6X/+flmVytWHsTW037z231EUj4B4RSwMzczrinoSYsTY1VyHkEPOy+JHJ19FmXFUEihy61LDVZs2sFvVkN7s9NiJiLUyRNutVBViUzDGDaWsHtUIEQYBBc0Oj1io7EDEQVIeW5wahk6BKABgpGOHcCiATQqvKEsbVyIR9Eq8FzSDrl3/9S29/9wqMf/aP/XGSKKGLCU0bAjNCnvP3v/sGEaotXRcpiIgguak6IwFN80G9ff3r33z4q7/+T/+T/8N+6MZxsDKzkKmBE4CvVisAJxIHNG3m3PX9/mq6fOemTsopBgmtmKGJyH5/xZ0c7VDaQJtyoG4Y0eFOigy4vbpcERLQPOdcJyeM/TBPs4Rormp+NPkhEQJJJGR2pxjkiBU+PVlHkepaVA/zQUI04HcfXs4AC+BS2yDRl3Z3GNciSQFbCeRhNWxxJgtTPlxdXtWcH735JuUpYo2BEYKTVDU3JCZHFBaOUc0TdgH5T//ZP1EO8+npmFje+957t+6cNs3LYV6dDFPJpbXtfheCrE5WF/vd+uTkZn+Yp+q5oEMMAgK5qrbibnluxEEN56mlDru+b622Oo99qsvcJVndPbu4eu8jn/34r/2DX0ESgAZkxKTNmoME3l1dDFFqzhI65JYtB2ZEVy9u1pykR4qe28IBtzc3m3EgJnUDQAcQQnACdQI0LSmlZS6qxsyKAEHcSd2QAAjMmrBQiJJSq8XBkY7cAnUzN2dJ3To1heFsVFum3VSbpLROqcutxj7OedLQxtujStuX3e1nzg6Xu8uLd7Xu513ddEnnOZ2e1AZzronX9+9vnn7h/huvv/HbX/wdiFi9NcrDOOydTp974VOf/OjVgV//nS9oXqQWcBCU4/xfm2KgYsoU0KhZZeQgTOgEhHj83IEDEKIcZe/mhtbcQM3IjZkQIQRRsGaNWEKQXIuIAEAIEY8/EzN1JyIkFIzAYgCHZRESc3K3vkuEiJzqnBldKvSh/9AHP/jU3ae7bhj63ubpnUeXaMs6DZ3IkrXvO2QEZ1PtumBm+/1Bra7OegCSVdrgJk+lNI1dag4lFzBqphjEwIo1TJ42nSfiXho2WzIBrvvesuZpOVxs61IsFyY+HszSRUqsYK1mJhbpCMg9A7lXbLmUQ1nycu/+k7WZNS1LFmFyLLUSsqltd/u8LCx0//69s5PTt954m2MaNmsFEA6EVmpRtRBS8wZ0lPEYuCOyG6Bgs8rsIu5N2aE164duyYubMzGwWKuMNOX84nMf+IM/+Obbb71z986TpZWXXnphtR7KYZn3y8zJ3Ye+CzHkmsFo7Pu2X+ayVAdI8K//9X/j//Av/6t1e2isWnXsh1Lz/mrbjUPXR4hh2kIrRd2Q0drx0YYpijY3MweiQEi43x0G4bDqTVAjcjcSQE8MAF3fb6/32uDq6mqe8+Eqr5QVEbqu1cYxzLU6C1NA5lwaoxzHuyKMTLk1I2QEMSC1EIApEIl6c3ME4yBGdKyI57ykOIqgNgC3lz/4wo/99B997vmXP/SRD33lS79BQG5aqzZvAHxzs/1Tn/m4A/6t/+/fvvvUrfmJO8hUSk4CCGEYV6WUe3fvv/fgIMyttWVZyrIEQlVFp+OwYBzXpbRlzg2hBwC3Cn5+++5P/vRPiDAINVUU9lzNNMZACOg+9qO3BuF4Z4+MtL28RsE09Cg8jut+Lie3oea2v7khkVyyNhURM4tdJx3krEBUW0t913VBYoghkKtbVdWqDhIPuV1P86TQEKxCdIzNhhh68BGx5SzCYGBu5l6X8t7Vpc6HYMvlW293XSA3VeuGoToQcBSYywIigdFzPj0/B5TP/OCnT1abNk3Vknld3z6tDvvDIfTpjXffHddrBeMUDOzBowuM8frm5vp6vzk7IeJlP4lja9pKPVYXieUobR1Xg6oiHYdCAQFSiIGwtiYpjuN456k7u+9dMnqQ0ABcG4JrayUvSNZK9RgdzNGaoYERAglJIiPAxGBWraU+Orq7EqOrMXOZGoIzIQubagwy7xZk8iNtzB3cWZCcTBuwqzaCUJbZtAnhcbOFSGZAQgCgpSIRgTLxbpmH1fn11WWI50tdqtkQh27TG9s0z47t9KzfPXqQlwOjz8uBOCJBWXIT2i37D3/yQ0PfHQ43Fw8ub9++U6zd5P2ts9PDomQmIW0fXTUfl2nXxwjL0lSZrDQNRExi5oGDARKLkLt7zoUYa6sC6OohCjG5uRzZy8d9mzD7EUb+h5M1Rj6OlR1RJBASGJgf9+QAx2ZFkFobEqE3ALTmEDGJCFIA8Wophju3T5576pmn7t6/tTkBc6v67P3bjw4zkZ2drbdXs7e5k0R9CiLTPKfQpRQATFulhBI7GiIQ+Ug5txasH4cGaq25AwduVYk89EERu03oNr2CTmUBMHLwarnNWtqDt98TR5sKAwZBiNEYpeud2AGCCJDnsvSxkxDM3MiZmABiCqUWNxz6/vrBJai3UmKQPDcAaK0YGQI898wz3pqZ9V1YWu7Tyo/hNIQgrKaqisRImGJqrUkMdBzyErlrjGHOJc+zGsYYhQIJYjOtBoyO0MzmUl564aWvffVrP/ljpwywvdyebFYX0+U0T9QBcdhud8M4zNOy6AJVk0Rg2G/3i+h33//eX/sP/q1/7V/63988eG/dd6iwTJmJ0MxqBQwUuxTD/uJqHHogb62SgrIjADq20lq1Ma66vmu1Wc7rzVkjNMDmCmoxpdkyj6HlcufpO/M+T9NycXkd4gCKlQAcU9cZ0X6pKYowHmFSRtgc0BAoegMk8Opu2nccRBxMQLg+dhZJikC4OtlIF5u1kEIkYPDAdPneA1bGpsfunkhApnZoFPnOrdtf+NXfcsFf/NO/8Ku/+Y8uLy7NbBi6tszHnVgptRuGj/3As6U2Jj5Mu2O8ChsQ4ZC6Wpf1uHr3nXf7oQ/kuF3M7fT89oMHl7vdhIBCZIStgiEe6bYgAA5aGwF0qWchBNCmfd8jARMOqxUCq+PJuH7nrfeHzdqbxTznJccUteq81NT3JCgpIgsRSgjECE0BwbUSUorhYjvtcykUgKAsRdw7IrF2IpEM2YEiC5Er5NrmeW45j4EfvPGG6UxetFoa1i7d3NQdc8mdcGRBjnlehn5NDrW2n/7Jn8Lmq81Yc1aiWjWlRDFe7w5pGC9vblanq1pqEB7G3piA6OQU53nuew5RWq3mEJhIeH+17/sYU+w55v1C6POSuzENqbu5uiIHkd7RY9dX0+dffuFb71y7atN6nEWDuSuqWWnNCf3oBCQBgFYVzBGoI0IwNauqMXGuxRyBUYK4g9XmcMSOAQUhb9N2N6YOhOdcoR6HbXYMSToRSTjiW9CdBYJQMSSS2goKhdDNi0okCdAFOexz5L7WBuIF9sbpzhNPeMCGQEDzsutH2t083N1cjCnOh4MLknB/KuRwtd93Q1yth3k6LId5iL128O6jB/efe+bRtLOWb52ubFnyxaOTu2L7Ry1Xqy3FqEWJ0EwRvYFJHHbzoWfR6oSQYiytHCdAdlzWErnpYx9xCCLMACAiIQQzA4RWG7gHkSMMH+AYjQI1bW7qhkyGoGbCAuaEjAaRIxuTUaTYUfrsJ37wj/345372x3/mBz748SdP73YUTtfr22fn+/0kgOOQTs76Z5576ljZZ8FlnlfDkALl/TwfJiIopYYurm+fdmcdDDTeOaMxVTcABiIMpAg8pPFsjR0P50N/0u+X3Xa6YQJBKnMRInLcProOSOJIDjUvZl6bjidrTMxdNIKlFVXvu1VVraZFaxA2tdba3ft3iWlzttnvdvNhYWIwP1oqnLTUvCw5L/XZp59tuYWUqmkcUvW2lBxCACRVc0MkilGONw5waK2ZgRDiUcADlQiYmICWZZnnxczM7FgAAeLqjhIU+MUXX/qdL32RgqS+e+7F5xRUAs95ymVhkXnJDqCqJVcCFpJxPVY34Pit733n//Gf/vXx9HQqpUILA8cQ85ItN0YQppTi3fv3VK01TSmGFKzZcT/UdSmFqLnVZWHCvJ+n3cSATLhar7qxA8aQorqGTnJd4hDObm1un98CU13miCxAmqvlsuo7aBaEmzV1JxYnNkem47MczKypT0sp6lXBHGJItbVSai3VHJq2aZ45BAA3tGWevvm7X//2V7427a5OT4b1ui9LPhJqHbyUOuflhZeeM2390Jn63Xv3y5JrrTGGEMN+f3j2xee//srv/b2//Q8Ou51q3e12am4G5k7MTbWU9vRTT19dbh+8/c715TU4dkN/fXNz/sT5p3/4E00VAdzUWgvEgWk1DClFESEkBhJmN9BitSgxC0vfDzF13dD1w2iIaexD12GQ1enq9NbpsBoNoV8Nw3pYrVddl8a+i4G15mU6ALiIONKc625/yLkQdwihFoscxpA6pHsnJx3z6Wpc6sJ9CKuUc7l8+NBKWQV5+Mb3VxGDGTsAgLM09AYIRF2MYJaXpWkTkS4mVTs9O3nyqXutFnBflmWapnEzPri+2Le8m+dcy2qzOi48ajFtfnQkIkEQcndA6Pskgla1lRoSD6u+LLlOi+YSWVbjKCy76x0jAXEuqoibW2dLq08881RpOUQKMdjjQDp1qTM1N4hdUDgOrfCIB+MYOHBVBURikhSKKglJEkcvLSMhIksIhm7gTVvzFruYcy45i5MYEciqHwRJtYYU9ocJ+DgtaeDq4G56DNczSM7KFETE3Usu87QQSa05dOzikNTELXBpxzScnpyNDx88SAljR+tNHzkuOTco0HnD9vSLT0CyszuntVYwOz9Zv/js02PfbTZnsRsOuyUO43p9Mj96f3r3PUYMIU3z0kzRHBHUVK2ZWgrR9HGXq7YKAK02VTuWIEwdgKSVo8LXAOh41qhqjKG1Rkx+FOLVZujCxyKGCQsB1lagUZBYck1djARM7KbAXoveu//EJz768ZdfeIGaelNCIPOhT93Q9UOn1hw5N2yml9eXp5v1nJc+pBjDar1e9vO8n8xMoiDh6fnm9O55S16WorOt+hMZOhQjFCdERons4sUydA6M28Pe3frYlXlOEiKHw3baX1z70mqeSW3sek2BkgybVRw7A1dvSMAOzOCmQYJ5AyBkRMWl1P2b7/bDiEjzYUEDq02bgioSFqtzmUIUQNpsTqbDPqZUySQFYgR1cA9BDvM89mt3UGtEjETMdoykuaODggAQSGQgP0rNiGSellXXS2QvVlsLXbfkEhDuPvn0u+8++PrXvv7xD338mWee+S37QnMr6iigCABIzM1sGMYCxdUR0Rxqq8387//W55d5+ct/8V++evD+EIKjt+aqsH94fevu+TQvfR+lC2XJVVsgMbdlziLMhLWZNSeGeXvoVqMvbX+xW9+/5U2JsWpLEkMStRa6wMDztIxj18e0O8y7wyTMm9UIrZVaQ5CijQgMXFsDJmJs7kRgCuBU3RdTbKXjQP+dVIOZY1Xrx/Xm7DSksN9eQWtUlk//+Gd++uc+9+LLH92cbVKXYt8Dcm0zMUPT64ureTffuX3rV3/l8+t1X5ZCyAyoqgbt5Pb5e+89OLt1fuf+UGsdx+HW+a15dwDXIGLmFOj43r/Zb1/+8Id0WXR7qabr9fri0eV+u3vq3pOuRu6J0c1aLkSNhcBVkNEdzAgQnBgpMRs0IVB1ByfBoiYhABEzMTpEYxYAQmQkdEMkcNNWqjgIsZa6TNmJl2LqkFI/Fbdc1iFpa/kw3bp9EpO0eT7sr1OSovmwP/iSzzYrJRs24au/+Za3xb0ws4wrCMxBcm5B2FTJIHahAQQRBzDwZ154OqbgpqYtRIldfHR9OayHR9dXmEQizdPSdTEvue+Gqi2GuOz3pkaIrRZXU6/AwMQNVAKrtsSCDhLdzXItxshAKaS5Lg0MnRzFke4+cR/FQcHcwaFVIyBhmqcZEHMpQZjBzRqhOKCqkWBtlTg2VWc2tRQJCRmYkMzMTI89Vmas2pjRDWKI0zxF4c16fbXb7tsBoQ3DcFjyZnM6L9mgEhkRA6oEbq0iCrHUWqIkAzew3LKbAR3ZamhMcdVl1/12Xm2GmotW3T66LtOcRLSUIOKEC7SGCkRhlKJZzbW1Oc/9unv+uWdXp6u3txdf+86rJZfV2cl4dsaA9eadkSLWpdaWuq6VikTgziy1NoCGDkd/ovtjeTUd69KEAHjEa1JMAQFbVSI6XvxrqSIBEZkZANDM9QjfVSI8Wh5VWwhRmL3ZEDtWoGowlxHDp17+2J/6mT/+p372H3vi1j1y6PsUOKyH1b27d0426+OX2ZGq2X6aDof58mIrIa7PTyWlnPPN9dU8z8C4Oh1DH6STzflmKvNufyi1zMt0c7NtapISMhloGAg6L7y0WIfTgQIgOCF4a6s0WDadW9nnNhfQFphS6gxxKdWZObGkQFGcwI8GXwAAqK0aODoKUC1tWZbQhZACAZZ50aba2hGd2lzNLNeiBgB0+85tBzT3kKII7/Z7CZJbrVXX65NDPjgqBSIhPf6vqCGCmzE7gjdVAy+11lr/kMaKDtDasVbVDEyJlmo3+/n5lz709rsPLq6vX3jpxaptqbVYu77ZTfMyL9nRcy5OQMxR4unmNFJgx+oWhuF3vvXKf/if/0fr2+dLrSDAgQRp1XXL/pCIwDwNXTd0BjAv+bg3cwJVMzV092IRhR3Kfso3ez3MUJQc0f2xJx1BXR11GBKRmS+bMSYyz0s7HBICm7k2Qgc8irUdEMxJG5qhAlaz6tgMc/NDbiCMhO6UW1tyWXI29+1235qtTzbnt85Ewhuvvf3r/+C3vvG732q5jOOYS2mqpkZEMcbW9P6Td3/0x3+kLOXq0fU07Y9RaKLjScFm8PFPfZSjfOPr37x7954E2e0PambuaraU2q9WJ0/ef/9i+52vfHu3Paj7drstrfzIH/mB+0/fbargZrUFd6wamAkRwcCMAAgJ3MmJgIIEVzueRCJyvNGKMDH3w9gPY+y6YVw1VQnUDSHGQOjk7qUm4sTMBqgOimpM0pFEB9LqTAytWc7np2t0b6VoUyZmA1xavtx2QCuierPDUr74hV9vNQMCsoQuSYwGiETNKzIQIzhp0dB1cy3GdvfZp4CoX0VAOOz2uWQWfu/9hw08t3osFtXWur4HYgR895131KqaIkCXIhKkLpIwCRFiYJnnRbXt54OJFS3MNIQkzLU1ZEbhJWdVM8DVyZqCUGAHlyBCwsBWtcwFiKZ5OfojkdCs0fFvWTh0KaSEJGpGRE1tyUVNzV2bAjgxspA7ADgJLznnkm+d3+r6WLUyESMBYW0VkWqrx+VUTMmxuSshMAsJlZJDiESYJBKTmROjqgOwKorEEKMzkOA8z9pqYtpeXBMAEjSrDZoLcBBkQsbzO2f3n3kyRKnanICE1Kq73j7ZnAw9mhHBssz73WF3cWl5QXVhQgcJodaMiGoWJICZlmyuzdpSF3WTkIgEj0bgZkcIrZRcjuCkaZr61LNIaaqqZubgDk5ABOCIRy27NQU4aoCNjcFAAFfd+NzTz7z8wgv3zu9pK0WblXL79hlTANOz2ytvrdXGFBpiQ3XDpVaMCQmh4v5QjGQqhREpUCNAooPX1A1AmKFVb+ZWcyWiQAwM8zL3Q9f3UbEd5v35vVNIstS9uRGjq3cc8z5ba7vLbSslEqGBuyGaGvTrwRnD0FFiZ0fEIAEe9+U8ciitAHprbZnmk/PT1TiC47ybay2MWGp1xSjk2ppqazUIMdNmM+Zl8b4jCgbe9x0QLkt2cBBJaXRszQzRGQmgESK4Na21lK7vYowAtLQKaAoWA1ctu3l3dnJi3kKUOc9Dv5pzW1pjhntPP/3Og/c/+vyHQ0yZcpkX4e4wzyGEk/Oz8m4GPm71ERVOx/Ucgk0wl7pO8e9/4ZfB7S/84//sxYN3e4rDOFiD/bTPcx5lUPOQOiK6yTeJCBByKYE4BAGEro9zLXk7jWcni9Z8uWNmciWGMhcmYiZv5uYSRFbE6Hkq52djY3lwte+HDgQnMzNgxGoNjJCJBKyaoxOiOzWH3BwQUag2IAKJgV0AILCM65VEWUomot129/yzTzz77FMvffiDn/iRTxjBsN6EfpBE5mWZcrcennrmmS/8xm9f/t3LT3z8048u3x26cTWMUSJATSke5nm9Xv/e3/v96+3hzp3b11fb3W6/Gkb0as2JuIvpulVO/dTykx94gb2KtrtP3bXN+t03H1w92q6fPnHT4xebHifqUJCI1V371DsfJweAZixHoQ44ABF7NUAgRn/sEfSaC5NARAC01oKwqzmCmVpVYmJk0wIkbiiSrnc3DhJTWvIS+0Bgmit10c27KJa17JZb4xrnOl3cgJU3X31tnvcGtbqPq40hmQHH4AboSsxWK5Ajc/MGRGHsz+7cmmvuY2ilDOs+l/Lg4pqHVN2aqiOGGMxst98jyjRPZ2fnZuatNKuKAExVHRAFSRWQuE891dL345wLM4dATduRQ9zcUmArLszFmowrFK65uVqUgFjVVECODb5jjYnAKQSzgowIIEEkhArKSGYN0UQYqvLjrz55M9UGDkiIjnDclzI1r3OpgWTJeXXSV8dSa0xdrbU6ICIBu5khCCKgH41Y6ioi6oUJlmUBEwR2JwAOIbl7iGQYlsM+JIFc2jwzA4iDkCKWqseUQat669bKmw6r4bV3Xg0plCnnpeR5OX/67sWjB3fvnNJqHfu+H+n333wzEVueTJs5kMiR2olCxwREl5I2J/QYEyCUViiKAABiECYBNBQiNgNQjzECEREhcSkFkVi4lByCILg/XgMABQIDa00kstH9e/c+/bFPn44nm34cUqhzJsC21OGkv3v7NhKVJS/zBNoIqLZqTNxJqz5uNrHvQxArmZgkyf5wCI7DOJhBbmV9vnGmFGOltuQao0iINZfcllUaN3dX6u1qe0W9d6fBuB3mg3oN3AViAp52k2atSxYHbW5FD7vdZjUuc+EhSZQ0dsPJypkUTFsDsMDsx0yraYrJtEKDJc8d9lc3N2fr0/3uQIBA6IjI2Ewdjrw4M/O+S1odgCRGZEZCYVFvIqLmDqbmKEebMQqTNUK3nuNc2tB3qGBLyWqSEosw8e6wT0MMknJekEFrFeLWmsRo5iHwrXt3/+Cr33jxyRfv3L13fflabeqgQxdV9TBN/dgd9jck3AUp0yLMHXfiE0Wu7pL6v/8bv7penfzZn/2T+0dXS85gzkhmtr3ark5HJsYQzm+dT4dDNw773Y6Y8Xi7abULYoDbi6s0du0wL0IJVv3pStUgksSguQECMx69J0C+LAt3sYtUDjvoU9dFrQ5CzbyZNa3gx7IhuTszOqICGOBcSiIkxBAYwNG9lqrNCGm1Gl0XN3/0/vVbrz7Y7+kHPvsTyBaHoTYLkd0BwPJS3nnr7Y9+9Kl+lc7PT+d8nWK8fHShrRKBkQ1jX1UB4VM/+KnVevXK117JU655EYIGJgSO0I9jjN3Fo+3loxtr8/1bYxjG9y+2t1f37z59f86lG4faaozJwJuqe2sNoBVEaS4MoVoRjCzMzLnMBAyMaopMrdQYpLXGSOretMYYuSEBVstEaM0QEN2OZG8gFonNoZUFDfqhv7je9cJmrUshsTC4qPcc4FDKdkoO0Q2aVTdSff0PXgdHNacoh2lar04AyczUDRCaehRR9a5LTTV2XRg7TjGthv1+mwIQ0n6es9kqcGJph1lVzX3JOXXJAEfptVVV62LAGEttrSk4CrCWJUpSgy4GBL/Z7SsaIGIBcEhpLKUSsKq5Owk0axIjyREVfnTqgrkjE6DXWvu+b7Wuhn6ZKgrO0yJJljx3J4OqMTgzgQGaifDxcutaj49POIrLCQ2QIy+HgsJLnnlcYQBFWIoiQrX6WDiuVtU5CLgfC82pCw42dAkQzK01AyJkNsNmgB3347jNk9XFmkUGdi+5BAKzqhVYeo6xanZERwshpCi77Q1LQOKlHO7eu3WyXu9utttX30D3Wyfj9995sIK2mw7LzWWsdUyxNaitCZO6O0MpNcbARKrWtDVX4RBCIEMErNrcIQgyspkJE7m7GTBzKYuquDsSHscUR2CQ1gbCR2yoCNdcm7dS80c+8uEf/vQP4+x97Pu+91oRkRjPb51eXm1vbq6DhHE9pGGz3e7qkokkhNgWK7nlqV29d52G3i0jtpc/+IG6LNjwUBZMoT8Z4xjUrFlRVRECgD4NgULPwatfXlyAuDMwizWY5xnAh9SxyGF/YKDjZWG3vanLAcEQ+M6du7Xk1XmfsbYAIG6MHLiWyowpBgKAYzGE3Uy11ijpmE578sk7Nw928+EgQMucQwgOeBzgOjRt9YjWU7WGKoxI5O7atGhRU6ZAiLm0QMJ8NASVEFhUusSxG/I8McrqdPXwYtd3w1JzzktKQViONO9IREFUfdkf0rBeSg6hDzENq7G08tRTT37326/2Q6cNABXRy7KAe21FSPLUVmOPTktuiXuMmFtxMwj2N/7Wf37Y7f6ZP/NP3jy8WIUu9jHntj4/ubx8NKwGIAhdlFK22924GlupS86roWPkWhuxjF0yUMtWbvYxBYihP+nnXMCxjyHnYlrNTJIAYUCYc0YErzprDbxhwJprJ8mYqzk6gHtrHiQey+SqkF1TkClnYZbACEh4tCw5uOcla11CDM984Om+73/0Z348rIasFvseo3CM+WBE0lrjID/xcz/2xFP3vvCrXwQ3Ee6HjonNijoJhZjSM88/+8pXvg7ov/iP/eIfvPqNEAIDBMCmBs0atG4Yrm+uJdLm7Dwv0+Wj7fr26enpeej60gqyrzfDsiuAHEIkpBhMALWoo+6nedWvyaHYFDBwFDUIIbZ5yaX2MS05jyldXVymoQuemGU/7QT5D0e6RsRB4pz3ElI1b7W5AWpzWFR9HNJu2QXhLrKodSHqdm9Vcakpu1dd8sQEpdUHF48Oh0m6VIo6YD+uWaSZmwEQNWjgJiilZcwZuQNB6eLidb9M0ZQNdpc7SEGSXN3cjOsx9tHASqulldTHwKxLyctEQCwIjVkJnIKEtrRVSNVzaeZzaa10Kbq3cRjanNUtt5mCWNXW1MxrKSFIa8dkGAgHd2cmI1NX4uMfXWYMh2l/pGaGFFBAUmDCiMJR6lJdKwECQa0WQlBtTPyHeBxUNwrBATBxtipDWGAJY6TIXhDovxObA2q1GliOE1wSqdrGk/F6e3X3/M48LwAeg+ymwtJhYI9s7LGPrZbji6BOezE1AkJ2ACdHIWBWa7m0YUgicnqyefftB6gemGuph2kaxrFAfeLOGfT9+NLTzdrr339jBBCkZZolEIIv8wRALJxSArBaK4sQcS+hqZVSHTCmKCzIdHz0iIi4uYGTMAsjJnMlIlMz9dQlM9FSU0oNnASrtSnPtVZXK1a/+c1vfvIjn7o1ng1pOF2tD/ubgu3h+1ddnzbrPjGXUphWc13287TZjC1bzrlWlxS6vmMkBNQmSz202oy8G9IqrRsb9zzlbKaJhJmP0zhvaNWrLs1UUfu+80Cc0BiqZiKoTbVVBipzScgP33/YytwHqbVKSlPJRNRaU7GhD+PpGhirNiAGRHNoTU0LssQY85S7LtWp5pzPzm5dX9xcPbxMEq2pOTTVkhu4t2NRlQkIWEJI4bBdksGxToVEoB5TVPWcl64bDRzNjxVpNIssy3733nvv3Ll9a7fPRHByOlzeXHfj6B6cpZYcWQ7TxOPAQkwU/lAzrWqo0PfD9c3VBz7w0n/7936ZMOTaKkEKkRgNzN1ZWJcCfhSkGqEM44rzYdpOhrQ+O//NL3+x74Y//TN/Mu8mBjey3W4vIZbS+j65Qzf2iFjygu6MVEol0pCCg5s5oAthLXW6uJmn6Ynu6SFFQj7kuY9cSmOE6hZTdCB1CIQdB8gl5yWk3pysOQU6zi6amggDuikAADi6ugs2gMhRHb02tIbqZ6ebsR9Wq95NLt998Pp33kKUZuPZ2dNPPPvUsNksS5Uj9Aqx74Y6l7/2S3/t1hPn5+d3iJt98CPTYTJzQKilOLibL/tpe729dff8/NbpkQSHTBSJHapb3/fbm5tcl3v3z+ebfUyJArOIq++3h5P1xq1MZTaFAqrqBtpABUECA9BqXCEAGBBybQ2JEKlWNXWwx9veZZqPP3NtzVSjiObGiMhhzgcmV3cOoao2NSdvrYlIMWeSJRcB6JixVVus1KbbqZNkhxKBzGy1Hm8OuwcPHxXy83t3DvsZw5F3Se5EBKBKdESwu5szEQIzsbnF9dAIsum47qftdl6yEFRrHAQQhWmeFjAYxv7IURi7gbq+zQXAW1Fw7kOqBabD4f75aSkCbS/CE1qz1q/GwzRRqzF0zoG7UOdSaiYkAkU0IhARZT6uTNUVEACgaQuBkQTAQ4jmzc2AwcHVtWhVRAZgJjXsUlwOhySptYpI2tTNCRFMMVBplQkd3MAkUFM3a8tUJCRzUzcJ7N4QLYRYW0PEEOOyVAkyzdPtW7emeV9r45ByVomxmCtjv+qzlXY0j3LSVlGb1hyYSEKxlrpUagWmUqyZrzcbYZrmmRzBvJMA6nVpp5uzq/fe/JX/5h9eHraX1zccSJd6a+w5BqvNgN2NACXIkSUeAis8HnYdx/nExweBigREAnBVcwA5TvYfWykAtFrqoukxAqdmqqbEyEGmPKlb1bqfDwgQKVxM1997+/u3PnTrsN+X7cFdry4vTja3YodnJydlv5zePru5vgnrLsSw5OIVkGO/SikGU5in/WYcEXmIo8Ruc+vcq0sItZX9bupj74jgjuoxCQHlXK2VTNa0cne8mAQTb62IBLeK7loaovedXLz9KAAiobXGSK4txrDd7mSI3RjdLEQxaxQDIcLxFkBIzkfBPQVG5v10/cSTT9aiu6ttZJnnqTUjRjWtrUQRQkCinBdEV62tqsRAxIhMgE2VibU1N1RrzSozHzNH4MZC+bD77je/cevs9Nknbn/vtXf31zdBG4DXUojksCxd36HarbNTbVmYHUCEpnkfQ9dqCyFsTjf7y5tOQh9CziCEbqaqy1zn+WBguRRhPixLZwEACLgVDRCGrkcKZZqy1b/7K39vOcz/oz/3T189epQiE1Hshu12u5sOm5N1qY1i6Jjm/a5fJSttnjMghHQ0xXJZMgAhNs31ta99u9/0q7OTfj0m4gjkjDFgUyzeNmO3tJa1DYGtKqhC5IrWrNxar5rjrmRvjgQSo5qb+eP0gfBSqkMdJLLECDxfXk/7Q6tLYO/67vTO6bMvPvfhj3/q1r1b2/3EIXV9P266tq21lFLy088+8+c/9/NX++31xXa/XN3c3ABC7FNZFEEJ8b233z0/O/9n/8I//cb3v/+7v/Pl9997HxFiijXPQBSidF2KIewuH24fPPSmm/VYaru52n3kBz4eY5wO03rV1aa5ZkiCTOCIzACKx5ylY7PmCoIB/HF7qCmYATLnpqVqa42ZWlM392bojs2NTKvL0ehjaqDNdW5NODrF0vRgTdGbqQByNqpWb6bEIRhx1uBMjo6urVztDzSmMu05Df144lzcvC6125A6oJirC4kjMGIuNQ2dgxk0Z3jv4uKtRw91NUKZh76r3lpTFzT3UrWWmkK0ZjUvMbJb62LngHhcIhoPw+rv/KN/9M1vfutPfe6PPf/CU2OH+2W3mM5WQoAYkwAKY3WflhkcW60InqfcxU5bXaaF1YKISBDKBRsT5JqrFtXKwkRoAGpqcPz9CVUVowC4mgI4Iox9v8xFiB0dBRlATSVwe4x6BnUNMTRVPMpOFZGRnB2x1BIDqWkpTkxqrkslDrnWYRgOh8PYDcvhSrhrrZJEcz/ozDRWtUhRiKf99Uk4Mee5ttAHJ0kxmBkiNW2AKCnOS16tBgIQIlJHZDQwbeh2/fCCmuXtzXz5KAhbrZd7QsLqHlNozVLXj6tV1w+ElpdCRDHEnCshVavEjxMHgKDawI6reiJwBMAY41EGE1No2pCImUuuxBw6ya3u5/2c591hu9SFI5pbGvthM/zqr/7Kg8tHDl6tbHf7e/fvnZyNJ5tNy7ZM+b33HlBkZ4hdCqFbb9a37p6tVn1eci6HYQxzXrLpXPTi+noc16W2nDM040bL9gCqqupmdSmH/TQdDsQkY+rPhm6TZAyNNLfigGYuLKAeQ7Si+5tDK821udq8n6f91LRVbeNm5CjEYdysWcQcam1ASCwSYjM3dGLKy4zueVliSFeXl+vV6oXnn89zUXWt9fiFAMKmutTF3cDc1VurrsYo7lC15VpzzbUWAEdBJm6laqvuZtoIQYhf+cqXn3zy3vmt9fdefTWl+Pr3X69aQwgsXFobhsGqBpFSiqm3VmOQeZm7mJq2I9tP+sCRu64fhq5PERwcsGhVsmJNItdaMJATHrFiEiVGQcVNv37y3r1nn36m71chdV/4ym//p3/rPxtPNw2g1pbzHGMILPvtYez7GFhdJaV5yUYQu2Dgy5JjCEjUpZQi65L7EEaKseJysX3w6vff+tar73/3je17j5aLyx6od16FMAQeovSBE0A77PN2H4kRHB3UWiA6lja0KjiwkyBPZS6toZAaqIEZNNWY0vmt867rjreTVvQLv/I73/7aH0SJHOTeE3d3u13JTZuySBf6r3/lG6+9+vrP//wf/6mf/qlv/97vp647OTvZz3MDG7sB1M5vnX/3O9/50pe+1HXdc88/G4OIcKlFWNTMEVKXSpkJtB+79cnK3FKXxnFYltL3XYox5wIOwzCkEIQ4SWA8RsexqQICUwgcmYiYkYiQAciBmlrOrTVtZrWqlgbqQnT0MqEhAR5xQSyy1GLmIaaltV1e5qYKLCGu+6ED7oBCtg2nAWjkuL88WNMYQurT9XbXyJWR+nD2xN2P/eAPPE6zt+qux02om0FzITbVFGNgdlViHk/Xcy1xNTSCBtZcQxc58Pnts5C41MJCMQZhjEHWw+i15SWrGTrWWs2tae3H8QMvvHx6fs7M2/3eBauqdGGa53mZ1XTJ2dxCkJvtTYwxBiY3ApgOh1qLu6ODmxOhaXUCQwXy2oqTY0AnM7BmLXbBATiSsx+3GkhgqqVWRDxMewCz1ogI3GupUYIQpZRSlwCBmI8NkGOixtwdLAQmghhZWJgEjEPoEHkYxtpK4NCaxq4zc+Yw11LRbt276wiOgIxa2+lmM+2va8lRYrVaQGPfMSIRlmahT1kLh3DsGC2HSZhTDFqriLRWry8vrVUBFHDxRtZaybUsWktdllZqnqeri4urywtm7rsE4McKqloDP/bZQPjI/CdmPobsRZiaqSkcHwvMrKWFJMTMSIpWrEztAI5NmwSupUpMm/OBiVppDey733919fInN2N30g+bs02ZDjfX2xTicDIUt2oeGqzG1TLPiHZzdTEdSuSYYty+fwhx8C5QkGnJcrMveXEiJOyiUAql5tDHXCoaAdnZrRNzXXApVhNyacXRCcFMKcgRbsTE7NzmQ2TZXd6MXbp1ftbcFXFpjYWY0Ug49dIPiGZmTOSgCBJCBDACFgnW6ubk9I2r12+d3nrr1TcON/s+Dl3X7eZspk2VBd1MF4VqzAhueZmXZUnr1TLlmAYmfkzmBii1mEE3rB0M3GIMCSWQ3Do7U21EXdf33/j2d87v3GkGw9hPcwFCdwtBzDUgtVrVtdR6dnJ2c3MIMZnpvMwEupSlc7Hq6KhqQAgMUaTWqqyInnPpYsLIvlRXhepYeDX0d/qzfb1+7hOfev317+5udr/+27+RhP/cL/zZ60dXgdgcmmNaheurbb8a+nFl2vbNj+YIbQqGZS4gHKOUWrqxd7Aogmp9SBQiIKBQzaZe33nw+6FP1o9ptQopdRQCpbBMjUO2GiVNeW7IiAJggIbCDtgM1C1Ix8RMgclLq4Ckte5udgDorlEkHxZk+MgnP3h+a0XW+r47TIezO2dq7eilVrYf+Oynv/nNb/7SX/mlru+feOb+cx949vO/vI+pc8tuOnb97mb33AvPff5XfvNrX/naP/nf/x9sNuuyLI/LPki16ubkxMwury4BvZY2pI5EChETL8uMhn0fUNEbLEvWpRjWEJzAUhJmAsDHLnKAoyqvVVXAWlozNVVEVHNicnVUADBoDdDN3ZEVrGpr2d3B3HPRqsB92h+yhERM8/XNCjmASSsDBV/yvCv9kMaxFwrvXz6a3TzQ5eV1f/f0ej78wp/7M69999vzfmdqWhfkGIjcEUwJWbV5M3AjhMPu4IiXNzsMQgxCMU/FDTHQ++8/PBpbA4uDuppri4jKcigVWMz//zz9+betW3rXhz3dnPN911q7Pc3tq7m3WnWlDgkJCaFIYAmEhGRMRgjySDAmdCMmThwcHJLYMWMYYpzEMEZwABsQjUESbRAIECAkIdRUqSlJ1auqVLfuufe0e++11vu+c86nyQ/vLt8/4Nx91l5nzvl8n+/383XIEkDTPH/t13xAPFHEUrKv8BgAALybSURBVG/SVixbm3qfY2kVtkAe23HTA1vVkgshBEaEs+PN0+swICQAD3c3FeEV+wwEZcxEYKZAZOEotLQ2lHHdwzmYCIc6QDAhBGw3G+oAid0dEXMSCGOmro2I+tJIONDDCcLdgZlYqLUJEAXYA0wNkVtVc0hFRJJGb3Mreai9Yx44oTFpuCPWFfTapsG3p9vTq0cPt0U2m7OKEAjNmjqmIbsw5aRozVuAa9NEpK0DOKCZtSdPn+wPh95bKXI83IQrJ2EmRpEk5JSzdA0I78tCTEwUtspcxAwrkD8QWuuppEBEIAcXD2cmdYs1LWBGa0+3KRAsrS597qZJBANd/WR7WsZRhJ49e4YAjOnX3vjMt37DN6NpOD58/NiXOgwDZJmWujk/pSSb7cbDmtl+nq37btgIiXc7PzsbT86fHadxO5gud+/e+dTTR+Nul4WXWlutaUhLW1Bw3A4A0Lx3bzGCUA70WG93xkSURebjLERXT66tVgjUbhfnF9N0VIxu5oFuuiw6np1IySfnp80s0ANWvyvU1oiYhNVBUCB6b50cJPPu9KTOKmNuy2Kx8h2pa3c3ygSElDgItOnjp49fvXvn6TR54d2YEbGUMs3TbjfOVVmoNhMkbVrJCe29X/JFH/rgz8xLDcBF+3tefD7vTqoZBrJwNyvCANjNWIiEVK0ui7YegK0pg7suqWSYPeWEiCmnuddU8qwtmDyMmKObmbl5aw0NsdvpZvPeV1/bDeX+B774G3/L13/mVz/zA9//dx89eOtDH/6FMPtt3/rtdaqrwRnQt9tt770325xu0m6oN4echAElS1u6hOOY2DgJaTi457GsxGlJKRCBQLCMsmne52M7Hh/Kbou5XN67kzIcetc2O7TNcLoYKLmujecIbqsabRDiZFObt7lsygBdUfhtr75CCbdl+/ThG0PKRPL519986aW3p8KcJWJMKW3y5jBNyNncPve5zz//0tvP715e3Vy/74ve72abzRgE7qCthhkRutkHvuzLNPS55++7e2uNEYJQUnbmy3t333r4YFrmhL7ZbsDDMDjL/efv7k62883SVcmxUBKC3TiqQhZHNULSpqtusIbyAAKJ3aOrqyoSaW9lSCsDER0YAex21FQDD1MIc6jWmdMyN0NyAG12POzv5mF5dj2YoxszbkXs+rDhnE9GTomIl7kvapgZmS7vXeyev2jgL7744rd9x3f8zb/2V4Y8hmopY3MgQEcwcGJCxFqXlDfChATd9NHDp+PFRhI6BhCZq1rfbrdZBMzdlMJVFbomTjnzos0tjAxCCYkRlrYIRrWlemtuwIhu5xenY8kwT/MyzUiQqVkjC9Lu2k82Zx/5zGeEiJwkkS6VkIiACUU4wFNJsGJGMTyUhT1csqh2GYbAMFVCSDkv+wNYQAvGtCxLEmEiMxtS2e8PlLib5TyoGSG5eRIBWAEQvhkGCFU100BKQJREQFW700o2IlZ3TikQ1d0RNqfbY69iVDhXnIVpur4ppUjitcUsU8mpaOtIMLeZE0lKZjYtMxKMm/HR9U3Oabcb6zI9efww3Kyru4W3XFJXA4OciZmFyNQAsNfWS9uenCBCRJgqMQYQAKobRKzlLBBhqghEZhYewrz2TwAGZ661du1Lm+d59lAitNCmVVK6uLjTWz8c9imLq21PNzfL1dXN06VOFnVaFgMwwKl1lrzb7Rjl4cO3Pvdrn1uqnpye3bm4GErKhS4uz5LQ8ebZkHCZDg/fevDs6eNxm5vW2pZxky/vX+Yx5U3anW0CtLap2xzkvau68prxDyOBANfWmUBrxbVDOAkSNtfN9qSbUWIREpEyljzksslqnRlTFqbV+oqbcVzXjiUVROxmV9fX29Oz8fTk5LmLl9//9ouX71ARC0uZI6LXbl84NXrXuiwa+sbDNwPJLfrcvYeQmMe4HT1ctc/LZNGDAhIbgbMYlfd/4Ktxe1JZvvxrf30ax2mewowZGGLIEhCB6IgsBXB9FHuWAgEIgISuDh61LqtW7h7EPG7G1lXXmSiIEN10JdIQYhZ55YUXdnn38nMvfflXfCVzee097/lj/6f/9B3veO3pk6tPfPIT//pf/qucMgKcbDdae2Ip49C7L7MySx5GNbtFZRFC2LKfw3w5zKgO6xQmYgbL3MNJVzBsEWTe7XZ3zs9LuO/3Tz79aZ4OL985u7sZNt65HRhbeGNCIIQIoiCMxBnRVyU03NwagVNEWxYwPe7323HTrGvo1c3NUmspm+NhSiQU2JdKTKvvbXd28nXf+HX/+//jf/yd3/HbGejpoydlLEtdwqHkzESu8dnPfParv+arfttv/62/8gu//OZbDyMcEIAQCYFoHDe73e79X/JFZ/cuO/aKutfpwaM3zs8uvK9GNQyH3npCSoGCxMSExLA2csMaZI7ANWLjgKpOxNo1JUEANIi+mgtc3buHAhhCh+ge3TyQFnVgliFTSmF+Nox6dTV2GyC2iQekaG2TB9BA9ZPdprX29Nk1EHChPMjdFy7v37vztlderPP0jb/xm770yz4QaIgQ5hARAECkYZwTEIZFKLhj7yYinCUNGRDXnzYw8pDzWLT3W+Z713EsCGC9a+8B0C2COQQV7bjMFmoQINzJa+tgNpSEbt6WkgQRWNgBXCGLRKhq3Y2bj//yRxlxncYAIQDcQrtCoIer6WrtdAxKYuCb0w0ippKQMEsCROIVaE8r3621JeeECN0rC+7316XkxDKUwbwzE0BwIiIC8ABtfYKwNTbIRKWknEsYrhR6ogCPkkZBqa2jUB6yDOLRW68QDmqFpfcFwogAmCWX7uruqhphUoSEJIlad/CuLRiWthAjIbj7dDw+ffosINQ7RIgwIWZOQxkQULt6OAGiByNFuDDmnBADca34RYBYTTdMtHLe1gScpJzNrbfOwoig1jGQhI5TBXQgRyQLXY7zvfv3Ly/uvv7Z14mYBThjytmsP362P+p0fvl8q/P9V+4u1wsGnVyemvmDh2/tp/295++/67WX59qtL/1QMyd1e/joCZqXYaPLpGCbTUa2+8/fO9zsOQIxrvfPMGHKSbV5eB6SBwQDJ1brS11IkAC9GWNqfcHAZVm8aqj31hjI3Garm21x9+nmICWVzZYTn16ccmIUatpJiIXAoHdlJgLqfWHh3W43HY5tbtMyueCyLEMZtue7w5PrZb8EBhEGwlJnYh7HwcOB6MMf+cj/7Ld8e+yvc+I21U0pERAGLEgAppqHwTQcrSQxiAZQTk6ey+/YlPHx9dORBg8UYkac6kLhJNx7a7YQnxBIbVNmJJQAD3MHqLWfb3aHvgckQMMwwmhL2x9u1BQJVZU8OKdmjYjCcbM9efU9r909vXz3u98x7MbN2bb1qhp/5D/5I//87//Tf/YPfugnP/Qzd+7eee+733U81ECYpmMInpyeqHdz58S57Npc3ZwYA9wRUxLrEA6ZSOcFckZhYlGMNJYAkgEpJwdYpjml7OaZab65Pk77zeXdd734/OtXV6GKnKrWXIZA0tX5DmCq4T6MiTFw7QdjYEr/y+/93p/74E8TGCKeXpy//Oo7vvorfh1KPjnB8/Oz7XYzXT1rtYkkFHz01uPv+0t/9VOf/NWnjx/dHK/jq75mPi6AnEsK6wHx7ve/642rt/7C//cvvvy2l1554RVEKMPYW/WAMO9s959//r1f/N7/4k/+30M7Bz54/cFbzx5Xsne9+92ut3n7lMR7X8MKbsqUKGdAcFv7cSmAAoBJAqJ3VesCzAjurh6qnQLCVkd+eKCq9/Db2KZZWzoELF3tuCByOy4YTmbbMmSEUQibMVCog8J2t7t+fF1bU1cak0LnTX7ti9759OYmD1nBMOAP/9H/7R/+g/8b7k01ZNwmxqVV671LEgydKzCrc9TurT9+/PjeJhv0pC5J6lQVXa9vduMAgKbOTLmU/TSJJK3Kg7gjExKRO9aoDMkWq9Fky2RIjll4XmoUCbRU0qRWl1ZyIuF5qtuyOVzvnzx4xBYRUbslYl9brrKMmxIYqaRQWyVvEEg5O6BDDDlPrXNaXYQwLzUhAVKoE5J7bDfjs+uZkDab3VxnCx/LJgBa7SIS7s27cIqAnAcSubm+2m62DtFMIZyYT7anS5sBTBCbWVctw1C72pBOL85nvf0m1DbtJHlv1vqw2RGxB242m+7dw0lS1S5ZLKwusxa2sDIM14fJXLeb05Lk5uZ6XiYkiPDaK6CrOUZGpPBAIgZWUxYhwmWanpidX5x1tQhnRDeNdZSBteKS1r+gu0u3taOBRVJEMEbzpm02VO0dhcLAIp5/4aWc0uc+/2uSmRFFZKl1yEPr3SE++/pn3/7SK8OuMNKzJ1ebYTMd99o9CO7fu3/v7nM3+2OgE4a5zd1q70x8enZmCtbbMKRA1q6AWGNhQ0Qou0IDB6wvWGIhIZp0DkADA/DEybpjAGWIcHQK9WWetsOmbLfLtORU1ggrIQ7bYS3d3m4GSdxMmVPK4uEAiIQEWFtDjJxT7z2QrZuUZBHhcXKxBSc1M7sNQouQUXAIC212Y8rSLD79+mdupoO7m7bj9TKe3kspq9V5P5tqyiMBJBE1lUQOAMLHXmvXqgcpQ9cgZmYxtyGVAA+HRJzzKQBaAKeiDgIQcfszkCRTur6eHNitIjpR1OnGvbdWx3FYzajEeFyWnIrO/e5zzwPxS6+99OK7XzC0jh65qC3E/dt+22+uU/3xf/HPf/JnfiJMv/iLvnipFSw4MTI4QAQGABIAYe+2FkMDYq2WhmLeCam3anCr1yJl4qRmEByEkVlkZ71tN2U+HhMIWizPnizTcmd3miGue2egUDAuFkgEiWiNW3rE0hoPAyK6O4V9zdd83bd967cu077WdnJ5dr0cm6oR7I+Hs7PTMaVn88zEK7X2be94OwF96hMfD4jjfPPiSy984uMf3m53dZqyEKf0xptvPXjjjd7653/t9d2wvXPn8urpFQQIswMS8OnF6c08EUdOol03d06//NWXgTEgtHUEQEaMICT1nlMCLKqaGNVtrZVCBEI2MHPX3rsaE+vcIdzMkKDXzkThzkxNHQgAU50XZ6IkalM3T4xoDt1E0klJCHYybHeSvLZ+XDY5Y0TbLxcnd7o7p/Hqzcdlt9lrl4ty8fLd/XQ8PT87zNO4G2ubReT97//iT37iE0KkdSGR5MDI0XsAjiWFOSss+8N4fvLwrcfvf/crGZn3SywqlNS1VkVqu2Ekgb60fpg4AjIio7bGAAMn7QrhTG6+SJazcfvseCOAwMm6E3OH6HXJuazzVoR2RWIZKX/6wx/XuQ40BGjTngcJjjTkpfav+tqvyoOQAAuJYF+slHx9PFzsRmRuqiTk4etEcr7d7Z9ekzs6EGczvTke87Bx06U3CDjZnByPEzMnZgeACBGCMBJUi2XWs93duU6cJDDUalPLzgBGIao9pYRE3T0P5cbraqWNcCSUxBBBjiRynPfjbkuU1ZyFA4gpmTUghgCMwIha54wntVVEqFrHNDw9XnfXbt2i73bb474TQABqUxT0aOoeyOYeBq5m6k+6Bthue5KG0poCmge0pW1Pdmt8lRndQcIMGUXIQx1g0cXDeu9OgYwrCP7FF1/S3q+vr4UThFMiAEycTcMNIuDho4eBri084OzifNpP83GBhO95/xflkq72N4bWu4EZBZjp5Z3LCNoflyRpWVrrnRLNbT69PAOhCM9Djoz2BbYMEporIiNT0yYiSVKEC0suaT4uDHT17BkB7jbb/c0hpxwOZooYTFhynuaDpCGVXLZDVc3b0sMRybp1VSR2c0BkYQ8TJnZkonG7szAepC09YUKmcJcsvTcA6K0iBpFvtsXNAenYpo9+8qOvvvpa1XkYN8u8cCrMLIjDdudB07QgD8OmWARhHI5TTulkd7LUioxh7uHzsgy5BFjvHuARlEjq2h/YgzkHurZKCOFhXTcX2+O8IPEqSQH7flma9jzkNQqAiao1SgTu5PDyiy88/9zzL7ztheF8u+jijN2NEhQZnfS3ftdve/e73vFDf+cHf+Gjv3Dn3t2TzVZbH3BEREMrwxASXbsjEFNXTYxtaZIKR5RhqEsbhqx+q1+HGYAjgwcAIxJRIUfrGuP2JKke5zkQtfbqz4ZhGDbjdbM5dDEolNXd0JKI9YbAWSQgSIicsftxfyPR63xYeofCS2hKxdFTTn2p52e7w26zv7re7/ecBAl/9/d+7+/8Xd/z8Y/96htvvf7Od7709/7O3269EnkzuzrcvPXmwy/60i/51m//tgevv/Gpj336c5/7bG+9ZOlqSIQUzz1/rxQWEVVrXVMp++WQUqLAwomRWu8ugYA5Z+saiEzITL12ERaM3i0sAFHVu5qFqWkCUlVC1KqJBAiCqZs7YgSqdm0dGOc29daimRDtRIbdibube7iRKwYSxlCSa2/Hti2bAETCZ0+vdudnN9Oczje7u7vd+Ul1NVD3bp0IcD8ffse/+z3/9Z/+f3gooQjSLaIqbgu9EZGaL4/3u5deuL6+qq2rJOs6Uo6uMiLjME0zBpTEZl4yC0s17eFIlJhNtfbW+m3o2hHm42JVaYUXg+MgQDGrchrUXSPOzzbLYXaHkod/+6//TQbRaqG22WxU3SLIgzO/411vT4Mwo8411FKieZlSKRZo7hk5l+RuEYERyzyHOwGmknqDACjjaGoWysQRULUbBoPknLt2pzX07maQ8tB7X5oSF3ONMMm4KxvVBsjuEUGrP1fBe5+H3bhWV65sMTMDR7QAj91250imfn7n4o03H4AzB6SSFu1EGO7C7GZlmx0DmShL135zuIqIpS7E2HtzRRJ2hyFlB7ttL4BAovVpCODaqqpZ9zzkVAbJGQKFaXV7IqJa3NKJgUDDu/e5HasuwCYJzZqZAsXz919sS9sf9w6+4hjDLCyEkzADEku+ORyACQGYSEim68NmHF97xzufvvn4Ix/+2NWjp95MJLeunNK9+/emZb6+OZAUGYbN2fl4ekqp+OqGNs3boYMpWlAgoao5RGtaW211IcQAb9rWW7ouFSLm/TzmghFufnpyysLb3aYMZRjG09Oz2uru7JSS9LAyDrlkQFi1sJV4AeAiEggrCaIui7C88eANMycWCOyqx+OxLou5hzkxu9k6Q7Vad9vt5mQACmD40R//V+OmaO9CeLi6saqgQUHgkUQSi7ZeWyOU3o0A3XxZFuvae3c3U137eSKAcN11Qbi3pUW4pGxuahYYAd5b16abzebB6w/cwsLUvZo1t+4Wsu4bwcPneRHCLHzn5OSL3vXanTvn91+8v7ROWZzCQ3MWDKDMXuxLvvJLf9fv+d7zu+c/+q9/pC5LEkL3PrdMHO4opODqnsYSAIEMCK0tba7eNCFb05JLuDOS9t7nZYU7AyEnQva0HWUzxFhoGMp2J6XknKB3nQ8x3Tx/Mjx/Mm6sZp2TVewVoTNHqLp2cCMMxkgJL+9cpJRSGQM4bza5jL3343Epks/PTsdcpsMEiGdnFznneW7/7X/z//62b/mt/58/++d+9Ef+5c/8xAcv71wmkiLFg9M4/Ibf9A0f+8jH/8Kf/4uf+7XPHfY3banb3RYB174aUqWpSq0wLSni4nTXah3yBoFLGgrlQfJQSgSqamudiInII7oaIJqpR4BDVzW1ta9bu1rvZo2RwICAwKAural5BDCqu5tTBDbbkGw5nQ3D5W6zK1zItgkLwi6ngZgRIWIoQ3QI9ZIKOMw3h9OT3WFZaMi8SScX5wScJe2v90Sk3dCAI+7fvfOed7/bXcNMaxPiLMLIphHuGABqD37106LoZr/2+oPqgFzUIQttcmpt3l1sNyejRVDmFnazLB0hEk2t7nu9medOIJtRSebuRojEpWRhKEyDsIfOdQFILmThaSjdfTpOA+WrNx7/2sc+M/BAgBAIRL0rp4yD/IZv+YbLe3cNHJm7ajPtpjmnIQ/CstltKImvi1AzImJgBu5da20AHgBqCuiIsbLZAaJwQXBzdVcRJEGgQIpbahDidJxzGlIuANi0966+BtYBjtNsiCFMWXIZDMIAgNC1CwA7eu8psQM4wJPDs+vjAYglp6YNiJDI3dVtlekBQsFwEMi8nw6PnzyqWlNJQKC9ezgEljLYevDfSgKBEIR4ux6NgPBa6/76pk4zeKwYN1dblxzuCgikZgGOjE1r65UE1L2pAkJgPP/ci6p9fzggUOZMvJqiOGV2iwjSph6xn/eA5I7DME77aaWdPH7zkRCd7nZ3Tu9RiGR5+e2vaNh+mlpvJ7ttKdkJIAMmTpsybEdKNGyH5p0Ycf303IKohytad2MRc4vwMIBY2/Lw6smV1jrvj2vnV52WMKitIWCr9er6GRAcW+WSnnv5BczsBBZBxElSEuG1tMWUGVa37G6367W9+PxLEdFa69qZGRzcLeUEq/2MmYnGISHH5d0LuHX5wcc/+SuP3nqw226mZT+O0uaGTkMeAVC1AQQCIVCtDZECkJBW7ZgQAYBFwuM4Hedlbr2pGiAubSk5gwGYDomRgkuqvSGgALXD/PCNB9qaO1jEzXRceg/w3ppqIwZHy6OAqc/t3e94pwSen520uqCAYxh62uTezAMAUHJaQO+98sLv/vd/72vve/fPffjnltqWpda6LIcZNNpShyGPu60DpqHMS1PznAtGTIcjALSu2pWAICJzwkBrmrOEufUuTA4emRZVLGnFowXgbrctnKLr8emTmG9eONueJjoZcVeItBXBxLAtCXqH1kN7b217OrJwLkmyhIHQah1UMBMiYWImM0cCSnJytnv1tdfSmN568uZHP/LLn/3sZ4UTgbelpiEj4IMHD54+e/K5z77+8x/6+dpqGYZW27JUEkopFZTz7Ql1I3MBaEs9Oz1BiFBrS1XT1lpfWpgjIiKudsXbPOUqMgYExPpYc3MEZCYI6F17axFOhEhYhpGZU0qHm4P15q2NSc63m52k+yenJymnCHYXB2EYikBEYgpVCO+L1mO9f+fubnMyL8ui87HtYUxa4s4rzxm7urZWh1yEOXNi5jt37iTh7/ru70QGRIhwiEDA8DA3dzfTMYle748P3tpQ/uzrD6bwilS7uTYBGFPS3mpttbWlN0dwjGNdqpsnUo7ZdNJ6Pe2XXpde51bnpaorrvw7gt6bu11cnqc0qgcnnpfWer13dvkj//Afbyjb3MhxyENfNI1jDJzPd1/9m75eJahwd82bUkomZpHcluamAWFg6hoRZciAsNTqHiLs7m5GhBhhqikJC1kEAgWE2mr08Wa9tY4IzOygFmZmZRgAKSJyTojEidca+gBMqQSiQoAIAJl5bbW1miUJMwUmzhEwjAOXtDu9UA8kVjfJYuBB6BgWthLua6vqHozAiEhXT6/CrfeKgKpWcvEIxMCV0wnIwohrj4a5u3VVVSJMTOFxPByePnnipkysvftqDyWKCOJCCno4HMxVMrXWtHVzzzK+/eXXpuPxuOxFUEjAw5pD4Bc6A7KGkhAgXl1fBwYJi4gFiKTe23a3bdOSRQ77w1IXIjxOU21trsvl5UWgOjTzlgbJJzKcpPGkXB2e5iFRQcrETAGwkhUDA5kDIQ95TDmR5Cxmukzz4WY/pAwOJadxM5yc7DhxkJehcOZUMiUadptht027YTzdBmMACDMRMqO7eUQSYaaSMiFE+PFwrHP7xCc+fgu5bTodJ0LSrvNxYqbaau+VCAEh5bQ73YxjyUXWpoUf/uEfPj07Y8LEPB2m6VDdoC2diYUJzHutplaXWoaBkxChai85gUeYm/pYxpxyYlnH8HX3gAhrE542q3NNia+ePduO25unz+ZlAaIe0cKq9tvwCwAgBDom9LBEiQLe9eqr0Py5+3dFRE09DMl7reOYV0SBlJRPx91zJ+mkfPf//H9xcf/OG289mKYZw+thasc5E3tXyTzVxQh44FSkaQcAAjKNxLlVVXVGyZJCAz1CvbC0qVJgHrOi8yA9jIckQ4bERhhIRFRSwt59Ol4M+VRoi7bB2AmOBLAsW2ZUozBENewGiox5I11rImT3guC99nlZkWG7k52aS8qqfpiOr736rufuPf+O1177/X/o983ThA4p8bJUZPrFn/vwO1979T/9z/6T7/jO79Del2UO91IKAIZ5TrkMo1sIy1BydCezMachZaZAdAcz6x4GuAJCVv7fba+vmn3BUuJtadYVLEiDDAQJEIARGGNlk3jMh+P5djeQbIaUyMkatMnmQwIT98Jipt1sfziaawDOcysy6qQJS5vt8YMnoaFgHW2h9uL7XpFtnntzAgs/LpO5LbWNmy0EAcfZxdnb3vYOc2XCQPfQnDmnhGs2TPsI9PEP/oI0NItHVzc6MGzSuNugAwb3RQ/7I6cMhI7gjErR0Y59qd4XbfMy1bZ0aEGBHBrdwXvEvi5zr3kYLu5edI23njzenW1ylr60DW4efuazH/3QhwskBpznGQnzMDiFEX3NN/2GQOjoLsBF0pAcvPY2z8u4GVV9bStEJA939/D10g1GIiYSZEJh4rVCC3C9Bla1+QvDN8KtK4owIDFxEhE2t65rs4YDrLl7RSZkCqQeoQC35eQARAjgzNiXGu673Q6ZPYKTNFPF0PC17NTCejgQs3AZx2bW3AyjuZr5o4ePiLm21mp1N7z9xazPi1gxeQDuZu5KGMJE+AVJhslUp+Nxf3Nz2N8IM0CYdiJEBGraW69AQMJLbRERCMNQ7t29d3X9rGm9tUlSmDkLr61HHhphRGhuADjXuvRuHvNSN9sREIDoOC2c0vn56bDJS52fXT/VsMsXLk8vTjxUEknylL3qot7N+7LM43ZDCdOQ1kRuTiJJnKK7B8Nmt6lzAwsB6ktv09KWqssKmqbtsGlT7d0ggJC123Kcl7pQ5sWaE27Pdz1MzZERhXo38+hqHoEIpta1hwcB7rbbnPM4bHLOAcFM290mPBiplOzgwryWJaTE637y/v17iLBMUxL5xV/6+c98+lM55eM0bU+2x8M0zY0lX90cAhHXS0Y1NOZ5medq4ebeajc3DyPBgOhmc68aNk0TM/feVdXBzFT7YtoIiBAvzs4++gsf6a1a2FTrcVm6WdAqZVmEQ4BbAMBSJ2S6/8Ld87vnUqRrlZIkSWhkEgICxHGzMYTFeyewRE+m69/6Pf/u+XOXD589WmoLD2ttvtoPLLrUO5eX7lHGjamje1/qShAJRGJGJDPrqimlkrJ1Q48h5cPNHs3HLJIIhSgzj4kSByIPQlk0NCCOx/1yvE7edoU2DNKtAFBEZkpMjCBEoepgTkFEXauZskORlEUEMbMQwuFwaL3dXF8dp8PLb3vpP/+T/7f/6s/86T/6H/1HD9548PTZs9qbmrrHPNf3fdH7SklvvvmAiV5//fWcsggTISISEwTyapUG7EsXomgWs+agjEwRGJhSYmQiWlflcctQXx1Bbm7arbXW1bRpaKBhqCWhlFMQ13BjJCLvlolJnc1B25i5CKeUkG6HfBA0gkBiYTNbak0p9aVnlNNx05ZGBJwwMi+h5WyMhHOfHWOu8zTPu5MTDyjDME8zgo9lnJbp67/x60FIQ92MRYhX62CodlcldX345Fd+6mfP0ubJo6dXy1wJepKbqWrru2HIwuY9CJZWG1gIdlNVbdoVjEuWoZRhk1Lp5jSkSnA07XFbgaM9njx7tjvbJMZ6s08GL17c+x//0vcVklbbVJfd6Ukw7ZdjHofNyclLb3sJCB0CCFfHlEhi5O1uW0opm0KJiSllXt+QGMFEgLCSl2IVW80C0AI1IhA8goglCTFwYpEMgACIDEiIDMThoebKIt3cNG5jVkkcomlHYs45j2WVHyQJs6gqEbFw3B7Z4bEeoABMCmCEQBAYjuiEjpjK0FccRRJ1v9nfLEuNCGExNQBQ1QAwN1hpGx6rDAW3PzESEhEBoqlCOGGQQ5vb/tmV1rqW2q/mOpnmCTE40XE6GgARnWxOT0/Onjx7HGFlGLQ1RCSAYbOZ24wEa59auHsoFwKPVu3Js6vnzu6GRx4KJR63w8Xl5VuvP3ryiWd5Vy5evLO53LJI9H5zuBkoMydhAkGWEEZizONwerbdT88oouS01AUinCDAcyEgCojddmO9J2YnX5bFrBMhBzGneZrGzXCscxk2gIiIJQ1qHROPm4IlccnItGZGlrqkVPr6LiNQXUnuAeFIREiP3nprHIdaa0pStbs7i9TatCuuJj03TgQOTIRMLz7/wucfvOXBkuiwv/nHP/yPfv8f+IN2mHvtQxnDwQNEcu/GiRPzUrsIYYC7hQUGmioCCGZwm9u8KRtr5uBMpL2vc7mqWndzI4J6bCmSLf3nfvrnPEDDpjotWh0cAUgIIggJAQPBCYDone985zgOwMhZFLVpZ+BtHnqvADGWoVlLOUEk761sRyRqc/uyr/nan/zRf/Hs2bPn791LBG42Xx+G7QjhlGnpLSVZjvNuGKzbNC2nl6coHADTtKy2cQoOBnAnhkHy4el1Ptvstidza/PcAJC3ybsGgjA7IrhvhtJ7x7BCKW/KMnciTMOAidyjzQYAidmFzV1EbE1tomhXCiwlgynD6m4rrM3Bf+bffPCP/uH/HQt+2Zd92ZOnj8bt6PP2eHN9cXlJiPfu3f2Z7/vgZz75ayXL3Xt3e60r/xwRzINZIsIt6lJDcMgrM4kiYulqHpKYiNSN4Qu9QoABgIxm4RjqvvQWwIFABOBOBJLJMbpZpMQ59WYs6GaJCC3CuqSkAdM8pVS6OgUAYChokLeOFEMebFIOxG4+K6REQd01nFpgz/DK21/Q8O1uS5XmtgCgmR2nmZHPLs7aUllSGcb7z90/Ozs73OxdDQ1a1yRCToJAgNp7Fnrwsz//4NV3vvAl73ojPTx777tMoqDY4Wi1tbq0GtvzMwMIDK+d3IWIKRn7epfMy5Sy9MUUSc0DYiwZkaz6PC08cBK22kTjcjz/yR/6F5//xOee2122vuRhAGEey927J8e6fMM3fx2LlM2Yk6w4fyJsrfbWZ17m5md3z1m4NQUNgGBiYHFoCODmq/JmEMToAegU4OYOxEixxskigklYAhDCAxAcwlrPWRyAWcwwQiEAHAhQwzmxoeWxIMs8HQdmc8PwUYp3FYSU8tyWlBhZWJjJl9YMgxkNgVYAOqEROGJzlSFzYgSaW+1dCWB9nprD2jUdqxqD6OHrxAJrPJoIAcJ85Te7OwQwBYb3aXnrjc/ff/GF8SQjwtpS54BwPM4BwIR3L+7strunzx4zYc6ltU6IboaEakrEaqZmCLjUGmFhToiS+Hg4SBLJqS4zArTWnz57Khve3dm9/O6XL+6cokTz2UmpYHAAurkCWXhblsNcJ0eY+/zcyy9oOCcZhoIEKTFgBN5Kk7W1MLt+ej3dHLZl2I1ba7HUetwfEMjDh2GsvYFAHktTDQQUHk8253cvkCkQuiknyXmMiNaqupk7ApprEikpmdv6t6615pKQYBgHJFzR4cy0umhFbsHOuaSS08XF5fMvvnB+cbZMcy75Qz/3sx/+hZ+/c/eOaVetZjYdZo8AxFZnMDsdN0LJujGIrmNeRASukqKqqxoiuQYEEFBKTExNfVElAUGZrqbT8eTjH/nYk6snZv706upmOvQ1GLa+KlZHHcGyVAQG4Ffe8U7O+YV3vOgUQJBLQUBQJ0MIDITq2tFQAoUnbcqE2zKjfuVv+Pqz++dPrp7ub/ZCCGbz/ni4vimSNpux93Z+drbWyVm4eQSARaRxACIS8XDt1pbm3ZgoS7KlWW0l87jNlAAZpQgnUbdlqWZmEIHYep/mGd22m0EEIaxNcxE+2W4IINRk/cqHMyJ4bMbRzDCCIMZh1N576xGx3Wzu3Ll493tffevRm0+vn3zq0x//9Kc/s9luNXx7eno8HhHB1S7vXF5enl9e3NkMwzpoM1OrHREkEwsh42qhSKl4hPY+zYu7cyJkRiIkwi+4hImBhVg4EJgpwglRSLLknMr6LSopuwcKA+HaT2sQUtLheDSKPAxA6EGBrO7AsPad96YMiApjHvbPbkYp0vHmzWfbkt2aextPNou7ZRlOT9JudIRwjAAMGMqw1GW7GfeH/VIXc3fC7ekuEH7d13w1YqTEiIBMdru0QDdDcFbLwT/7j/4R7eeY4/MPHj4+zA0jp5yBM0niNE9zUEhiCGSmFU5JiYGYknT3IDTEIARmY1COaVksIue83e3cvF3PJ1gefvwz/+QH/sHleFFrRealax6HVWpnkbe9+o7ddtd7kyy9NRHxCHcoQ0kpWZiCA8AwFIJV5PFWm6kxMzGpuUNIlkAyDxRGYSc2iGAOQmd0hGCgxEjsQRDMKFISCAV6t85MhOIay9LMrWkn4apdUiaizXbXVcdcem0QLpSmaQ7GNIzVtHoPitq6ugcREAFid18b1x1Qw1tbJHM3Vbc3Hjzoah5e57n3hrf/AQLhF978ERG+bjBvsT8EwIS0vtcBItytQ/jhZv/4zYfzYerVzIPCu1oNdCF5/u7zTHxzc7XKGmGRRSJgzZuFAxFDcAAsteaUASDAa1u010ePHiBG16WUhOB1rknk/P7pnZcuIMfkR7NWMqfMLFg2qYyZ09rXYcA6bgXEJ52r1qXPc50dbLMtqWBKGObaVbVDRF86OWJAmNVp3o7j+dnFdrdJOZk5i4y7LTIflyVvhuFktznfjee7QCSirppziojaqpkjSqxgWViLv9XDc0qSeGnLnTuXgNF6N1Pttx2Qa+XTrVmodgtXUyS4f+/etD++672vvef979nuNrmkv/2Df/OtN98QSQTgpqfbk7Cw7tHh5vomzBPSIElrFxImyikTcQT2ZoKy7niTpMRZSBCQArQ34qhzO95Mw1h24/aH/v4/Zk5X++unN88oUaCv0p65rnq0uzMzEKHLe9/9vlTGcjK2UKWY+4wSvXYK7qbHNqWcAHAso5mWbZJt5pMi52W42Dz39relXVa3aZqONzeZecA0Uo5uwzDcHPfNvWrLwzAtSx4LCqsbCE9tkTGVMXs4rHlO14vTUwzvtWWhXBIiSk4oGIRpSDIkHjINGYQVo6k2bQBBAELQlsmtMrq1KoQDUSGE3lBr4tgMybwd9gdVM4ech646L8vjh4+vnl298uLL73v1Pe9/7/v/qz/1J6+fXtXeaq/IMM/Lxz/2yTvP3flf/YH/9X/wB3/vgzffAkDzbqYkgOBh1frStFdrFnZsx+v50BFABCU19aXX7o6IhJgQozZ2N4elaSDMvXbrEYamjI4CzjhHUwZKiYQBiYCZRNUPrcl2wCxzN1V6+vgmUSEnoRxA3TzcM8LZMIL63ct77dDmq+ni9LTpXG2ZdXk67yc0Od+ev/Bc6z5sNmbKgASwLLN1rUvNOXXtZSwkvNttKcHLb3uJSRAxYlWXnYgAQggIg8NFG03L3//v/sKbn/h0n+3zDx4ZYtltUxoFU0IpZVSF476qxc10DCHzOB6rOnTExeJ6ah3RElePZvD0eJzRT++c7U5HCafeRuHS/a/+2b+4w9HVIqg77O6cndy5HMaxLf3ll18sSYQxZ0GAnBIS7na7aZ7LpgBTGce1smnFQ+RcIJCIU85IJDmnIRtgM+9mjhTMkHMHNGZlMmZP0sCNEXKKlJzRKCJTR9Pb/hiktYIScBwGRBo3o7kNm0Fymls1CErcWj/bnfbaQ+3k5ASIDQMzdY+mqt4BwUCBAIkQ11OusbCIrEZqR3ePR289igjVHrH2F6xHFhKv5z4AoJp5OOKKP3INN3B1R6JUhBOta04EG3M6XF+/9frrfZmKJHKLZak55xdeeCEg5jq7+5q3JAKISCxqBkFf2IiIaTATYNBteivU+v54xQmBoC7VVMch175wRs6hsEzLQa26aykybso8L92VBdV6t86Jzi5P7j1/9/LuxfnleRBamJnWVutce+sYUYQZ8ebZzTJNy3FmwMPNEcLbPPfWAqOHjbtt074sc+stjckIh9Pt6d0LSimVvHJimYWCksiyTBABGNo7Ca0Xga2GRYPpOC11MdNxGG8T8gjaOiJKEhFerTullKGMvZua3b1/Zxjzu9/3rm//7d++Pdk9fvLkL/+Vv7gdB2EG9P3xmhHaNCfhzOl4sz/u91p1LEWbYWBYtGZ1aW7Qm4bj4XDUpuu+ZJnrYT8RgFeDitHsxfvP//Mf+mc3+2PV9vTmeqpLX1XJsFv+X/jq60BAUnzu8rlt3jAzMoAgMKWcHEJSQkKSlYMNTAQap7tT5mThnskTN4aT5+688tqrHaOBAdH1s2sWUu2J2dxOzk48nJhrXeZlfvTo6eZke3n/bt6UNA6LGgjmkt2NAIc03FztCXFIWZfGGDlxmCbmcczI7LDmF9ARZSie8FiXXAQ5RIgZv8AyJI+YpmUFF5Zc5mXpZpRlc7IZylBK7qZm3lrNJX/913/dX/6+v/Cf/V//+Df/xt8ojMfjkZHWi/ydr73zi77sfZ/82Kf+8p//K9/3P/y13W6DAIS8lscChGQZxuwIeSgrCeNktzvM0/VyrNqTZAhe+2PN1FRRA3RlDLuvWhyGMIVbr7X13sOwiAsxMyOHu4ObdlOlRJTSzXJ0gVrr2clOpwrV2nHJxJtUTrfbBNL3k3Sen07z0xubZmuNMFW1GKlL3PRjOd2mcQgEdeu9mxsLpVuLp1tEXaqvNGCC7WYrSV5+28uAiOvgJtKbujoy5sSEEVaTGh3rj/z1v/HJD/7CFsvDh89ev7ry03G8dycNGwKMZhw4lLQZdkg8txaEhnCc5zSWYOxh81Ln1ngYxpOzu889pw7q3udeoOxQ/tT/+b+0/QJqAbH0SNvdnXv3nz27aq0d98dXX307OXiEm65UODPvapxSN9euxzpJTgHhESvKExEBsat2Mw3TFQKXhEqmQaKIMg8XOxiy5yTbrYts71xCLpCSC/NmKLvtdnuKnA0REgKTRXggJ+mugW7h6h4ITVtKibPMtXp4W2oiYcRpnvJYUs6ARCIGkXNhkc24WYMC4R4eSQTUCZAQcxFTyyKPHz0sOVlrYSbMtAYhCZl4raF31zXw6K6r6rtOo7AqmHFr/Adwdw03wpjn6c3X37h+8ky0x+npxdn5eWt9f9wjIguHAzMSrwE2AjTzFh7WOyICIOe8HBsx08rNYN4fD109iayWW0TY7MZWG3jQwCu5ry7LZsy5pErVTYNIBIQLEO6PR6/HY9sPu4ELh4U7ZGFV3W52x2OtrbkhBvepbjYDE51sT47HI0gE++qdraaURAipyLAZ83ZMWTRuS+1X1Wyu8xr+HcoIAR5AaS1gRxLyCCHJuYybcRhGC0dwYiaiMHA3dEMAWAHURAERhMM4cjo0bUSMgl/25R949Oitf/pPf/gjn/iVv/m3v+/3/O7f+/TmmSQGt9OTk1aPvfXtZsfE08202W66WyUdxsHNiBmIAEnNch48wg0ePn40bkeMcNUhDX1ZTk8uf+lDH/6pf/szZv748ZOpTigYFLfnPqwMIDLv7gCGAPTF730vGdy7d7e7OQcGrSphQvHwQABEB2u9FhQSFkYoqZnymANjkMR4x1SfPnjgauFx9ezp2eWlueVUzIyZ3azXxkWsNYiV4y/TzZWIlCEHOBMyUQAMJfVai9DZbjNrE0IEnrsBISN2dUBCpGBo6pIQE3fr4JAoRbg2DffqLZesAGhQDWbrqWzMAz00ws29q0jmDL01cPwn/79/+ou/8EtnZ9u3v+3tr3/+cyJMltbJ7+HDh48fPnnbKy+Pr40Xp2c/8AM/eLLdAbgkMesAiEBdHRzMIqW0LBUjwNwsOvaMotojISdps4YZEwlz9RYerde0VsEEYRBQOLkTAGL3boZJMt62UhgGmplCZC7z4ViAorcBMRMpghAfpwVTgo6nmztg+ODTnzgpaZPHcHz0+CAXaSbbaz956e5wMporrijSRAZRjx1uweCaOeeU0deaYtptto+Z7j93//GjJzfLtQG5h5Rk1jWQTM3WtFolNQT/l//99x0fP/6m7/wtT55cd9OT3Xj3uTvT/pAcb57t69Q7OpogJybS7mbYe2WkZZ4uLs83Qzq92GnTNi9B0KZ6knd+uPnP/+M/IZOhYh6z9V5Oy9ndO+pR59brpK6vvfpqeJQk1jsDIkJA1FYtjCUBRSIERCKOAEBCwqYKHill11gzT5QFhKrb5nR0EkCcpxkoEVMlinHE7bbDsUeM290yT6XI05vDsB21dUaMbu4RYWsnRyCvXGvZDJN2A0bEUsamjYUk0EzH7XZpjUWCkZJ067Eub2+f7R7uJUtitt6W+bD0ZRy2phrZHnz+DUZ0V4jACCQM9wAKCACMiPX0j7DVYWJugLLK5g6xnsjunkqK4LZ0AnCzw9W1ENHp6fm9e/dVdX/YI2BYEDIzMbOp+uqiMkNCWrntBIDQm0aERV+DS4j49NlTNZtrDYC1XtVDh00OiJSFGQFjGPIyTXibVYOSMiKrRVPrZuadiMN1O26AqORhOixCGRxkhTxXs97XAcIjCHGz2ZZhZGI1r9qxsFEM2+Hk4nR7tk1jMnIWMVNmIkYiCggWypQIycNXslIpJQAAMZes3evSpmUGAuHU1RCQiFXV1IhWtz7fUmmYejd13+52qk7C42Zzfbz5rd/57SenJ+7+D3/oH/y9f/T3Ls8umZgQzDqLjOOmab++uWGhvhqiidyBSbp5nZt7MHPrXU3314eUkq1BZZBHrz/clO3x5vB3/vYPLrUubbna7491DgwPR0QmYkLw8G7IRMxDHjnSyy+9FI6qSgCSmBNFBMF6uxEYIKAFbMouAszMNFrvTLLUmjdjDfeUXnj1bWf376bdRkpy9+PxUEoy78uy5CTjMIQFOpirqqr5ZndyfnmJSSzAAiQlbYbI4OgGx8NUl0qA3m0sZSwJ3BlizFJSYqFACMQQoiIdXcO61a619Rpg64o1pRThORdmMbKmXbuJSGAQs/YeHpvNcHF5dv+5e0+ePJ6XKUJzymMuTJRySSJPHz8l5n/vd/+ut7/zbf/2p3+6DMVcV881r+x+5vVJhYR6iwMhJmYiNVeNNWc/L61qD8TbqdyhVeWcnNAjkhShBMhKQVmAMYAk5alVdZ2mo5uSwyiFA/o0nWzGLCIgaCHOhfJ8PW1kJOSl+oMHz375Fz9yfnqiblyKA3WINJ4sgLVwuXPSwUkoCUVYgKsZJWlq4IBBAkJO1oyc3Gwcx1KGl19+2boNw2blua4t6GoeiGm1viEmCK49df/p7//B//HP/fldyOlmd5zqm08fL205u9jev3v+4v3Ll+/fF+Vlrm21lee8HTbnJyfveserl7uzk6H4cSbt2C27vHR25+f/9U/+8T/0f6iPjzFHHoZAnms/u7xw8MePHu+vrjHixbe/WIZCTFlkSFkEiSjlvNRGzOsv5fTshBKjUMqJUgokRwIRKAKJaShYUkWAocAm+Zig8LFVT2JMNA48DtXj0LsxQ0mTdRfugHm71QAl7AhGyCXn7cglgzAJk7AFrA1xLNx7d/C1FTwQ1hfnZrcJhO6qbmus7TZRRLQ+KMOjpHx+eh4BzNy0piTH43Gajtabq5l2iNslGyIBACGtW8P1Xb4StAEiwCAgAMKhqxPTioQmYclMjEJUikyHG7lz797N4WppMzF5eEoMAGYRYSJ5qfOYslB2c103kuCrdbWU1LSREAAg01tvPWIiDGTJ4djVNukWq2C9CYFabd3vnJ21aiyUWKqaBxMzcRyvpvG8DFkev/lWSWVZZkl8cnYZ5hHe5qUtlVDAsVtIGnoPKtB7z1IUjRME07jbnpeBBuYstC7fiLu2cRzd1FwjcFXumlVePxImVRNmCDSDtRPO3FRtPdlra7kURNxtdreYSjfTnpIAhJm62JDL1eHxvZfu7/cHSmDak6Rv/S3f+tf/xt8s2/LX/8b/cNw/+X3/4R94/ORpW1qgDbmUIuN2d3V9w5b77c2BKQs4uHtblDth3B7nZgq9g8dymM9PTg/Prv/in/9Ly7z01n/10589OT8bZTRXbb0UQQR3h0BCdgOtJkibsrn33D13256Ncz2MwyiQWZJ2c4pwSiTuzYgCSdFWYZGIXXUouavKrsiQoRltyhBxdZxDo94cevjp2bmwtKWiWxlkqTXx5uEbD1967W3XT2/KyTDPPQKTpFySFERm5kAKTjLNkwzpZHc69zoIu0nr7sBzU3VHJhdyoVAXcuQAAwjPKWXh3gzVx1zmNocZMw2brbWenMwMUdQ8laTutVbVCqHnFyfnZ6evvvudv/+P/N7/8Pf8B64K4a23l158eVnq/+tP/TeC6Vc/+emXX3yxLnOEMxMAhEfOxS04MAIQOWcBAIcwVxJJJXvzZp2R3L2HJxkQaL2iQGhZVJBVlTkDBJfc1BJxILTQYOxqrVsJBLdeKxtkQJ2PA4+uhoBzVTNALL3jodenj451354/v8MJh3LaSW7a4eTuxc2xRd5szofh5DQxEBoRECaLjsC9NtNwVSJYlmUsJadsru4OQKdn59M833vu7uufecPdUxLzMAMkdncGJAgOCneCiKWOnB781Ef+9C//sd/wXd/+rd/17Xkz1OX4RK8FKDlvd7sX7hbP6IjaOwpsSmnzEtqsNXZNzBdnZ7KVz37qM//dX/6bv/ATPzXyAB5pM6Q8Xj27fumVV+amSenw9HqVlN/3Je+btZ3sdgiQUiKErpoIiCmQ1KyqDnwiWQKiN+XEoUAledO5d2SSnFNOWYqS90Wha8rFhXIZaus4yOEwDSdbB6+1edNhHAmJSJY2mZmaZhZODEnAEb9g3lAEEDAKc6i9pzx0VVXtGOdl6zZP9ZhjA0QI6GBLb8ioriJZVTGhhxJJ622/3y/L0rSlnJnp6bPHENF7N+2r9u/hiEzriLw2QCEgBK3ZByKwQMAgQKCIIFxDu4DEaopIAAbhEG7WZa5L69XNcs4rLFq1AwAih8dQBjPDoKYVCRAJAYiRhcycmECxdS2SLNTBrPpOxlvwHOL19X7cDbFo2QxMwgTH46E3n6ZlzKDIFjCUjZNKZghvVSM4U1o9P/M0h/lqJkbE3lrOWXvNknIqqr0MQwhSwNnJjoeSx5JyMoyqNRECAQQwoPdu5ogowjmV2ltiidUjFZFS0t4hwDUCghCJsKvmoSARi6hZq1VrJ0Rm7toDQJIABQCYOSdxjTq39esijAH+Lf/Ot3z/3/kBCNqdb//Fv/qRz7315h/4/X/o4uzs8c2juS8ZBgYfNgMScuIAwojD1X4NZIC7Nd2M21qXtlTVurpFX7j3/Oc/+7m/+pe/z81as0ePnpzfvax9qdqTpDwU9cYeEMgiCNS6ESVmede73rXbnb704vMyiokSo2p1B0Za36qIBKtYytRaY2EkYJK1SCAAhKhjN1VPybhd3L9EjeP1HsyX4yRFRNh6BFgqOcK19TovHVGs3L1/f39zDcxXN4fNdhyKNOtM7GZ5GByh9ipZlrllSWE+N02UJGMVbxjNjZksABHTkBmQHIaSE5swd3N3YGFHmPfHMeW2v7HahZGQlt66OZEj8unJ7ru+57uGIUXzn/zRn2i9MoT2AMQPfODLv+TL3//ov30ybjbf+T3f8VM/9m9X4ZgQ15pGYgJc1wGQVpe8g7BQc0aOiKbaTAtRN08Ezd0IVN3QEzGyuFH36N5Xy15KCcKRQHW91Dwho4d2FcQwZQemVI9LkQTCV/NxPDlXw2dPnz25ujrdXm7GTKpBMZyffvrTr2/HkwXw2M2S3Lm8YGbVutuWUO2qxAzgtbbVbti7SsnrI9TdEWizGU/b9vpZ3u4225Mh9rFOP5xwXmYBdAAzlywAUNsMBqBRMvHsP/G3/u5P/PA/+fXf8s2/+du+7f7bXqi1Y6LFvYdCEw8PMwmK6CMmJBg2m5JE6/zhn/75f/C3/8FHP/ihDW9OaavNUATTOHffnZ+F2/7xU3Q/325abZf37r7nS95HnAIAkdlJgJFFWPZ1j0QOiETjWNZQviMQUqCjCCMNmy0hCMs0L2qWUiqbbaAZICC23pNIq83M19AlJ+YgEZ6PCydUC0B0D8xMTN1DAGUcMKKbBwEOBYg8FBC7dm2aU0bzZZ5I7d7F/alXEnE3RCIWEgwkSeLdzEwkQaCkJDnh2tsFaOZvvPEAEdxsTS9DADgSCa5htTWrti6aABDC0eI2EICACBiAALeUmyBkB2cRxGitI4Ac9nsPS0nMFG/DY0zMqs3B0cHdqy6JEiK420r7cXP3QKLw9X8Xdak319f3zu6gQSpJRFxdRLRrsFHvZiaZ82YcBpn2bZ7nMuwAYWkzZ7JuQFY2aZnnF+6/+OzqKqV0rAcJ6ku/1bbNHDCVbOGzVWLurpkKSZqbJbRp3qfCQEBJGJkShXmWBAgQamZtbtYdEEsZbO3wNU05YVBdljKOa7JOtTGRm0eEquZczs7O+7OZGdnCCdeFZ0AwCxCEx3azeeuNhy++/EIv/OTp0+3J5rk7L3zt137tT/3Mz2gXAfnUJz/9x/+zP/Y7v/u7v+mbf5Mb3RyuQBBEzEwku4G1Bh7LoaUi6FCGdPXWQ0SodRmH4ZWXXlla/f6/9rd+7Md+7Oz88ub6ULsGQdUaCDnn3iozIgAL99pEkhuuxXUC/MorL8/TNO4KMhCCtUZZIgxXRX5blrkBgjfVFJIECHWth0MLBE7Se0dzpHjuhbufevx4x4kTgWt0iJRa9zJuIPF0c4x1oiJ847NvXrxw19XcvAyjgyNT1eZzbHYjMhqEgaPwXGsKkJyDqAxpqHYzHbubeARDIAWAEzdTcCuchHDuKow9DJlLSU2tzUtOY6uzMGXmw82NqzKThYsIEuxOTr70A1+qvdVp/oHv/0FJCdWIEM0eP3ry+K0nX/HVH/jVj3/6kx/9RES4OyH+T8M1IaWUEGgNpJCDIDKxi5BIgJtbSjnlzEGEzo49wiBqs2jSDU7yqL0xY5jDCgYC8IhuNgwDKyz12DTIAAIhIEsC88QEjN283LnzaOpvvPk4Ce6eu5Nagesb5pZKfvjkSTrfyLitTrEtF89fjMMQ7iLsHoxcUjr2aoYegAAGYOEkNLVpLScJDHfLiXebzdvf8cqDz78hQv0LDGFJmdzDI5g1AkKRBCEIyZpF05yIrP/s9//wT//Df/7cu9/xRb/uq97zFe9/5cWXNpuRiYjYAgkimk6H+cmDNz/70U/+/M988JMf+1jdH1Kky3K+HCYZSxCQjM6YN2W+nq6fvHGyHd271iUC7ty/ByAaloayHKeLu5eZUyAxMgQikEHkzSClVOu39VbhAaAOQBSIjNQNlMUd1w9hbU3JJa8NMACYk6zh3pwzAph5zkm7syS1Pu52hKAeICiSoymTQO0smIahIeRUiKJWJV6LwSELHw6HjW5YWCOIxV2BAACFeT2f3QORmSmn0rr2WC24EOZvvfmmqbkpMXqzNZgIgEjrjhfWhjhEAEAPB0dzXwHR9IVMA8GtTESEEEwQjOABESpmjYWI2NRIQk1TSoTIxBZOxO4rZygAaP1oiqRVfuqqK/aXGNHx6ubZy8+90A/dXHuPHW0dHAKGYeOmwuJmRNC01bbcO3+udQhwyUwJhHOHHgBI65s17ad9eLSlmvc8ZFNPOTFhyiUQ1SxJVuskFLRm35AQTM0xBPBQDys+W9aiiSRMnCUTs3nM0wLghLTJ43RcGDCnjIDDMB5vrhIKMg7DABAiHBFmerO/cXeH0K6U2M2liKnRQGsVV12qcJoOk3ZDgmrzd/2O7/rJn/5pSdmqsTs4/o2/8df/6T/74d/ym7/jG77hG8eTcrW/3s+zd4sA616GLIKmzaq6ylgyuD938VKr7e/+rb/3kz/94/urw5iH4/641mIghdoaXndZ1xIOvZvkZBbL3HIuBLhM9ezk9Oz8FDHeevDW/ZfuMnJKCZCAbicASdy9D7ncJodxtQ4EAErKrppyArB19Y0in/3851+5/9zJ7rQt/cnjp9uzkwgaT8ftbtNbq/OyygU3j5+NJ6NsBhml9tarDePQemtdQUPGxCycUqyrpt5TyUQe0ETQzIXQwhORAi5LJUQnswAGgO67kgWJwk07E4mwmY3DcHz6bFkW5sTMik7MHgYeH/7FX/oTf/z/cv+5Oy88/0IAkAg6CBP05VOf+OQnPvLxX/91X//t3/Ztf+W//76bm5vdZhMQqs5MiBgIt6hFiDCgTOiwLjoSESaUJIGoqr1VgWApTMySem8pyCwm7UJo9bZcT61iSujAFK7el8bIhIGE6CEpeVCPMJLqfjR/ev3sYDyndPdy17ru33j69u1mV4aj9jn85O7l9dXN/rDsLs6H3SZcS8klSV0WSeKAtTV1W3mCql0SeRhz8rDuikySRDoTxytvf+FDHxTV1FqL8GVe1urBdceo1hEcwllSaDAGAfTabalpGML94S9/6sHHPvkjPyDAXHa73fY0IHrvHn05Huw4gTn1YEcK2NEGAXzxzbhVADU/vRiBaZqm66dPzk+29XCMUC65nOy+9pu+bq7L2clF95BUWES7UpJpWnpr2/EkwFPJ7i7MtXdi8m4IICVLkmdPb0rOORckTsAkqEtnEkJERlM77mdJnJOYmTBuhwyIpiuFuWtXJDAzGYoQqTYFj4B5mQKQQTig1mZI6m5uSTIBhbXebTvuAgAY61IhiXZd+lKGstY3mZpqA6JAAsLudpgmXqX4rlfPnmrvrP3W5L8S/T1WtL9/AQJ6W/iIGHBbOQcODu5hCBirSBTgjgDgAEiQMquC5CTqqmrr1JA4e/gKtEgo7goY4GBujMBIHoaIa/0sEbr52sFmzZ4+ecLvI7v9YSIsUkrdW5i1paEAoB8PcHZ2Po4twt3R3A00saAZMEpKJLRYCwINBwgpyZu5h7oFQEql9krE6ubgCFhrS0maamvLeiWaey5pxfiIkIe33rr22/RWEiTJJa96mVUdOZuZdQMkbSbIbW7atFsfaEyU5lrXM2dd+RETEGmr47YQUjAg0n5/SCUNg+wP9cmTq9foNQB++9veef/u/YdvPWFOgHjcT6enZ/NRf/Bv/Z1//A//0Xu/+D1f9mVf+d4vfm9OOeVkfc3YWUojjtBq29/sf+2zn/3ghz74sY99NAKHMuZhuz8cgKnOPaXUu+WcbzuKWRBi1gmREqXWGmVOKQHgS2978aVXXrDwrjqWAR0JWZsGIiBO05GQSyqZs5sBgOkaMafwYGJiNIN1sOQsXu0DX/PVv/bRT3zwx//NO59/5XTcbcvYjxUAY0grJOpwfRgyRasscP3o6eVL98AQwze74TgdtrsdJzZz0+CEbWnmkTP33mftKaexDEQoSioO3nWtQCq5m9XWa+sXZydqtfUOnBKDhw2p1Nb2y+FMTpi55LLOwcwcDuYGiJvd8Gu/+hmC+MZv+Ma79y4+9pFfAnOAcLMXX3rhvV/yvl/65V/+hZ/9uQevv7Hb7QjWTubkYRZeclmmOeVSe0/CGblrt3BfaRsA5orEEMxEBHFbyOcouQRSEDfvzJmYI9zVBskK2MMIQGvt87zNowf46u1mOhxrGsdD15nKU+gPlia7jWyGJ3XiY71352yZl6ddr1u/yWXu6gPncTuebdJIWZgFW6spSXc0t824uz7cUDAjuyozIkL3Ro455RXqziLb7eYw7d/29pd/5cMfHYYyzX2z3UxtIhT1dtuoxYgh1pWRiMM0GAGYvSsoCkFihtksmj063OBbHg5IRJSAJZA5mSkzhgcBu5kzVg0jks2Yx+Hq6kpr3WxKaHfT7dmmInzNN/8GE9jttsRCiYdxWJqOJackrk5AiGCB25Odm4cDISZmD+xdLcw1truthS+tpSytt+h9k8fufX+cyjACYEkpJQZ3JjLrvS5m5gY5Dbr+2ydgIUQI8EBSBxRiHgCpuWo3TIkAQ1Uk99YSwC4lVHUzddUGjoAEQTAMG0RISQgD0ITEIzCg5MJI++ORk8zzXIAev/Ewc2rLoqpMBIgrlQQAV/XfAVZSKRFZdyIGcEKwW8/orZoEGB4At1fGbVgYEb/QCay6+lsAggB774jo4N3abaxdoHtj4pVkgQ5ERELQqoc1800Z5zp5uFpX1VQ4lxLkiZJ3zyVb6DAOjIGMtS6FBpEBPMo2GSihEEc3RUQ13Z5sZ5tzTuyQMhMBrvWsRELcdXXmoUMIJzc/STui29svbgHIk7auzVPOIjxsB0KCCFOrbWrTjAgrxwMwhmHQ3rdlgG6bMt7MOozDMAzTNJfNmCSRByEuyyKxmg2gDAUARNgozOze83d7a8vUJKU33niLSDzC1P693/m7/tyf+7OrbCBDmpclURrK2Jt+6IM//7M/86EyJiS+vLxzenJGSEMpTev1s6vHjx/P0wQASTKjILGqLXVGouN+yTkRwjgMyzwjoRAm4tYrATLLSg7Z7XY2GxG89PJLwzicX5x2bcuybHSEKO4gQ+KcTN1cnx6eDSmf7E7VumTywLURkAisak4p3GAQimiqC+r9V9/2LXfu/Ng/+Wc383FLZbcbrm/2GHZ2766Bn56f6tLqsqQheW3tOAMjCE5LLdvN4ThlL5wTw/qsds7JADilLGwe3RQQAAM0JGgFNVpT9xg3g3brS7PaaBxTEkFsAKodie7evXdzdZUQbc24u7emBsGChPDqu9/11V/1FeMwfNXXfOXHP/YxJOpRtUZgvPzSi2++9eD/+af+DAR86Qe+5NnDpxCwkoB6N2Qq41A2oyPmnJkBbt3lgLw6iCFxQiZCdg4CRURAClvdXI4kAOtm3dEjs6iZWbiZAPamQy5du+pKZMX9tPiQbnSZmZ725Yk7XJzP3b335XDz8vZ02tdNSZ9/+mRz9zyIXDiQu7WTy62DBQJRXt3NBCsQTb1XpkD3cAPiRPQ/2SWTsPXuppvNMJTytlde/KVf/BW1EOFaW5YE4ElI+4qed3cgIl8JJ4BJKCwsVoi1hDkT0+1R44IUiOggtILqLSGRE+fbEg4UnqZlPDsZN2Nd5no4FmEC6LVuhnHu+uW/8WtP7l0YURnHsBhLiWbOsU7ztVYixsDVGk9IDpElIYBqTyKuSkDdDJBKyQABxGaqvRHCUIbLi8tHj5+IyAo1EYHtdmSkGiHEAMFE4RG+HrTrCbOGg1NvDRFzHiKIRA7TYtoh8TAUPc5mymYpCSRycxQKQmAKB2E295UHh4gCgCu6RzgIODNWnPb76eaGwVfgwppF/QLwAb9wAzjSLfZ9damRo5mtq6lVwlwdQ2tH0xrmopUUgyFEsEbV1z+/myLE6jGK8LXeSEQQkEjC1cyYxN2TkJqJSO8Ngsz08ZPHALBS89aWUcl0mCcgyMjIYKYohAy7kx1YABiyGRixLNPCmX1REry+vt6Mm6UuZZtNtdUF1sKLNTEXa0lAF0nhYMgAMB1NRCJi/V6WMgySOBUUcvOlLvPSiFa6B0D3lexlptCt9tbSDIh9amZ+eXlaj7X37h6ceGUtQYCbCXPc0kVoaTWJoMAaMW+1ISKC5Jwg8dWT65NXNt3aV331VwxDMfXel5QLADjBYToyYk553Gxrax76+MGTt15/uNLokG+5HiyDCCOiqrZliQh3FKGcEhNaVycUJkQ07x0qEYaCm6UkEahq3sxR79252G5GSTyMg/buGq01LNJqHRPlMTNthmFT6/zg4YPzk1NmsYiUExG5OiPZbRA6HCFtxtXiyRfjN/32b/83/+Jfhi1slBKH2bNHDy/u3BFCyBxQjjfTgPDsrUfPbV7hFWdBAoDzXLciZh5VpTAQLbUSIWcRIgTyAGZZumbC6t4JoJAT9bDt6TaaskjUBsQonJiRiDHMtYwlNZUkvfeck3lob0ScmD/6i788cNpsxo995KOvvvpqa80dSs7tev/51z//Je//sm/9d74VHD/10Y+23nLKq2q6huNUjUWQBRC1NyBIJBDoECujyd0I1/e7u0dwrG082lUhZIUyRQCCiHBQt46EggTN2MK6RUTraojBOCFgluuOD672frLbd5j3x0zktZ+c31vM5/0NpiHGsWzHOi/T4ZDE3/7K80NOBEBIoV1k7ZtoAZaYCEKIwXQ1K/bWyjgyoqspuAipYpJ0enpyeffy8vLi8VvPtGpiZqF5ngiJGbsqEpKgQ9wmY4DCDYFW7Brgyg8NDZcsERYBBISAQICBoMEiGGHdLMw83KjsxpKLq8+HY0aOqm6RJDvhu77kPV/85V96aI0luXpmziTNVEoKCAxYliopA1DJmVkcfIXy3iLxAyCA16I99czcag2z7TAkomVemPj6+grAx3Fr2nMR5DXo4wi08uLcHSM4ETN5gLoBADAioogQsHsAoZsjQhmzEzGuTAy0uSVMSNS1s2RbE0kQIiVUHUFNS8qMSCx5KD0ck5gamN08edqnOWdhwgD2tYv7Fv/gAIgBzBTgsIKhvzAN4LoUuG0KAAeCFRWBAY63nw8EIsk68nPiMLttAQoIcFUVFo8gIrUOQcwc7iwMASyyoubitlIRAuLJk8ciXMNTTtP+EAFmQUicSZindoyglIYVluLhBJ6EpmXGrHlI47jpUJstbnZLEUF0gJwyC3qoAzNRNA2wIowIzihEiJCTELGZA4A7urZmHQgISCTnnHe7AVZIDYFlW4s+VnU4iXDiwJjnWnLWrrcbJHNOvCwLApeUVzjdbQwbYiX8ISKBpCxnpye9dTMfc5qPy1tvPnrnq+9w9+1m84EPfPmP//i/HvLGwJjZ3W+lCYjD8RAQnFiYCXkljiGRm63TjJqHmUcIi3ukxO7OQhAuzN1qQDAyIqp1BAICTrzaQMHtZLc5KSdf9eu+Ipckgoiw3+8v7l1KSs0Mhea55pJ7X0pKZ8PZOG6fPX0MgdvtLtQ0IjxySkS0tCWl3LU7OxLKtoCGRvzm7/7OX/npD73+mU+/eHF/ORz73NFp3G6ySKsdAL2rI7zxuTdeePUVzuk4zZLZTUlIcmq9E2EahYUBsDWTLN6VmCUxd1wpKOtCzFBZuM0LqglLSisEHYUJiUpKTw77lItHBAIL99YVjAiPx2NK/Op7Xvv3f9/3np+df/jnf+Hy3t25LkUSMW024+OHj+/ff/5P/Bd/4lMf++R//V/+mcNxWt97rVvKIkl2uy0iClPJiYIQnIisw2pJWYN0EI6rVCgSjh62yrTuxpl5rURDj3AzWx3i2mM6TkLU3dZ04rTM6gi74cm0PJ5rbMb90ubWx81pXWq1Bg6x+L3dLkk+vXP3yfWTQPSup5enQ84UIUxC5G4eHuGl5NYW7w3DslC3rq0RZWZ0s15VkqATBCZmtS5EYymvvPzKs8d7IjOLuswlpfDQ0FVyXNM/QcHE3o2ACZEQ12w2ETkGrT4qQBYmovBw9fUfHUDcnmTE4QZCZRyAsNdm3YdEZiGSzO3+Ky9822//jr0uQ6Zc8pBzQeZAIUw5AyAhtta346Y1PTu9RCHQMDcDpyBk6dpzyWYuxKo6LxUB6jIzhBksrebtgCzR2uG4H8eBkWnVVwxgVWwc3AwRPUKYA4CIg8LUWdzNmDklMYduLsxTb04YSDkJA6pHQNBKaIG13gq+YN1xhxXh4+YgKQHEcZ7UlQAZ8fGjt0DNCMIdaL3Pgm6bG1Y20vq9ixU/DgBuLsy3o9kKjAOE9TaMWO+OtajSzZFI3FdW37onDgQ0N0RiZITbTvpVbGm9AkZKydVXzRoA/XYvFgBxmA4reegLNDqlSADQW4+w09MTtQoYbrbbbg7XU3hot2GTXQKJlj45WIDP8/zKyy+/CeuYCcwYvubre4KE7itu0XoDkWYqKIAoEuqKuC4nCBkZGQEsfDketarfkj/Y/bZJxmuFCMk8DAMgtK7nF2f7631rPee82Y6Kth13N/uDqiIgEYEZEcY6hZhGBI/F3HvtgpRK6t0i4NGTR+N2c3X1TM1/x3d/z4/+6L90cG1NMLabE4OYe80pI0p3Y43a2srxAICcMpKE27IsKWdCzpndPcJ67cQoSbyHeV9/9w7r1U0AwMgeUWsd8lBStuovv/ZSHtL2dBThripJlnlBxuAVQILC3MM9fNHFw+89d//m6ubq6tnp7iRxZqFaK4kUKU7AIrVVRCqcAAOGdN3m933NV549d/dnfuzH7+4uS85dezbjkJISeByuj5vznU7LdH04vX+JZZzb5AFLa4I+bkYL792SCATG/5+qf421LUvP87DvNsaYc6219z6XundXdXVXX4p3USSbpETRJEWaalJtSVGkRBbsJAjgH/kTBIljG8oVCBwDQZBANgwnkZXACmxZYSLKhiI5MELZVBRREqVQFG2JpJrsblZX1bnuy1przjHGd8mPMXcRrh+FU+fUWWefveYal/d73+eFcUFHZnILSeTq0EO7IqMAmXlOAkGuCsTjMBFmbq7mQkQYrbW6riJC994AmiZ3/e1/+lv/s3/1f/7ptz/15S9//93puNvtCIlYppJ/3w9/+Z/+1m/+r/71f31OeTfPTMTEEIEYEdBq3+33RNi7MmJmHtyOAGAhJqaghETAANDcLQIphQEFCjIJDTI9qMUANxp6hLbQZhgUiCh8tzSc5cy0hLWmzy2OzGXOi51hzsd6XlqbdgkwVNvucLFLF09f3NVmV1c7z3J5eRBmEaGAoU2ZOhIChIcu/dx8JaJm1cECDJC3FRoQAFR19OKlVOb9/rOf++yv/P1fy5Jqb0iMPk5jZOpAiEgeBojuhAiBaAgjYO7gwpkwgAKJ0AIC3WJghQZUEZA4kYV5uExZcgGE8+kEDugOfYBdo0P8Mz/9k0aY5gTOh92hpExmKZG2jdY/gD9EZGBSsrohYngAYQDwMF2FEfF6XhBjzjkluXpwUZc1ms3T3D3OxyMhzVNmQkIBDDNl4k1fDx/ntnGm9vt7xX3xFmzSemB4UOJMWcexupshT6UwSW1d3cbgEcwR0SGYGMFTKsyotTMzM6+9mbtaCMCTjz5OSXwLagzD0GjpxLEBI4QH0kAAhTsgDy83UrgD3b/DsekW41IEY5FECo+B4+YBohyr5zAaBYaaIg3kHI5aNSLy8Vkb5PeInEdJoXLi2qpp9wg1k5Riy/MTABKzaicanwYCiNP5xIyq3ppbD0GaptxalSRu3rUToZsFuKtjgIzeO/XEwsgEOOXCAIkZAQYTVUYOTxgZmYVEgAkFdhdlmmUqeeRLRThnkSTzXPKUEeH25vru7k5NRyhMWNR8WdZWm4czy+hV2OrWPHrv28w85/F9vHpwGRTNtGt/8ODh6Xy+ubn1gKXVN996673Pf1FNkQEYT6fFwVPJ3b1Hz3MKcM6SSipTSTmpa+troJepeFhQmKlqB4iUBTDUe9DI5cF49AHHkHzECSDnYhBdtdb6+S++t5unui55zua6rsuYjm4qoXvvDSHcbcAwuvV5v3vw4MGHH320rEuvXUQAAgH7qhHOzEho4at2SuJJztRfe+8zP/KHvqJ7edEXy3R7vDuejwFRSiop3b28ycgf/8632nGtp+XxK48vH1xq+GpaVZE3WKFa19a0KcBwXzRiLFMqInMu+2nOQkJIiMKUkriZb2QOGAehKecwy1mY+Hw6BUTOAojMvJt3lw8ORKGmn3r7rY8/+nieJwAw93WtI1Vz++LlN7/+zWfPnuSS3RyRUpJwH0Y9VWMh611rC1diAoyIsG7oBmZghh645SiDEAkwERZmAeAIdIMBoievqrVVAAzmRW0F8Dlfa1syn1N+6fFMexwOT4/nM4DndLMskbi5hfnlbl/K7vZ03l9ciqRW22G/e3B1lXJyBCnZx9YkiUgwPLG03lgYENZWBy/WzRA3/7gHEMkwfonklPOjVx5fXl6ae2AgoJqNh63knDnlnHLOjIwIkjKxACIQghAwObqCA3AAArMhGoAjBmIzNYTurhDN3ACnecdJwkNbl+FbVAeCrvret33hU5/9TAONIe4DhpubBQw9w1y1rpWYAYGTlJLNfVi0MSgAhpO11h4YklLJEzF89NFHT589Uwv1qF3dfSpl3hUWbtpbbz6sn6rmaqraLSJEJOc8zGZb3pcZEMbiqYMNQdG0j7M0s4zEHBIiI1CwpK66fSeZuqqGq9lWKgaQRFJOo0JOtbdWP/zgg4EcH0o+BODQ0nDI+OP8c08fH91giONKAXAPyojY7tBDHRoixni/hsjlgYDoAebhHuPf7jrsJa03c2UhHKzSYX92GwbpAZ0fXsnz6VRbCwyR5G7m5h7gCIG3N7e9NgBYz2dtbciFbp6FKQSM29LqaZ1LEeZ5NzHzfndwD+s29PcwdzUMYJCxcuE4KSIJ8djlwiEQPSAMENgdiQUxtp6taA6m2tX72peqa7MeEBp+uLxQVyDCROOvjQi73TzPc3i4mamt6zqigGN6XkoGBGFmIeu6LpV5CwQBYm/96ZPnZZpzFo/4U3/6n2/WWBIRs6AkPp2O5l1d69o8wsy6tt5b1+7hLAyIHs4ipqpmAy6v2gffrbYOMHAPHYB9NJAgjbevd02pGMR0mN/70ucR4eHjq9rqOCv11sIs3Ota3UK79t7HLZWAibjWyiLvvv2Zm5cv7463YQEWrdUICx+VNmhNmWBtDVmU6FYXuii//6d+8tFbr18vZ9pPLtBaq7WFx+V+/+LpMw58/tGTRLIuFYlG3cqyLq13CBfiRIkZIyxcx13N3N1chK22vq4YAOZu6mo46pYwmFGYkjCA76YiiMK0m4uasvDxeNTeR5X24eLif/Av/w//N//bf+P3fu/vffvTb59Pp7VWdXXTX/v//drbb3z6//x//XN/9v/wvwsnUxtlwL0ZIqYspRQIoM0fG0xivYMHBuQ86qSIAYQxsTAzkSAhQggQe7CHAPKgt7s3NXVD5ABc3c8ex4g7gJceLw1e9LhWpP3VncY5ePW4XZY055J4Arks8ysPHkvazRcXDlGmOQLefPMNCnYHlhREwGI9EpXC0wYqs0gpATgDMWJiAQ/THmDjVGg+1G4A4jxNqeS3P/sOCQ3iESBY7+GjiBUISVhKLiknIEJmSolT2oouScYpYdwVBpIdCIKQU0IkR1AzEinTTMLe3arNqVBXb+ruwkkxfvZPfPX2dJemnHKecs7M6MFb9zgnkjlnt4AYxA4CACGGCKbh1EB1c7dcSni4q2ln4jfefOPh48eOoQFlnjgnSoxEqppymqaJiBAo55I4CckQmpiYWRCQgBAGiHmwoBwYDcPcYaMQURYG9ymVUGdhFjEAYOzaB6DfI0QSEamNVEhIkpwSAKoqBjLyelqePnlGiLU2hGH/pzHlHayR2AoAwsE8jICHODQuJB4x7m2wFQWPBX8ThO79QCD3wtBWczLOeuH39wAIYkYYY2carsrxW5h5VG4i4jiLVW211kITCZHIyBCknMDi4aOHyL1MuTekxBBIDKp93s9rUzWbJxnbVUrSlm5unGRdTkLUWk2JgGI4O83NVYnY3CwMnAjDA5DJXWPLWFIMpq0ahDrhgNwGIBK6RRC5hVnv2mtfHUHdgTEC6rK2UbGknYSWtbn5fLiIUSoUkXLWMFVlEQ8PB8kipQBDd4NAJJqm+cMPP3zzrTedwN2+/IM/8PDBA+2O4K1ZOEy7AgARAoiDSkQBqr7Jc26I6ObEhGP/gyDECOjNSRAFQGEAlgEg3EUSEvWuETAf9qFecmHkaZ6IobaVOaVJIqyt1S/2QKG15bmEBQkhQtcOQASYcwaH7v1Tn/rUi+cvX754cXF5iUJJ2DyIyUE5yRAxAyEAeJqC9djrd/7wl19++NF/+Su/mpwmSruplBlvj3eH/c56u7254V1+9eIN7YaA05Rr7QjIJOu6skjKWQLMTXtPKTFzbU1IDvvdql3Dd3OpvY/4amYGREmiahFwsT88e/Fyt5/X21FJa9p19E5r7xj+9KOP/+0/+2++/c7bjx49+twXPjfuykmyMr3y6iPz/jd+4W98/etfP9fbwgVZIEa7BtXapqmUnNba5LC/OOxbXS4uL17c3JgHFTRVQoSgLKnriojIFBrgg7MXkohgQPbJwBVCIZq2pn5SO/bOUzo5HNXbJEuYktwt9dhanmb24HBU3Qc/vrx4fb+78JSYKi43z69LkssHBwMTwpILI7baCCnn5GoE6OraGyNE+LKsta9j4mXayTgFoAMire2UijhAIEoqeZre+NSbv/FPfmNdau9GgAMEWfLIzbbhikZAFgkID0diRFcf3nMgCFNDJEDAgG6WSMwNxriAaJqmQGytadOEBG5ae2ImoUD4wne9f/no4fXp5AjgMZepSBbDIcCOTL42BQfh1Fp/9Y1HZjqIX+Y2Hk6mbVVx9ZwLuqlZ7S3tZoVYW533M5BGRKttNxViHsB923okY10rAjpwN2UYh/3uMVrveDR8awQQBKJjmJqbpSkToGnXtUouGN5aj5wCkJlJxNTMLSLG+SbUckmEqK0vy2LuU0pP7m7ruqY0jY0UIXCoPzA4hA4M26l+GA8QGBmJtCsCeLj5AA0NiX6DWIYP1Wq7OFAguPvYiAaayAEsPAA9wkwR2SHUrVvrbh6BA+4ZwEjblYKiafPQ69trySmV1E3XdR1XOlMf3YfruZqaqfIGwYW785mJM0td15FdG4fcu/OtTLJqRRESQZEAGmOW6j0QqnWFWF2VQkOd3NGDIsgjwrxpq2ENwzmYgVOaWEpOJaWUUsopTXna7Xf7/eHhw0fTflemqZQCgSKJmABgt9uNDg1JYmbbDYsQIpiFkNT6CI4DAlBM+6ShMqVAIOAXz54lFjdFDHD6yT/4U2bdI1LmlKnMCQl6b/W8hJqrmiqgy9bkhYSYRDDAw5BABtgFnATNTUdsQtIornNHVT8fz0ySUybgMGytC9F+P6eSAJzToPGbm4Y7BsCAbwa62zg3eYxKbHMwh+iuu91MRM9ePLOmaBBmYCYoCCgk4X6u5xam4cCCk5yh7199/J0/8AN0mG91OXlrEHmez+elnpeE8Pzjj59+8BE5TLlo7a66nM93x9vDxSHn3NW6qkMgsalDeGJhoiyckAQJ3TNzKUWEYlz21Ls1c13Pp6vDHtTQndwRYgDTTdVUI4IZ12U5Hc+9t14bI+Wce1cRefTKw7rWv/wX//Jf/ot/QUBKLqMjc6TAmHjKxbpeXh12+6K9am+9dh+FvwjEAkQt7FyrWphbkGIAmmeiKQm7owERshQQaQbnqqv7nWlPPD16uAQda+sEhnh7XI91Xdw6YLO1nWoxeGW/+8zrj9597dHDUsRNtZ+XZdqVVOj1t16TxEER4G46cPkMVCQzooWmnB2AmIEwl4IAZurhbqreFz0G2lR2DFLS1Jq6x7Sb5t3MQnnKZZp2+50kIaLe+iBigofVHmbWWl+rtuZu4MhBGOFq6EAe5CCBoZZJXDsCqCoi7ncHQsIAXRUdMaKdViEyNxTq6H/wZ37azKddGZiEaTcJMcZwo/Zccl07oZyXBoAp51Km3tvaFvNecsIICOjWkCECkMDASMQiRHKthsyPX32lm0KQdZtyQgIAZ2ZCGkq5mktKIklSyrkQExFmSTmlkhPhmM0CCQeRuuOAfDCHGQEeyixIU5mASErhJJKT3w9jVbX2OkYj42SeRBz8XJemrWv/1re+JZLXWpFgFLSMQsl7AWhYO4mRcVswQN2Ghg+DEzf6wbY1eiukHFcBQoQIhBjdYg4IDg4RSDT8pG469CPkTWFCQBjEiU/Sv0TgNoRURLDw27vbd9+UO9OURlkTMAuH+v3OU0p2D06YsiynWqadh6u1PKecEzIc66mZLnW9PDxQsJTTqt10s9aBIAA7ggcpAxEDECe2ML8fkigoAY5WZAJy89at9xUH6ZzDDYnC3bCBuzlFaw0QnXAqHSJEpJ91ePtSTqpWz8sw11tXSpmZNJyRkzBkPvs65X2a03k9y5w1+m4/vXj2/OWzZ/uH8zTNp/X0s3/4D//ln/8rhRxAPdTDMXCaklCqtfoI9Lqb6rhyqpqbAoyqUiIjJHL3cSKWJH1tvrFhIeUMAOVwwSySBJEoKNyEEwS0Wg+HS4RQ7cPSEx6BgUwUCMxVmylTiogQSR7h3ZEJHDinMk8kcn17fXV4QJmEpfcOiJiJAHfTDgJqrYbERGkq63GZHl989w//wNd//TdffvwM0CmBFM45v3z6Yn5wOF7fThf7vJ/3D/bH5RQIAVybBoLkFAFAoasiEQbiGGy4E6Ig166pJAdwRI9AcHULQBa21iU8tJcpc2I1U1UDBR7IRPvS++//T/8Xf+ZTn/r0N7/+jV/9h79a6+qcmOh0ff3koyePfvThv/K//Je/+bWv/9X/+K89/eiZUGZmMwcM9xiqr5uty1oEcim3d02ShDkgLGtFhCBCSRQKgJwEesuZERHCIEJ7C/emqOG1dWcycAfpLMdzf3lsME2nXp89vY2UT2sDpospi9tuKp9/643ieGDh1g5ptoxLKAq4OxcGsW79crejcAEEd0Qy60Dm2vaH6cMPP0yTVK29NzcjxgBPSYYbPEth5u3UHJZzDvfT6fzgwQUgbDYmQBHprbFwgGvrJCKc3D0CNmaGh4a6OwuLpHAXTlsECWBdlpKzR1xdXqac6trNlYnRPIlQBCGa2pgMv/3Zd9/57Gdul3MATrns5p0gi5A3C4OcShHpazcHsxh/3H63W9qShcJtm2iC55TGJ4uIRx5ioL0I0QNOyylxcjMZx2RzIh5BooBorY1Rr6oxAidGoXFmZyJCcjeRBEwOME7PvauwtAEg6arg4Q4RqgYUXVXdSRIQEmDrXZgDQYhqPRmSuaVp8nCPYObf+fo3wzwLazOzzdZKxDCcmjC+ThirdwSG+6aEb3wgGM0u490ZUs3wIgcAjYoAhIFnQCQMA/MAsOGdqNqZCALcHRCJcSieAwcd4RbmbkiAhMw8npQnz57AlzYZkAi7miASMoDKNKl2FkzOiIgBU04AbtrKrqj383r08LzPulhdl91rbzqGhatZJgIA64aAToEIkpObuhlyqPvAteA2C1SWDLjptZwEgoMktoJPJQYIH8OBoQ1ZBDJat3peeu1tbbnIGJoPnlIAlJwaNc6JEOpaOQtssKUgBsnMCbuaajdXtchFTse7q8cXgACOr77y5vtf+NJvf/1rpiqIW5zCPDCmaWLmQePQ1ofJSxjcZGyuAIE86Hs8yQ4ihLkc8kCClFIcABxUdbibWu2mxoQElFJK2SHCatdmCBBu2hpPmYhMjQLQUbumKY+bYbhbGCfx5gEuJQkLRDx/+ezhgweEGGGIaNUT4XC9ZxYP19aolGk/r6cTQrzx3jvzxcXNs6e6VNmV3nrOyZu+fPIs7cpFXCGhJOmuUvjZi+cXF1cTce9dMjMzALTeiQgRmDm5lMR6svV0DsKpTJSy9j6Q1ihsw7ssjD40Gcglqboj5CwY/k/+0X/5X/vZP/bOZ955//0vPXrllcvLy+PSwR0QX3vztdPd8W//0i9lnp5//DxzGfsuAERgDwWkUgoQEVMEqKnDNjMbhn8ENAyEaKpAgU3NHYHQA0UAtXkzt9ZDkTrE4lrdVcoZ6IXqSfanxW4aab4IDkhwkWkmf+PhxStldwlcDCbAPM9hAPvSIZyCiV59/bEw7qcdR4Cbtp5TYkYEVmuKDUEMtZTp7vq41DVn4ZTUVCR1bUCcUokw9eYOGRMRlpKEMGUuJSeRMCcgR9jvd0NC9uyq3brmkuvSAABGymekZhTN3FRTcvOQJMy0f3CVmLs7Ep2O5/Ge1tMylwxmwwUQECmXav3Hv/IHW1dJHE5uKkjRHXlb9GQLUuXeGhEDkuSEQtgxwhGx9T5UUwcnZMIBLCAI6lUBiZhNOwk7WCYmHNzx8fo49lBmGSNg4YQwelYQAZvWJCLBg+c//v/ePQzHFFCYI2IuBVedp0ndnEJS6qZDwgdEZGAld4sAD0giu/2ulHx9OgZAYhGkjz/8lhAROCGaBxH6GPQGDnNnxCCD4kaPIhplhWNt3yygw7q+oYW2o/yYHo+IwObJGd/9UaUw9B1m9vGAIxLT2F7cHRyAkQJGJHh8UIfK5GG3x2szW2p192VdLq4ukKKtlQu4W5pTq93DVT1P+fnHL3e7w24ut+spHfaAmNiZZT7waT2WkojBXCVxX6okmktRNwuTAfwWAOQIhdGBieib7w1b7yN7EtEBKQYpGwDBwYIEzWwMcnLO3RQwHCLUp1LacR3fKlUlJlOta51zqbX21i5y6a3mnAd9tfcWgCFe17WU0i2Y8Xh39/DyqqTdr//T3/zM5z5zWtZU5mWp/+3/1n/3z/xP/kc5C0QgYWvdesvZXL1MJeVsaimle9lBwnxIkSQ8DORrrQAxAuQ2rFhqXTU8mMXMkwyJS6zpcEeYurvz+MxMEm71vOYyMcZckpmlnLuheyBAKaX2zkRAYGGSxDyAmMT3+z0T397e7nSf5wwIgTTqwlPKwbCsSkTMvCxrmae2VJnw8Pjq8vHlx9/44OWzF8g4H/bH03HezTfPrwOhzJMknue5ej8c9mbdgsexmnC4MHGcofp2OoOpZKCwCCYiogCXJB4RBPNubsclCa/L+tquqFuMQRBCWzUxXj24urzcv/P225//wntq3lrrtc7z9PjVRy+ev5wupu/+od/z27/+tcPVvNxW5gwAvZskmnbzNM+3t0cuORcxM9XuQe7mBryVBVBYEFJOiQRBiBp5KDOae+8KRGa29sbzjFxOt1UBKtiLXq973Da6XXoP35WEtaXe3/vUWxdIb17tdsDtxelymucsKfOy2nHta1vN7erhgQkKs1kHj0w0zXs1BQwgAAQGOS53ksndemulpN6VqE8lr8sy7XYeplZRkYiBwCMI0Ef7JtKADo+hoLB0VXAYOUVmzikxU0nF3QOCk9TeRl2aiBBSQBCy5AQIox4LGNdaOUtrLdyTEHpAxLosxITElOTy8aMvfce31d6ZeVnPRWRKqdcmLD1aLgkczLTIdKxNclprf7zbnddFhBF9PS8DaQOEzRQRaZxQPUxtPFNJZJCjhLCtNTFBBAlGhJoCkEO01ky95NLWRkJBMkDxpRSMYCQiCuTm7hEEhMKDtDe+Zd41VOeUc8lrXXRQ/GlsMjGMPZLSoBURURIx1dPx2FpX1aX2892R3WyUT3xi/tlcnQG4LecRMV5hlL8DjBqY+1+GUWF7/1/DT0TgQymKEERQtTH1Hb9fw8IMEITFCJE4trkLbkpOOCBDjCUW0MHMmYkIv/WtbwXx7nB4cXc67HdgiggXu1Jt8YBlrdOUp3nOk0SFnMW6WsRUDksDDbS+Hnha+pkSI0UpTOBgMe3nZVlgpA7RkEtd1+5VkLOkwePc3P0DUsQEMXhm4OgBkZgByA18s+6OHAVsxfFMGF6mMqwp5oqCqSSt5hDMzEQQnoSZsEeYGY7vACUWAupTmRIla2frlnclHFnSyxfXRLlMkESW0/Ld3/Pth8NlrQsEujsPnwgYC9W2mncA7L0CUuI0bF5uDoRWK4t07eoqwr12JvLwMGAWIgoiIsqpjP2aCPOUKAgAiCnPKQAtIg3rWMQ4FmjvmLb0XK/VbSba8ISJBIHqsgonV9dwYso57/eHm9ubXNPFxUUpxdyF0iCPi4iBt9oR6VzXJFK1Y2bi/Mrbb89Xl08/+Oj50xeXh732DoB3z29zLg9ffwUEw6L75p7Kebu6DlxMYCBtOUYIEMZZptq6q1Wt0zR5hIcVnjQaEhIGEazLMrqxJQsJA9ic86uvv/Lf++//S59+663zafn7f+8fuGkW0VqXWB48fvCP/uE/+rm/+PMffPCbb772ztXVo7X2cJCcEPx0d5ym3dWDK8xMzCKciuBqBCQOAw46wOPjMGlq4TYOVV3N3FtXJGwRPaKZ3VZdHDynm+pPju2ErCUxcLI6tfWt/fza/uHbDx/uE/XjcZc4v/IIXAsLEBIDsQG4CF89PHAEIIytfrjWicjCwFHVLDQoAHFdV1VDCAJMIggwlUmQEYRBIEBE1togUJjDQt3m/bQ5ziPMHOUeuBjBhK33AMScTDUAJLFpR8CSSkAQE0So6kAtrcuaSkoiarbb7dTM1IlxTgVM3ZwR1Y0TrVp/+id/bCx5nKX0lEWEySUBUYAzk7CoNqItVFXmkqdCSF0t3HLKZhbhCIS8rQyEYFU5sRMMVSPCMEC75iQQJhvWTFCodWXilLJpBQBOMpwOZkaIRUStR1g4AAvhqB4DJrFwMw11DJiZVc3ZLQwQN5ImBKFBYISPMKnG5rycSp7KdF4Xs+6mTz5+1tY6E5sbjTRKRIye3k2YGDIXhNmwLW0yfcBIgQEEBIwA2id3mw0f7Z+UiYF0bXQvhjiEqQZ4hBOghTuEjNsEgpsDADHjwOJ3lSzD08qO1jVTub27M4Dz2i4uD21dtM+JSMF6b4RCRIAESK13ClK3RDxGUkGJJT28fAygyGBo67JMpSw3Z1a0LXSOAEBJLJQgLvJFtwYeNECYEcyMQIjOwmYxLioYKiV5D8RB8GDAYGbwMbvDAfvc1CNzc3OAboabgdTdvHuLEbELzykrAAov9ZwiCfJae6xwNV1dRwUFctZqh/ni3JZnT14+ev2h9T5NpWv8+E/85P/zr/0VDACMlHLrVc0hgISHC5tFejNGjKD9xcW69ABzR3cDgDAz9N4GSs8DIEsmTvvdvlcVEQ93H7kBK0ksnITdwxxQ2LpvZTeqAlndM0psGiXW2hMiMVtXYYGAlBM4IkLOZV2WJDLLDonujnfH06IRKQuJuDq4ETHA+Pobs9TW85R7690rJdw9vvrsg6vf/se/2c6L9kgEbvry+Ys0l4vpISKU3dRNW++AIDxmVICALOQWnDgAWq8saWSwt/svbNFD7YqAY+40TQUjhmdRtbt2036y23/667/5D/7+L3/bl97/wS//wM3djQhhOBC/eHr79MnzP/AjP/YdP/Ad9e785/6t/8uLJy8lT4hovafMjx4/FJLe+n5f7k3f3nsHQgwcp203I6JQNdWBKWytBRoiqZsjGMSiHikvCmfAlvPTu+X5adWUOOFyukOFT7/x4CHyFx8/3kFMZoK+O+wwIDMmmrV3x+hR08wRPk+JECg8SSJ1kozkDkZI7oEUAdr6mue8LOfb27sI723UMER3zcKI0HsTHuREGCUZCJRTzilvtMmICCg5K+i6tJLz+PgjgLYGbkAkKd+zgTEJqYe23nuXnFrrhWkqEyeay3R3PDLR6XhijCmXVvuUxMIAQE0ZEmb5vT/0fc0UiMBDhBnZzYG2UBERuRoA2Li4B8xT4iTuPo56AaTmSOBqDjByvREBTAE4yi0QzVpzsyScsjDJej6P1uPRxlVbt+bCqTcVYcqCjOHmAOaGAGqOiL01SeUTa84AMxAl712AWYq5haGFE4v3LUMHAGaBuAmVCChEDNha671HBBM/e/IEPJBgTJ7NFNDdfIxkkTYsjrtvmlLAuHJFOI5z/zYm2K4IPnaHsXXExpG+l4NgJPYbhIswAVIQEQ871/CcmFuMeuHxqng/Yx4hAqDRUPP8xQtE4pRyKSzSenOAlEspE3i46khouRsxjdQWALkFOTJQXVvihICm1rXPu52BOQCLTNOcS04p5ZJTFgYKUMZgIgZkD1AnAG8K5uOtBjQAZYpwRbh3j7AMNQyFHMzBzZUII3wb6wNs8HciQMwpCbO2TkQRrtq6N4MO6NNUxhYzpZIgFZx16V4tPNSAWKzG1379awQkWQLxdD790T/6z4EaEpr5cl4lJxa2MCKUkjzCwta+rq2urS6tKigyntfTuZ5O691xuTue7pa+GKhFC7CqTU1NDRG1q3Bi5pSTmbnqYIVr93AUEXdTVXB3M2295BSbt8AZqa8VPNAjwmuv9/lBULe1VUnZAhRcSj5cXSLhzc2tqmqtsKU0lAMwQoi0dQRYz6uF5ZJ5SlgSZP7059+9eu2VfLELRPMAhw+++a2Xz661aV3rcEwDUgQ4RO/NPULDzV07hObRUt17EUlJ8lwc3NxFxAFUDZFMXdWmeTqdz4PVwSwXh8PVgwfvf8eXfvwP/tgP/b4f/Mw77xxv7xglPBjpjTfe+MK3fcEtfuGv/mf//p//uZcvb3DQFgGQiJDaWh9cXYlwXeoYe2IgAaIDAZGHADGhBHIAAYzpkXs4QNeOiRXh1HSNuHU7I76o9nyxlzU6pblkqe2NnXzH2w9/6Itvf+9nXn/AcUnxYM5X0zwlOex3pqtHlYRMlHLS3gHs6mqfCeeSKVwQhXm4+7tpKgwwvOCKBNoNgSSliCBCj0Aita5uKSXHIGYCQqRwjECizJxb68QSm3HdISDlTCTa9e7urraqvdW21rX23hEBMRBAu418ugeoGWeZdzMSokNd1t082dpsbRMXbY0IW9dlXYEplbx4//4f/fLucEhZLi72Yc6EmQUDEnE4CLHwdq91c49otTELEi11ZU4k0s2Q2QBQEgBJSkxCwCllVQtCwFBTQEhJkEHdWq+l5IH77r1BBAZaN0HaRqpDcmS+j14Nvw9hDPf8SAeqO9AAXzi6qlrf7w6EDAS1NTVDorGgEyIjyqgFQEjMUy61tbVXM00sTz76ePgH7kEOsEXKxm0CGT75yiB8E+vdBgp1GD4BAMHGHg6b+XaDPoxRMSIS0TjVum90Qwd3iCDQcaa7D5oNeBAAmJl7aDcgGjcDCAgfPiK4vr17/uJFTrm1Zt6JuTYNIDMEI9BwtePxaGacuEyl1U4AQgje6nrGoNOpjtbel89fZsnCUnLupqdl6ebnuva2Wm0UUShNaXKzXisEiPAYBw6RDSIoQJBGs8eodhobbMlFRFJJeUp5KrvDbn+xzyXPuxkASskWjonUzT2GP3YslEgIPFKJo2EYRy4scfLwhw8eEfIAQLLwsixTKR8/+ZgA1nUV5rXXh48ff/u3facIIA6thnvr81T6kP96M1NCIgI369rDLcABAyPcOssW8zOwQHfQZnVti7mlLDAS0RZmvj3QCK0bIqWcIpBZzN3cTK21rqZDEMs5tbUxc5ibakBgoKqNFlxJgozIKEXMIxDKVA4PLi4u9st5OR6PvXYA2AgZABGQU5IhiSIttTpAV6UksiuvvfPm5auPKWdAPp7PXfvNzc261vW8qrrktLYaAdqNkwSOZ5cIKfF2HWZCbbXVyogiydW16fadpyxUevXeo+vYBLW31q1dXO4uLg+f/eJnv/z7f+C973jvS9/5/vXN9ciza9iH3/rW6XRz8+L6H/69X+1tLTmZKSDmLLb1oTsjhoeIAMC6VmZBRBEetnaM4ffxMAcI02G2AQeqZmuP5rgGNuJnp+XF6XzsyilL0Oz+hdde+aH3P/+977x12dsh7DLJ1X6XmLpVBAPrF/OM4eBel4UQtNlwoBVhwQg1i+7QHdwxRLCvq2tjinnKYHa8u0u5tNp58KZGmo8ZENR0eK3GI45ARFJbzzmva5v3s5njPejnnj2DrbYtfuKmpr0rITFJRKQ0UpkgwuExT/NyXiKchY7nE4sw4qjRBYtxkZIkqh0YochP/OxX1q4ylaVXQJjynPMklAFgbQtghIeaSc7n2tR8f7HbX+zdbbebe+8O0N1JRCSBhzCb6qB7IUBO2VRHCwYS5ixMFG5C3FsbUBxhMfONiB5IA525KWGxUWAB1Hp45JzV3NSZmZgszMxGuBcDgcApghGYa28sPJUSbtYUPDysa3czZMwiglR7uzsd3TQxf/A735QN0LC5tMdtALevZdQIGwAQbKHRCL9HVIytY2uLBIRPvuxtkA1jJ0KAkN6VhQAiDM3co8f2DwhLUKh1HPcNwrBRCh8Ohg4QkHNyCGIal0cg/PjJR++88alS8u35uKzr1cOrddXelMmT5CypQx8+IkISJvBAjsFzVLP9Yf/k5cfzZVnX+tbrh986fk12DyTJlGd3RyI3JQLv2iyAwM0SS6K09oqIWTIJuxuRmHuEpyyqykHuCkBmCuEW6t4BqXtFpPlQRgZtOW9MHibiJEiUhOvawwIHvEk1J+lm0MlHHFlQQ9d65iyBHuTL+fzo8USCIqXbej4fd5d7Dy9zuT3f/fP/4p/81/7Mvzalx2h0Pp85s7sTRmAIE4QH9CAEhrjv5hVB7SqEyLxaR0IIB8RhABtwCPEx3zNEMvfwIAIzdXNJMwRGQMpJhK1rgBPCeE2PQZoN7wpTDveccg9jwmVdSirjOqhuCCBCMFpyLUi4UFnXent7d3F52Mnc1ZhcCInyUmsElCzn81KhsnCz7mBI8eC1R3mennzwYQ7hIrc3Rxd6+Mrj0nyxJae89kqMBCXMw00kE0lTNffoHYkZiRnBQj3MXESIhVMJI2IB6muzQTjYTTMw3t3cfPPmuNwdf+2Xf+VXvvsfvPfFd89tubq8rLV309vT3YcffIS/h374D/zQd33Pd/78z/38elIiiQjXIKLEmQnWZb187VFvHTxKmZC5LRpqaq6tBUBO4u7MYm446kYBLeJc7Vi7J+4sz+6ONUjmrN13Qm+98vDb3nl9T4Fqqel+N3EA2hAugMOTcFtWR4nt9DpOdj5NOYtoq1kwFxHchA7OfF5uppwtcG01Z3nx8hog6vlU14UREtDIs9gA7wy4c0DXHsTjRkXMukYuk7tLYjcyUyASoVFNLpvxcywoHB4sbOCMvGkOhNZ1N0+Z4e76OO93lImm1N2ub25Lym6jr6sTAjgQcQC/+6XPP3j1Fa2qEXmaTKS3Dm6MuJ+mu1rnMomI9zCNQFC3B7t5nvL5tEhJ4Z4kybw/18W078uOAsONWYhCVcPsYipIeH398nCYw5QgRGhtp5KKR1hABJVczucbIlGNJEWtjxcXZhwLiEfihMDgHuY55T4SWUGD21yIxREkLV7XgA5BORnG0tbMOcCZ2ShcfUR3JfM0F7sJyqw3KtN8/fx5JrbeLQYogoaaA6MI7B7rtt0A/ivOnw3Bg0D33p9AQIuRqAvYbqjbkEBGZ9rmFNooERgexNiscfCYOEdEWNzn0QAQw4LuUclE1GpDsDD4nW9+440Hr3Y/B0JTa73tdxdMweir1ePxtH8wJREiRkJVzVlcLcgaNMbZ1mV/dQnQTJ2Fy1xyzr32ro0puTmTZ0kBXHIal9Paq7khspuf+nmANmnbuSUiwMkRgAgcOBEiZhTYSrWotr6e19Z6ltJaSySmlmIKG/spuYa7Wzdyh3Ai5gAiggBOjAzjB49ff4yMQOjoy7Iw4TRP66k+e/r81SS7wzwT1RXe+/wX3nzjU88+vssypZxzlt4rdAh39cglIYaFBYB51+6SCSAizNyyjIdQzDvRKD9AxOi9J7ExpCNiiNBAN1dU34JQnjO1pakaAvTWMQl3BUJidoic8pgejAOGufdeRWR4GQMCR9c8ABGa+VAuEXCiWSSdlsXUUs5uttvvw6zkPGK0RDyO7SiEhn1tVOTh7pFBvHz+cl1WQ9dmL59fN7XLxxedPE8TENRVC7Ek0W6GjkKIArSFGpGwNyUSTkndKTwl7t3AQ0oeUX0EWM4rCl1cHi4O0+fe+/JPf+XHP/vu5wDgr/+1/6RpMzOzAID3v+v9T3/xbUp4c3ODP88OjTEA0ExFpPe+2++3ND2RaSdJSCySzDwCUISZRBJB3Bzv1HVkZcc8zJJ4ktXixfG0mhs5mL2637312huv7vdpPc2Mu1TcbEKy0A5KKGA45+zmmQtECKYOkHbl5vqszXfzlARZIWUZJj11M2+F827et3qqbS1zkpReXt+yiK2NiRlhaBBdXZiJhto2rOKRhDWckIiTW5R5qrUCQu99nCMpwFS1tUBztcRoPlpRydwDgIR710CMcCHC8OV0FuFaa7duhC9vrgfLJEyJApmtaa9dpqm7/+RX/tnWlJNszN3AkqbEKcw2UQmRhWPY1SNYJJXS1QAwLJLkEflDpoIFEZgJgNxh3HVLSaZqTR89fGCqSbZT3Zx3Nvhx6k2tthMBhYWHOfq8L5lzoA0hfux6AW7mWVKWZOAjnwWI4ehm2j264UxSyohGgzojCXMmUfbaO2aWJOgeqhBh7rfHu6E03Nxct/M540Qb8waGhd89wgCQNvLLAEHc+zt/1+a5aUNDqseh3wxS94CbDtTNsMnIkLbDfYy2iImZ3SI8wAOE0e+VIwhCCgBwHEd+Yh4NA+5BvCXInj59crjYn0/LnHe9aqsd4sQYyAHuMkltDRlKhiSJmBGDCBgMgNQs8wFBSZKDiQgTOUBE5FSERIhMF1cLg95gQJunNEUAMgfAyBir2igGiK65ZNvozUktUuJaVyIKs0AYxTYslEA4JeKOAe5bE2REaFME1N7dXACHwMbI4ODmOYure0QIXFxdICMAmKqqDQ4JM3/00UevvvFaq2sqOQm5xld++p/7d//8nwsE7Z7yPhUJ07BhQLb7N9uZuHmngTYdKTczIXKCQWAgZMPumx85mDgiBo5FeyCPYeAm/fU2RgVg6r31NBWwGH8LcN/ciq1TEq0NIEwVPJB4WU5zGUkFcndVDfNcclUl5ulC2rnmlJbzqdZ6cTho7910vHfWnRkBcF3qvJ8tLO+LW6zdymF3BQjX16p2d3u3O+yllA+++fHrb71eVefDTCkNf2EwmBkTQsCIqyEiOCSW7ooIjIDgQtjJkZA0mKnXlkQMJ/NgSae79Zf+87/7H/0//qOf+AM//tM/85M3L2/CgommXEDjG7/1jb/1//7bf/MX/9bf/Xt/580335zLLpyIOCUMNwTMU0bmurZAWNcOE4J5VxvpWyRupqsqAraA7qAGHt7Mm0VHXzu8OC4n7flQXnv1Ver9IqcLioPVnLlICjNO5KqEcChzb017B0QGYWBHXPUEmSOCEFw7CjGwJCSCsRgAwjztQs3UAbjMs7qebo8sZTy95sqStOuUJ0AnCAFyhDB1t5QKAloEoUUEE45EpJkiQRKpa5ecuuvAe41D4Ujq4ZhSjszKEBwMEEOS3F3fWRgx1UVRJBB2ZcIAcAOC3hUDkImE3nj7jffff38dGM5Q144OKSUh9iG5IARiAAOjRajpxdXlVGYEoCBCMnd1125lniB01GyFOyXJQuip19rWjgTWlYEYyd29u6MCMQAIJ5lSq4quyNzrIOh51ZMkwgBhMhsWW0ag5r5adxzjAUzCSIyK5CAsnsFHN5yP+2AwsmtD9zSQZQAIaACSU1W7OR7X1kjgxYdPoykVCmYPMuvusBmwcDPpDpV7VL0MZ+g4mA+i89gMYiSV78NbGKPN08cxf2wPol2Jtx2XiDb+D+GII41RwzhTD9sJ4taUNDQmi4H5w3FcSlSePPkYISx8zgWJOFFKqS7Hw3TQ3pGIZbSTYdlN+uQ6PEiQCT08T+n27nrayU4yIa61plzWZY1m5po4u3VAKznR6JGHGDUAZk7jMos4jD1jqmHhtaqHWQCGE3IAl5JTIlPrbZTQioH1dgYIc8cYRjc2dWGp53WeyvG5wUbQI3dXcwTKpbg5RKQkZ9VQGNMBYkamUiZEvLy8fPL0yTxPd6dbFpTCt+fzj/7Ej/17f+EvTDmv0LtWDFdXZCLgbWLBYBaSEywrAKac1mUBRDVFIrOBYwpHHZpet9qtZZrNDREB7y3cgOaBRBbupqWkcVGc52lZFpjTLjPTVHsf9gaJhB5qbZpmk2itC8U8zxGgNtJ2kCQpqrpLToSkzZiZEgLsTqfTzd3dwV04ddAIaE2nXamtIWLKqdnIHHMH4zlliNJ37eZ2LnOv/ebl9f7Bxcvr67IvnDhJyok1rJsxJUBCCY/QriJMRICRJRGxumL40tZtqWrNem19tQgDoJQ+/ujZG68+/PwXP/+VP/JTX/3qzzDQf/Y3fxGJvGutKwh84f0v/tTP/FQ+5KcvnghzPWuSEhFdNRGwiKoFwqgmCEIUbk0DQM0GDAeQXF29n3vFRCrQmlZzFz7Vfrf2YHrr9TfLLt8+ffF4N79apgthdE9SVE2YPjmU9N7CQ0hYsNZW0tS65l1R87vj+Xi3TDntdqkIF5Hh/oQwJsKI1lYYn3hHYXp5ujZXSaIW7qPbQ8e5BEcbpkeZZ0LxAHRHiFBDgmnKT5+vERv6WFVTYgRQ7REmQmFbtt/DR1c4Mpsa80i0G0C0Ze2tjYPmwBED4mjKS4RM3FUNgjMr+B/66s+CQc4JAQgIIiQxMQIGIWkHRp7m0norZaqtdbPhkjT3nBMihhkj5TkLMzH31lAk5TS6DmtdMDxlyZyIQ03NMCwYCTm6OiARgKotp7OHIzAGavQ55SQJwB1DXQMpHIA3fN5wxxJxjHyTekGkJHVZkdER2xi+8WAuBEDEsPa7qzkBIMI87WrvzdXBOOjls+c4QP0Qbu5mQ7lB+sSzA58I+pvl/35YC3Gv/t+v8hFOwb97RRiXgkBkjGHeGXQ68w0ZGuHuY5QPeF9E5j643mZqHq6hHjFgcJ8sN8SUsxxPN4Fe13o+nk/LSc28WyIhhCwJPEan5fF8190cBnwUQkGAwvqrDx/Nqbi5sKjq5cUlYCBizlkkMVE41DbCT+hBSIzIOZecy253mKddySWlxCxbBISIURDI1Xtvy3o+n0+nu3NbOjjWczsdj3Vpbt5bq7VGhJltVNEIMyXCwYw1c3c38928G64bZkmSiBAdD7v9bj8nkYjovQGDYwDE8Xi3rutu3iEQgwRgnuYvfulLS13DDByYOHEac9cIAEcCwiAwQCAzAyRmTpIGlJyREMPdwqOkAg5JJMJldL4MLVhYhoXDPCWGAcaBzdinXZlYkAj5k6D8uH4SEiF7BCO32o7HY+9deBiqzc0HehVpIKQUCS2im3JJDx49LGW6vrm9O51GNGQq2dQExcw5iQgHgqJRlmadCl8+unzl9VdYGAl7azfX1+u6ns9LaPSuq6oSAcugX431hgQRUVVV1c0D3LSDOyOEd7dVOCBUtbsbEOQ5v/HOm/uHF/Pl4erR5dd/87dKmb7ze769m0a4JF6X5T/9q7/w1//Sf/JwvvrRP/D7jzcnJkFEN0fAJGkgDQKAUxpW3QBkTrhpqRCI7tB7vzueHGlRbRgLeGW4q2t1ny7mh688uL15+eLDb336tUdvPnp4UXIhzixmzoU1Ym0NMKwP5Kw1skYQOZ1dO3kPOx7P9VwJfS5SMjMahhNGmJoqhPfeCSlxwthQ70vtYRQGI8syVBEi5O086YRAASNm2FrFCIQY0VkRCfBhyR/Nx642gKbgHuEbEA02NGS4QwAhYWC4gZm2nkWEmAEHTCnMzY2EhZPrtqzxVKbLw3d//3e7e+ZUmGmTWMNMmzZ1CwqWscoM9j2UMk3zBLGNO1l4LiUTZWYOMHMSEWY3q+d1PZ2ta05pLiUJxTb8MCbMghlpyoUAwpQBdrvdbppzzqnI7jAxQ4QBDuc+A5EDWoBCGGAgtt6QwFUZUIQ1vGkHRKaESIAciGbuHkQMQe4uOGp7MMLdXFKuprX33rogvnz2JEvS3tQ7IDCnAAw3xKAt3TtW/4H+jPvP9b3uAzisSnBfQvdf8QgN9BANIXXDhY6hb/j9C42fGPsNM295ENz2HXd3dWZyd7p/OMao3cxubq/reQEAx2ARAFBtqr22dXyGh/Zk3VORNBUSggAwDMNCbL21tiJGq/W8nNVUTacyRcRaF/cYeeWu5jBwnx4Itbe1tdpqrbW33lrvrQ8LYBj03h1iJHiGmXhseH1UoUEExOhZRkRiFBEWJkZEmOeptR7uETHwKUlkMwgKA5EOwzHiPE0lFTMbdoLj3V24E1ESvr25IabWezDMh9nM/vS/+C9w4rH7wuh6BkTeOiaGA3tcYlQtwIGwq40iNgDAQGYamgwzm6lZGzaA8SHvrZuptrauy/m8AEAa1hxmd2+19t5MzdUYCbZT3iBJkamZmiS5evjAPJ48eXpze1trJ06D0ebqGw89gBPzcHYIo/C02z189HBt9cXL69NpWZY1AHUMcpG3RwuREoJwMEKi+bCbD7tUMhK6x3I6g8VyWtBD1U/nah7mDg6mjgDo5O7ENILdqh1g8BB1LtlaJwxwDTeiMPPb27tlWb/xtQ/+07/+C7/0n//SfrfT3v/Jf/GPwz0X6bUTy4/9zI/86Fd/5Nu+/9v3j/YWnRjNbFC3uqoHpGlaaq+1AdDgyViMVj2w8Nra+Xxa6qpuIHSsy3GtDeCkGlNJF7NMqdVll+nTb776yuXFnBDdeq3m5uzA6AgsCR0EMeckxMLSau9uA1G+LqupivDjh5e7fWJ0Qg83RHB0SUwEbj3C1bqDlWl6+vz5qLiodXBowv0TSgyYe1cFIPVYax1eJpFMLIMOeXF1WE6LsIwaD1cbBVb3HLGxApFbjHECwH3mFoIAiIZSudmBIoCJGHFAXoGw9+5dIeDU6vf/2A9DzigEBuAgRIzIQsjIiYFCRNSsu3OWIEhZDhd7IuLEqciof+m1ZUmZ0zB0CLH2btp7q0K0nyZEAPTeKzERUJJsoSM11mpjoNGsomb9Pks2jB4a2nrTGM0s6AgOaBAWbmFlmgBAZDgkQoSDQEPTLruwhbXWJCXJadGqYYHYXQNCRugf0d2Op9NQ1gTp6bc+ysxEyERMgBgjDzDWbfpknQd0/8T8My4YGxaO7hWeMR8ev4q4tbPAUKQc3Exsu9oTohHiiDkgIRO5bcxoNRv2A9zwOIiIbjHWyK17gDEsAGFZz8fzUYQQYJqnJEnPncEBg1nW1jhzJEQg5pRKWtqCgYmzhrfapl2ay4QMOe3BY5omTlzXCh6925TSOBSLjIsP5JxbbURiZolFY9OpEFDV1GzOE/OMOS/LghgiHGMfQuTEEeM005lYVUvJvXd1HQBpZlE1N+u9reuyTzk8LEzrwpyR2MLXVlMpU57yNJc0n08tpcQobem4w141DL/x9W+8/uYbKef1VKe5vDxfv/vuu0V21Vf13nsHHzoabqJhIAAodMnSWpvmCZm8DQs6cKLYwHdmaonTtkPDiJQQIogI0oDlgQgRoYVZdVXtveVSENDd1rbONrt7Slkkaeu4A+GESO4RAYf9gYiuX16XlLU3CNztJwS0bu42QLsePmahecpOIFL2+8N5Xa6vb4HiYXoARL3p7d0dMQqLAZh7nnNYBJq75akAUzULcHM/nk7d+nE5PXjlETHZfaZlaJpjCs3EIyfMzAiOTBCwKWAe5+OptopSACKl5K7f/nu++O5nfuJ7vvPzZUq31zdrXXaHSdc2zxNF/od/99c+vXvvP/j3/oMPPvrmO597azn1EXUxtywJeDsvqfpIM3Y19yBEM6+9j/UiHBzweHd0hKpuQjHPab+7O53JbSryYHd5KIm0ee9IjMROYODWOkJYKLgPozsBqEbOqYOZe2tNuwLCPOU8MUKEOaMMSSFTgXDXJjSI6BAR3bq6qQUwo7l1o81XThABGEycJLUwH33qAIAYGCwMzqpmHkQcEW5BDiRk2tTVw5kAgbo6MyKx4ZjLIhH23nprQ4fQrnw/SzMzZmah0fyDwEnIOpAIZvzRn/6Jrpr3kzcbx9uU0rCxDx0fgcrWjYssvJxPF5eX+/2hrY1ZhnxdLoppJ8ZZ5tvTbWgM22Se5yI8/KrClFggyMGaNWYBdwTOW90xOWAouAMJllQQ3KoKoaTJHJsqADCxA2RJA8+p3UoRJN9QdqYZkxJ2CPMg3qbWMMr+Aro7EmqYkCQUpyDEpbfAIICS8s3zF646AiWtdw9HIiQa2E7cSHCf0AwQBxgR741Am2nHPzH80P3Pb8SgLQ7mo7MI72+xY0kdBxsIGIEh324Z5uExNha3ERB0Ir7PNOO4h6h1D3/2/Nk4jQ+q+7QrxGTmACEkzGxqknKA55yQsMwTIIeT5AQRTZuDA9J5Wa4eXJlZKpmQ9rvZQgEiSWIRZkYc5RMyjIDmLknMbTy44ZE4qeq6Luv5LCQIG+1PJLFIa31cdZMkIlrPy8iXq3Ym8m3wGDmJqe53O3cvU06SPaJpdTQLT2VyQgsHpv3l4eLq0tXX81pyTiKM9OjRo5ubO0QSSQGwtHWa5676p/70n2q95lwQmVlI2CPUtJsBoSRpa0dANU05IRJLIpbtO4/I97nqcYNjYhu0KQQzc9t6j7WrNmUm1Z6nTIRCggPmjggDeRbBTONG7+4jawOAKUma8sPHj/b7w7KuL15cn07H0/EMAG1t1oOAwbE1DQgDP59XANDw+bC7urpMJTnEy5c3rTUgrK1bOBJr19a7mXtE78olHR5e5t08XezyrgTC2ur1ze3xfL453t2dT2vvrWvV7hFr7ePobe5I6IAOMIaxqUxdfcxIbu/utCszM4l7API3fut3/sM//5f+47/011zjvS9+NhzcIDyAQFUfvvb4Z/6bX/lX/9f/yvf80Pc+e3HjEUihpu6jBCemnEVGLAbVHInW3tfeKcnWchV+quvSevcAlG6OkiLg+dOnbVlONy9ff/DwMs/ZAc2zCAlHgtGGhuCZk7Agk6p36xamYA7hFnfHo/aahC8v9kkIwlRruHlYhA+lCwNa7e5bu0vZlXNdlmXlKXESTik2cgsCgIermQfYViAOSNS0EW2bnJoPCG6rDQC09zH7CAvfKlkGzZCIhIhLKQO4PRo7em3DjsjCQ26GQCb2iAjS3kvJ2ruqjrnu7/3BLz969KqiW7hCoBAzmzog0JA7YXPBe8C6rgD46muv5pJPp7NH3FtXCGGrWjqdjsOtMU+5iAhhXVeCyKPVj8BViVCESahF3JzvznWhzEFQmzpjM69mx2UxRAdq5oP5k6eMSB7R6wDvIAISkqm2WiHCVTOLJC67gkijMp2IWXgT6wkl5SDsqqpqofOUgfjFzQ2MYBbxej4Toplu+SqzjTyxJbh8TGDHUub3R95PBsOfaDjjUzz0ve3n4H6qc88DJcQAjBg9JkTho12FNqfpQFAg3neQjRQCMnEAjM4vREIgJg5EC4/wjz/6MElKJHVpx+O5tw4+nrxwB1XrXcP9dDoGuUJ3MEdwRAdUd3Do3da6Ho8nVe2mXbuF1bYyUSJBhxh/UnhXHV9QIDiAh0OQ21adyaPEmYmJkCMJM9Fht784HA77/dXF5W6e5lzmacopaW8EMdqbR4jUTFtrrbbfHcUMPgHyxeGQRJhpiAG96+m0PLh6MFQCN+9NT8c1gojyzfWdaRBw7205LxGhqr/vR34wl4Hv9UBA2RS+IKi9d1VTJSIwaLWllAkZHBHJbIhC49OLW3+bdkQ0tQFgEWHiYdYAJq7raqoeNspFR9kWxBgx3WP+wAOi1rW2Va2rKyAi4fF4TEWmaWKkda3XL65vnt1o7eDBwOfTWUh2u517IAEXUVUH17CHjx9cXF007a33oact53p3e3SztraI6K2trdbe1SxlYWGZsgHIlBXi9nQ6r7WPFgUegiaklFQ9kGJD1JI7AGEQqUVKBUlIuHdjSa0bbVAXI8Yf+0M/8s/8xA8fLg4YdHd912tPiSOidf2Vf/CP/uy/8X/8nW9+SzHUx1oTACOeQhjYloUCckroEObaemIpu3JclnOrVdvpfAqIbspT6QRpPwfEuhzPNzf7jN/x3mf3zHummTgHMjMwUUJzk4jw5tAA3TBCyBBBEnJaa729uQWLnHJOlBImIXRnQuQQIXMTlkTY65JzYkbJySGqtrv1WHtXa4YWAgEeZjSK6QFla+iFLQdOkiQxMWAgIUtCZjUPQFVPKRFuS8F2FcJtQbdwNSfiABLh1lvXPhpDgdDcLXyUO6WpECUHQEJrioSt95RmC/xnf/Yrt3fHw9UFMPIsQGgexIKEzELMKWUIyKkQ0sXl5eXlhaqFQSkTChNTTgUAbu9ujrcnDCop7adpv5vGmIoQU2Ik2L4kj+HXXGs917Z2nS8up4t9j1iq9ghIknYTcMKUHMiBOc+O3CKaxojbEQMLJeLDbn+xm3e5XO4PJaXLw+Hi4jDmc0g8+slZeBg3eh/nsR4BIokTRURiCYClLqPDdVnO2hsAkFDTZqrEQihbv9qImA3ID+AI4+GYGg6D6mYFhXs+xSf2/mHrie1FtoQYipmyEBC4OjiOpWF46AdQ8J44CuY23EQAI0AosUmBNCaQ1g0QPOLFy5cDSDJPEwSM2akEE0IeKNrCI+CaJ7HQ3hsxqHvCzEjBhoQk0ryWuWAiV0METrw5Xh2A0M0dQs0oiIKYBCnAgYUjaJi9Bk+TiNwUghQcejQAYhZMgDjNGZCYaXQnERAhppxHAjAAzJWRRksKQpiZJB4Q7JEOSCSYcJC6ry4u67keri67WkplNNVNeVK14/Hu0e5xLoWcy1y8d/D4vu//vr/7d/6+mTJvWFZmdPeBtJOU3Y2QRtQw57y2RkPxgN91Rpt74hQxvqQIdxIOREKKMERgGtgntE9i4rjd75DIhpJjDuNpcpvL3EwDvGsDhHk3j2Hxrd/UYwXE27vb05EvLi/wAnPKEFCXmlJqrUJvqUirdd5P67LO+9nDz8uCQt1aAC7LUnYTAHz4rY/3+33m7BStVkrEk6RJFuvH0ykiJMuzFy8f0SMA7iKHnEfUGAE8wMKZRwRJAMUdetckHEHzfr+0jwAQkQbLNEmxvv7qL//aD/6e71GNDz/4UHtPWQjRDad5unxw8e6XPvOLv/C3X3v8yke739HWEZASImAYpCzzYR72FTXV1oHg1KuuoRaR+HRcAsDR82F3Z+bCy7KaKQO88crj1x89moB9rcYEDpJYVUnIeicAFmHMAQFAQdDVgIAc1tpbX8N9nnapMGGoNnDNIgEUDqqGw7GNgDh6hGTtPRU5LvW0NJlKbZUT031ZuLsTgtloo0ABQGROY92X4fOuppxSycX6NvJlZtM+6IGw9YkHIEKge+z3MyBIllH0tLZmbgBhFkgbX1KyIBAnUFUIaK1KStNuB5w//+3vPX7lNcVwx5FODfOUJLb8ESAScZgGIB52B2KsrbNInqbT3TmVhES3t3fEQUK7NAtAhJsagI/ELyIQsVrDoLE+9K6AmFJ2DCI+rSvhBr4NCtVw4qUuhMAiRKFrI4TBoTlIMdVelR3VtN+dejezjsStdWHOpah288iHHYusqurGOQ1GwBi1ClGoB0aAl3my8NqbQwDgs6dP3GI4eQjREZhJN1rzsIhvoswnavdoXRtmHYhPFnsPgOHyx7HfwwYGpYHx2a77474fAIPkjWOcM0bKPv6ACGCm8G2P2Zw/RGYW6GAA6BA+btMZ8/Oba8zJTefDzrpJcPRmbv2seXLMICgizILChAQamrEQc1tb5pRmbr0JIAW4OpqpuQSPquhABkImGJL5hrfzGPomEiBCWAw6ErgJijBbOCKO2mN0iAiFHoAO3tbKTCI8khomMaa4o+qLSTDGMTmsKxCFw1C7mEB7K55CAZEP+91hd8GUhPPpdBc76OqnU3v1tYlQXr68vXz4gAimkplwtyun4+0f+yM/+7f+1v93ypOpjpvdMAYH+CAsbQE/MyDOeRJaAcMiiIAAgcIDN2OPKiAA3fMg3cw0wolJ1faHqUX1MGY2JDcd5daxPU2IAK42ipVNuwhT2hIVqsaQLq8uc0qJb8+n03JenPzF8xe3t7evv/Z6EJjrfNjJGBGDMdNyPu/3OyQy02k/3R1PEDiQh45wc3NXZLYeL26vkXGaJzNA4d49TfnAeDqegCXQr++OFoBm8uiV/TT1YTAXGijWbs27jvi+myOLqWrCu+PJgXgA/Ye/2XE5Hb/ru79jXyZJstRVmHqtGLLfHb72619/+53PPP7Dj/+df/vfMg8RdnMkaEvNh71GVMOE3KoCQSA362vTRTswUs6WBIiCaXVftAfQeV0o7MHFxdX+8GDeUzeZpoTsXUcHbFgwJWaoreeUAVC7hjAntojaW20rC1/sLxKjakcmJnTACI0ANzBQ5ikCl9YoUEZ5bEK36FXBsZt2jXNfDtOEQr66gSFCRhqBMAxiZh8eP7SubSpTzqX2NhQE3+QCjADkYVTYbOA+wMLMUnKSZIHde87Jzd2dGC1iOOKIcIBmwKO3loRzTs1s2u064Ff/+B91i8PDC3MNN3NIJIgEFKMFtjdlZGHe76Z5t1O1tXXJdHdaylTWZRkm2sRSUhZAdvdh2sAYg41uBu6EaBaBwIQkAojqVps6EMlk5kDkHiR5rd3VdheH3VSmlFIi7BburVZf7eb0QmC8PiJQJtJTK8JcUkoEAP1cDTzNhZjO60oiA6nUVWFj6mBAiHBijoCU8u35nPfz6e4WHe5e3qIBcJiZyKifACRyCCK6nxFuxp7NBzTSvsPrPz7MgIB07w3azJ/DthvhAeJjwj2udObmvg2Lx4/Hbxwb+Oi4Hq8Rg0Jp7j4Y+76NEEZMdBzSGT58+mHzrq7reu69aVdVR2QbM2liiM1A5uEOhrh5EIUILUAVwE3VzVpbUk7IJIkDARiBERKBIMoAAgwEMA5GV2AM3E2MzGq4halbYAz/oiRBISBkEWEeMY6uam69d+sGgefziZlHBTwzaesYwDhM/gQALBLhRLQdVcKmKbnp1eXVoBXudjttSkAEcnNze3lx9eLF8whYzisDMSELifAX3//8m2+8BhijvEVYtPYx4BFh693NplIIqLce4WUkDywwaLwHY2o3NL5hxGIiNTVzDwf01uqw+Y7Rvo3EqHrvfUD+1LaRzkiMMXHvXbVDROuNmctUhoWUEz9+7dHDx4/m3W4YNyPiN37jN1++vHaNtjTrKkhg4eoQsJxXNcu5pJwvLy9KyWaaRPpaHz18MJXJzVNK2vXl9e3N7a17qHnihACXVxeuA/XgL5690G63d8eb41Hdx2ccAY7Hu3vei5lakuS6tTU9ffrM3NWcR6O1BxD96I/9KLh947d/5+XHL589fT7caA7BBBnpl37xbz754CNQyJJ4YL8iylQUrGpfzaorl9RUz+t6WtfVTRGU4FjXGqoUFf1mPR3X83m5A7fXH7/yqdde26dkta7rGcIjNMCQftc9EU7CySO6KQgEQettWRY1FeG5TEnQ3CiCAAhRmM2tmwYEgwTAEGFs0NCFUpGltnVtzOzBnEopk7v3XntrbgoR5ERAEGgeajqEtXAvpSBigOecIaDX1nsb98Jc0rrWiE38QcKxrZacAqOrmrapTMv53Htn5iTCwoCg7g5BQizUa4cIwuitA0HV/unPvfvpz74zgjvaNTEnEfAI8AF7VQ1CcQgSLmWnPdbaibl3N/e746mr5Zz2u12W5G7Lch73qpJTEqaA0QtCQmoQTJxTjzCGTqEOiMzIbWl17W4W6pn5Yt49mPf99rzeHuvd8fjs+uWHT2+fPte7JXW/TGUmmYFLcPKItU3Mefu0OgYEeCoJGdd1JUZgAoQwAw8azQrCSZJbMBABpJSvl1O1HgFTKS+eP2Ni32i/m4WHPrmp+8b2j9gkHf7EdztCoEMaGkPgIfmPy4FHhOPQCcA3RQdRRmUafCL1M0GMrpRAZuTNCBRmsVlNNh+BuQHgJkQ7EQpShAUNj79WdGhrLXlCoAhqLUiSWdS1pimFo6nu5mkus68RZkwoA1pRgxiDBqoELw4XLSk2B0Ctq4UxQYyiOQBGdg+m2Lai7e5EMEh2gEFkRBRhBE4UjI4QHg51FDuIUHVqbTMyMJGwAIoHBAQlXtaGHuAxiCseQcQDoYxAiDQaGXvvpchc0jzNTcdshgBpXW23n65fXHeLad4TZ0KI0DwVd/yTf/xP/Nn//b85lUOAursMkGfXIBg9RblkM+vnFRzmaVfXhVgADIhabSIZAs2UKXk4mqNQhJvZ4J722lnIXDUsk4yJHCINC5ojuhkyUyAGMrGZcyA4mJlH9GicEglq0zyVrUsI4XR3vr2+U9WHDx8eb453L48PX7nKUxlotAhgZOvWoKUixAxB81yYJgI8zJfaY7qcrw7T7fGEAGhdrd9cX5NwuHm4MO3mfFpqdLPa7+yWEYgCwWUcOomTCAFY1QgzIwJMhGutRdLzZ89jS8EYWITZuiyt+uWDR1947/2PPv7olddfffnymoQJ5cWzZ4nw0YP9l97/7Duf+dQ//i/+8UjMDS8uuWAiZNSwtS0Gtra1gleMjg6UzlXznBXj5u5mOS8py6sPHz8+XEzMWcgUE+FuN4cqJklTAsRaGzEiMyCqOjIGU0rpXNdaV3Wfci5TDjcIYBplVW4QYY7ASM4gAHhuDWw0ZHmAs0DVfl67eQBQBKPEnPP57mYQGwMhIrqqJGIgAApzCPTtYEkOQQhmKlm09zElNHUSYsSmyoQ22Ncs+8tDmvJIGUeAewt1CGSWnFNtXc2SZGIkiF57uAmRqpIwzuW26x//7/yJtdarxw+XWsuURq4nJEgQEK0HEgz/T2icTsu+TEhkCJS5rxqIu13JLOE+9g9Jgh6OFuCmkVJycOHkHsGE7LXriMfXqiklM9PWmOmwm0tOVt3Nn338RJhnpMkod0zMUTIijtKF3g0ihv/CHSigm+eUCCAo5t0Mnc6tzvvStItwG26FMRInzJTcASIwXHvbl0LMx3XtHs06qz/58IkQgyoRquonYa6B3fwk7UVEo8RpvEcRYxo4LEUcMQLbtClDAJ/cD8YkGTc8KAhsvngHGMf58DDoY2H3baRwf48g5oiRorjvCmMEAI1AIVjRTA191WXtyz7tgzECU2HDqK0zYkF5+PDi6tHl8e6GhmupK2MqU1b3ujYSqr3tHuxTSRTcWn/08LGbXU0XI6cbTcPB3dvSa21EFEg6/H8RG4MhhisvOFM3R3JVQ4OUpKsCIRAQEDIAUhhIkXVtI2RlakDBCZPwea2JuLfKjP2s6E7MYaNfmzwgEDkxS1KIZu3Ra5ecsWu/uV4ur65Y0jTP4LAu9eJR8bB5N5l10yiZOc/Lcfl9v/8H/0//zr+LmL3XAGTiUEdhBETnunZGJqZ5NxEDE14cDnfHF+5OgtM8m0cY5DQxpZQShZg5CUoWIEg5lV3ZCoA4CDHCaThPCN0dE3pEJgaEsAgMM59YgigCWXjcPZEIRBScgCDRo9ce7Q57yfl8Ot/eHkuW3nVIQ7rbc+JSSm26O8wBXpfKysQ4TxNQuHYM2O+mlzc3EXD14DAfpu7x/NnLtVYCMjBt6sKlZEveWt/viqmdTqfh4WAyj5hL6bXNOU+lEEmrXRJj+HAQXl9fI6O5RneR/MqrD18+16cfv/wb/6+/+e7bn37/u7744vnziwdXa13dcLebj7e33/z617/3e7+PEgegmgWGds25oKCxgyAkWrQv66rkHQCzJJG7tUaiY621rb23MH3twauXZbqcirdO7izMhGqdhTCTdQ+PlNLo+Kytp5y6dwC4W05ujkBFODED2IhvIg9n3tDugYCQpGv3CFVPzGYmGCTo4aelNTUDaOqS83RIti6E4O4ohIyOjmmYdzgimDffcEnJ1HLObe0enpK02iICIZhwON4lJeuai5T9npg5S0T42KVYtDZVLSkhgrnXpU67stvPAHC+OznAML1vV37Gz33hvbfe+bR3IOYy5fN6nkqKAGYGxAgYxhMzt4i29nIo3UyyUE7mrrpMpQxgrbY2CQ+HboARce0dhYIRHMdRmgCtx4DjWusiMudpkgwCc0l3t8f1vB5v7zLLQdKYHZEqe6D4PUrZ3Yw8xh8KEdoNCRBJPShxIKzaNHx3edhf7p+/uEFCYaQk1EZcOgC29uOB5MoXe2A4rifODIgpybOPPyYaZ1kYIeMA7GYjl8cyEsWb+yi2qRgCAPh23hnUVoz7EO8Qk3Hjvw3bDwQIc9gokY6IUQc/jv5EsGEmYaMb2ugURDdDRCLc4CMQ6jGqKEfQfIjTZvbxk2fvfvrQm5GoigOi5CSZ3OHmxS0GaG+7XZnLtJN5Oa4mZhCpFC6J8g4EBw2+t0DW5x99tJZbXZQAXH2aZmQKHzl0YOHMggBAkFOWxN0MRoZDgHMyN0LW3ggpDBRCVa2rOwCxh9Z1BQoWar2PDxsTm5qw8OBDMEmS0XyLaXTdCTB4hCFZV5myNe297nZFlR69dvHO59/91V/+9YurR3mOt95+5Ztf/+bx7pQyMlHraym7cDX3PO3/8B/56s/93F8REmI6nU4s98UOzGtd0pR3qUDEsi4pRym569R6XdZ13rE2a73t58MwPOQk2rtQGuO0ZV2R0B1GxGFY8kjIPYqwh2XGUWur5t16SrP76M0bLW/IgWqKzIHAIuPdb92wyKPXH+/O8+5id/PyGg1a6yml589fXD14kFNBxPNx2V/MoAHkIhJqIOiOQpRLevDgsjWtpnNJO+JCfDydjqfTaa3saM2effh8mgtFLKdznnME3J2O52W9vDxwYgPPcwkPDwu1lIgYvHlKCQDvrm8F0RFTJot2/eIZF/iX/sf/wiVPLz+6fveL73a11no4MJMSvPn2m3/n7/zyH/uv/ze+7we+75f+P7902O/zlDyiak+JAGWpXSMiUQ13RBNyhHVd75azYRxvbh8+upzz7o23387E+yTUe0lCBCTAzK2Bu69rF2JENPDQIOFUCgnZ2ltd1dTN9vM89EAMS0wO7uARbhaACAzajXD0JXQMZmYgZ6EIO5+XIO7Re3ckAWTX8GZTKSln9zrsfIERhN2NCNyxwOjdJCIa7zJEAGDvqk3DEYmsd3VHxGk/55yEpTddj2cgoGHvHw4CM2YIx976vJsvrg61dW1mI6YO0KqiYJry2e2P/6k/WVd98OjB+bwSYckZadj4HJ02mzsAC19fX1/uH+wvdnpuOZeb4/G8nESSMK3nVQj3JQ+KA7iP6eA87xy81RaB67LafZKJkHZzAXMR1rUzwHo611t1DUZ4MO+0NTMlQAm0rlQougUPdqmOhIUSDEO2hgVgIEum7rH2RoyGQZRbb5IIiVbzYeoPgJRk4K161X1OQUJJbs/H87lqAjVH4pvrm3RvL42BmUOEUTwEgEAxVvr7uCht+dzhkCBC7N4TZ0AaNTDjLgvbPB5tQMe220BshQ/DWzMuXOBhFrQZcGO4HwccAxBjAMtGqjm237ohQs0piIlC7dnHT7/w2S9Et1xy7Z0JhVmbppnnMiUgIKxLfeHXGDjPM+QE5h6GIevSCu8ScWgs51YO8/lm3V0UXz0lzpjRgjx67yhjsOtjPuXgFoEUFkCCgUA9qHWHcIMkaYsSMe9ypglHp3FKEqaqens6IwAmQiAIYOZQNzOE6K1TRGs15RwW2jVlCo95Lru8W7Qz0v6wi4irq4uPn96+8upbv/0bX3/99be+9rVvvvf+p1//1OvPPn6yHk+PP/ep83LOeddWK7u8n3fW/Ktf/cpf+r/933Mqa+1EwonCVNUwYZ5S1dZu2uFwMHdfKhRJuYhkd8DgnCjnXGtjSOu6eIepzMJk2pGplIKEbp72ZUT5CalbGzhwVZfE2nrOWVimUgBgmkpb20RThAYBJCTEVlsppWsXFsmigOYGiNNhl3bTNJXT6Xh3fbfWWsp8Oi4eUEoBAO2BgH3tI066Np32syTu7sRM2ScorhDmRRimcrGfP/7oxVJrMxU0XZUz78qkrZerSVhq1+fPXrSlrvPu8vKCAqJ7SpRYKIkDdHXtdnN9F+7TVBw8ulLOT77x5Pk3nn90XmyBv/2Lf88hzLu2TsjWolbXGh988LF3Kvvdo0f//57O3Eeyo47jv6uq3uue2Z2dY8fs2haLIeCQgYArROIIEBIpAcgS/yKBE4REiGQhS0SIxGv52HtnuvsdVb+DoHpIOn1Rv6r3PT7fs+fPXqZBSsoEPE0qKU3uzdyzOIKGr2q3+/2qrbaaJD249+D+9l5yL4TkllJCCALwFqHWM7kkPd6OgWju4KTa2toCXZvmlPI4Ri8XeUtCpga9h0XU34eMQgTqZhopFwzQtY3bTODTfjrsFx423jBJcaKUc8my2+0lYy7DNN1GuDbznIOciXJKpl5yDnczJ8BojoBgQQytVkJEpvm25sTjMLiaCLvDYZ4iYthsiDtrU28PNzmnnFPVlYHzJruFVl/2i7Y2brpQGQFQtmVu7Uc//+k3n3xgGLtpHoYB3HqKv/M+hAiRPLB3/d9//N60Xw69HD7t29quLx9CwLquYxm2Q4lWwTxnAQ3JMq/rvEyGbs2YmFkYuaQkIhHgptraOq8MydTZXJAhEVDUeSZkElimJUOUIZGwVY/APtIa7iCszdSCMxGhRmhYYmrrKkNq4SQCJGtVIZmWlbK0ZkzUSyeApK0ikZoKUSrl1e6GBg7TiFiWqa7ryLnVXssDs0DqBm10KOQR2AN9CviO0OAB4ICgHsICGGHeITrhdyrQERNBfRDCzQBR4P/EiL4A5X6HUQUmJBLz3kjpOX5y67Wv4wGEDIjYy2Z9t16rsdPXz1/kXJY6tVYTJ0zEwuSICLXVWBzAlmm5fmczbMfXL29SSpRJgJo2LhIYwCQpT+vy8Oo8AijQIdwdPMyAKAKhrXZsMPXZdLfudbpHTzgQgmkD5MI51AEB1CHMUQAjwmsNbSzCFuBhCBjqLOwQQNRdmMDjBHNAgIC7D9sSQT2z74DjdmPg81q390/PLs5fvtkDIyMrLD/55Y9fPXv++dMvf/Hrn52cbPJYbm52eUySJTyyyG7enV88/M2vfvvxx3/dnpyEN2sOBJToSN0AdTWYANATiUWYhZveP7tQrbvdHgiZJbSD/yzAILjrOQDeJ8t7feTYyQxPBMRg1Vw1DYWk1yBhmuc0FC7Z3UUyMTOzm/X4GlIPezlloBBV7WSRfJo3Dx6e3D/Z3xwOu525Hmad553ksupyfn4vAuZlSTlRkqU2BzNr23FkEdNgBkxMjYio1nZ+fn+3m1r4Rst02Ddv1pSYlmlWc0CQlJrW27c1JRlLQeSUspuuywoQiIHoz776At0B0KpBhBA/efLet7/7AaNtYvv65uW0zHks0YyIwHFZrK5+fnWZc8k07Pd7GdncdLKyZY+oDj6U2Wag5KH7Zb6dl3Vp4bop5VuP3z0ZypBYHBMFOTEjA2J4uHNmNQNCNTMzSULCELRadbNmKzGWMTMjRahqx5D0PFb3NpEAApZaOd/1+ns0Ry1lVG9W27qunNm8Va0X56eGtJvVF0VgAJjnahaqbcwjs4QD5+QOLOIenDjlDBZH1ncAkcz7yZshBgv19Cf2XybOqZ8ZQNhXd7yLBhEUnIaUSrIGgREYROgWbgaMnHlVLfdP//jRnwOQhHPKSBEQ2pwcmBiJGcAtPCylRIUs6jQdLs/PKWCt0/2zrXtry0IEhBLRCCCVbGAudDsf6traqmXIQxmZ+mp2rPOyPxzcYsgpgbeqd517dQ9gCQcibLUyp1QyCIVINQcPFAA6pmjMlBkDHBgJiCIyMyBRzmU7EHq1FkIEMO8PjNxnS3vIAjCAGJ20KomMuRDJ69c3amphzOn2zXMwV2/9zt55BEiIFuZOxD2Uf1TmkTqhkyiQEfv6M3o4IAUTAlAfiukVv+ig0AiiDpQmAhBz56OWFN2s6JI/EWk3GRiZJTr2pz+8p1GPIV/sJAggAlJCbKqt1adffj7Pq6AEAgu59rcvtLWRoJuyxLgd6lJ1qcy0zis3SsK1Nkkbb7a2RokeXFx4wFprVQsDMgAMEu6ypgDDMQfpcWd3uEdO3KvLR8scXFtgoEHf/iWPejzvAgXStM4kaSiDuTdoko8gOYxuh4ebsTAZgYGro4CbBSMTmWtOIxNgyRcXF5cXl5988m9KJ5z41YsXZw/PzKBVj4Y3r/ePHj66urpY67I2y0kwIg5QhvGjv/zpH3//23KoJec2L8gY4YzQMyokvNa6GQd3b6rjOOx2Ok0Lkufcp6gBENQ05wxoSMGJW2uBxw29Xu8WJqSodR3GsWlIEmE5zjT3fwlIIEQYEhE69QHSbhCaAqBICu3lYUgsQUDuPGSWVGTMaTw7fzDtp8Nud9jtyKQutt9xMJRBAAHDGQEAU0oREI79k5EIlQmDoS+GhhpEU2Bp0xK8TfO8aNiQ5OZmVwF9SEMqz7/8asjl+upShIYkAK7mqeTDMr16/RJQwtDDReTVs+cf/uB7//30P48eX737nesvnj89PTl98/btaHj1zgNj3h2WcrJ9+tlnP/z+h+8/fvdfn/7zwfnZUKSaNV1lLNN+x+UCFSrUaZ5evrl5O01jGR9dXz2+vLzYbEEbqmchZuhJil4bZOY+8dbvWH0oTdWb6lSnCCDxjjlEwGg1SR+EcwwgShEYwf3ak1JC7pUuSCUTOEBkSQZQVTXIA9Qxl9x0deAiGTBkU5pOxFzXOkrutZjeCoDoY0He1xx7YsRcu9O/P+zUrCN+3M0jkpC21pm1gBEWzTQxE8DJycZcGVFESskQZGjTNLmZCANGs8osQTxN9Xd/+P31N673+8VNpVC4ISVOIATWnISAO+UYenJ/ulku7p0TEIFnyYVzqzUTlyRATIFheliWeV6QOEI3m005HcOaq4JqrRpm5L5hwe5IL1UAA6xbMkQMRqbG0TuwlBJzEuxIOtEucwAAMxOQ1hWQhRIzQbM0lBUIOZiEQtERzANCRNwhNAhIWyXGDqAmAEYIiNN7pw6wnyYANDVhfvHs627bElKXZPplv0ttyAQQxOQevfTt7sTHUFzgXXQTwQEwjqpRQId2AwAiU79EgyNhNNP/AVdyaigOtm+eAAAAAElFTkSuQmCC", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# UNCOMMENT AND RUN THE FOLLOWING CODE BLOCK TO ENABLE TEXT-TO-IMAGE GENERATION VIA DREAMSTUDIO\n", - "\n", - "# image_prompt_character_2 = f'{character_descriptions[2]} {character_style_2}'\n", - "# image_prompt_character_2\n", - "\n", - "# generate_image(image_prompt_character_2)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "CaVKLPwsecNA" - }, - "source": [ - "## Narrative Structure\n", - "\n", - "![https://i.imgur.com/Rkxvlmp.png](https://i.imgur.com/Rkxvlmp.png)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "1MjVaZWyynpQ", - "outputId": "df3b19fd-14da-4eb4-817d-f43b8536dca9" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "============\n", - "Generation 0\n", - "\n", - "\n", - "Place: A bedroom of Gabriel Clarke.\n", - "Plot element: 1- The Ordinary World.\n", - "Beat: Gabriel is lying in his bed, using his computer to hack his friend's computers.\n", - "\n", - "Place: A bedroom of Seymon Evans.\n", - "Plot element: 2- Call to Adventure.\n", - "Beat: Seymon is sitting at his computer, using his hacking skills to find a new target.\n", - "\n", - "Place: A cyber intelligence facility of Henry Forsythe.\n", - "Plot element: 3- Refusal of the Call.\n", - "Beat: Forsythe calls Seymon on his phone and asks him to come down to his office to discuss the \n", - "Bitcoin heist.\n", - "\n", - "Place: A cyber intelligence facility of Henry Forsythe.\n", - "Plot element: 4- Crossing the First Threshold.\n", - "Beat: Seymon walks down the hallway and knocks on the door to Forsythe's office.\n", - "\n", - "Place: A cyber intelligence facility of Henry Forsythe.\n", - "Plot element: 5- The Approach to the Inmost Cave.\n", - "Beat: Seymon walks into the room and sees Henry Forsythe, a private cyber intelligence agent.\n", - "Seymon does not want to give up his anonymity and leaves the office.\n", - "\n", - "Place: A cyber intelligence facility of Henry Forsythe.\n", - "Plot element: 6- The Ordeal and The Reward.\n", - "Beat: Seymon meets with Forsythe in his office, and tries to explain why he was on the computer \n", - "at the time the Bitcoin was stolen. Forsythe is not convinced, and Seymon leaves.\n", - "\n", - "\n" - ] - } - ], - "source": [ - "#@title Generate Story Beats\n", - "\n", - "story_structure = \"\"\"\n", - "Examples of breakdowns of stories into a Hero 's Journey structure.\n", - "\n", - "Example 1. A science - fiction fantasy about a naive but ambitious farm boy from a backwater desert who\n", - "discovers powers he never knew he had when he teams up with a feisty princess, a mercenary space pilot\n", - "and an old wizard warrior to lead a ragtag rebellion against the sinister forces of the evil Galactic\n", - "Empire.\n", - "Luke Skywalker is the hero. A naive farm boy, he will discover special powers under the guidance of\n", - "mentor Ben Kenobi.\n", - "Ben Kenobi is the mentor figure. A recluse Jedi warrior, he will take Luke Skywalker as apprentice.\n", - "Darth Vader is the antagonist. As a commander of the evil Galactic Empire, he controls space station\n", - "The Death Star.\n", - "Princess Leia holds the plans of the Death Star. She is feisty and brave. She will become Luke 's\n", - "friend.\n", - "Han Solo is a brash mercenary space pilot of the Millenium Falcon and a friend of Chebacca. He will\n", - "take Luke on his spaceship.\n", - "Chewbacca is a furry and trustful monster. He is a friend of Han Solo and a copilot on the Millemium\n", - "Falcon.\n", - "\n", - "\n", - "\n", - "Place: A farm on planet Tatooine.\n", - "Plot element: 1- The Ordinary World.\n", - "Beat: Luke Skywalker is living a normal and humble life as a farm boy on his home planet.\n", - "\n", - "Place: Desert of Tatooine.\n", - "Plot element: 2- Call to Adventure.\n", - "Beat: Luke is called to adventure by Ben Kenobi, who tells him about his Jedi heritage and suggests he come with them.\n", - "\n", - "Place: A farm on planet Tatooine.\n", - "Plot element: 3- Refusal of the Call.\n", - "Beat: Luke initially refuses to leave his Aunt and Uncle behind for an adventure in space.\n", - "\n", - "Place: A farm on planet Tatooine.\n", - "Plot element: 4- Crossing the First Threshold.\n", - "Beat: Luke is forced to join the adventure when he discovers his Aunt and Uncle have been killed by the Empire and he has nowhere else to go.\n", - "\n", - "Place: On spaceship The Millennium Falcon.\n", - "Plot element: 5- The Approach to the Inmost Cave.\n", - "Beat: The group's plan to defeat the Empire and bring the Death Star plans to the Rebellion is thwarted when they arrive at Alderaan and find the planet destroyed. They are pulled into the Death Star by a tractor beam.\n", - "\n", - "Place: On space station The Death Star.\n", - "Plot element: 6- The Ordeal and The Reward.\n", - "Beat: The group faces challenges on the Death Star, including rescuing Princess Leia and escaping, and Kenobi sacrificing himself. They are successful in retrieving the Death Star plans, giving them the knowledge to destroy the Empire's weapon.\n", - "\n", - "\n", - "Example 2. {LOG_LINE}\n", - "{CHARACTER_DESCRIPTIONS}\n", - "\"\"\"\n", - "\n", - "character_descriptions_prompt_section = \"\\n\".join(character_descriptions)\n", - "prompt = story_structure.format(LOG_LINE=log_line, \n", - " CHARACTER_DESCRIPTIONS=character_descriptions_prompt_section)\n", - "\n", - "titles = generate(prompt, \n", - " num_generations=1, temperature=1.1, max_tokens=500)\n", - "\n", - "for idx, gen in enumerate(titles['generation'].values):\n", - " print(f\"============\")\n", - " print(f\"Generation {idx}\")\n", - " print(gen)\n", - "\n", - "beat_sections = re.findall('(Place.*?)\\n\\n', gen, re.DOTALL)\n", - "# beat_sections\n", - "\n", - "df = pd.DataFrame(columns=['place', 'plot_element', 'beat'])\n", - "story_beats = []\n", - "for beat_section in beat_sections:\n", - " # print(f'==\\n{beat_section}')\n", - " place = re.findall('Place:\\s(.*?)\\.\\n', beat_section, re.DOTALL)\n", - " plot_element = re.findall('Plot element:\\s(.*?)\\.\\n', beat_section, re.DOTALL)\n", - " beat= re.findall('Beat: (.*?)\\.', beat_section, re.DOTALL)\n", - " story_beats.append({'place':place[0], 'plot_element': plot_element[0], 'beat':beat[0]})\n", - "\n", - "\n", - "place_names = re.findall('Place:\\s(.*?)\\.\\nPlot element:', gen, re.DOTALL)\n", - "plot_elements = re.findall('Plot element:\\s(.*?)\\.\\n', gen, re.DOTALL)\n", - "\n", - "place_names = pd.Series(place_names).unique()\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "CLt4ST7OtS4j" - }, - "source": [ - "\n", - "## Location Description\n", - "Let's now generate a description for each place mentioned in the story structure." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ZFk9FiH6v4K3", - "outputId": "5305531e-8c40-45b9-820a-bc64b7654094" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "location: A bedroom of Gabriel Clarke\n", - "generated description: The bedroom is a tiny cubby hole with a desk, a bed, and a lamp. It is neat and tidy \n", - "but nothing more than that. \n", - "\n", - "\n", - "location: A bedroom of Seymon Evans\n", - "generated description: Seymon Evans' bedroom is sparsely furnished, containing only a bed, \n", - "a nightstand, and a lamp. His walls are bare, and the only decoration in the room is a \n", - "corkboard hung next to his bed. The corkboard contains a number of scraps of paper \n", - "and a small whiteboard that has been mostly wiped off. \n", - "\n", - "\n", - "location: A cyber intelligence facility of Henry Forsythe\n", - "generated description: The facility is like a fortress with dark walls of concrete, steel and \n", - "glass. In the middle of the building is a cube-shaped machine, which serves as \n", - "the central computer and network hub for the entire building. \n", - "\n", - "\n" - ] - } - ], - "source": [ - "#@title Generate location descriptions\n", - "\n", - "# Updated prompt. Switched \"Description\" to \"Place description\"\n", - "place_prompt = \"\"\"\n", - "Example 1. Morgan adopts a new cat, Misterio, who sets a curse on anyone that pets them.\n", - "Place: The Adoption Center.\n", - "Place Description: The Adoption Center is a sad place, especially for an unadopted pet. It is full of walls\n", - "and walls of cages and cages. Inside of each is an abandoned animal, longing for a home. The lighting\n", - "is dim, gray, buzzing fluorescent. \n", - "\n", - "Example 2. James finds a well in his backyard that is haunted by the ghost of Sam.\n", - "Place: The well.\n", - "Place Description: The well is buried under grass and hedges. It is at least twenty feet deep , if not more\n", - "and it is masoned with stones. It is 150 years old at least. It stinks of stale, standing water, and\n", - "has vines growing up the sides. It is narrow enough to not be able to fit down if you are a grown\n", - "adult human. \n", - "\n", - "Example 3. Mr. Dorbenson finds a book at a garage sale that tells the story of his own life. And it\n", - "ends in a murder!\n", - "Place: The garage sale.\n", - "Place Description: It is a garage packed with dusty household goods and antiques. There is a box at the back\n", - "that says FREE and is full of paper back books. \n", - "\n", - "Example 4. {LOG_LINE}\n", - "Place: {LOCATION_NAME}.\n", - "Place Description:\"\"\"\n", - "\n", - "# location_descriptions = []\n", - "location_descriptions = {}\n", - "for location in place_names:\n", - "\n", - " prompt = place_prompt.format(LOG_LINE=log_line, \n", - " LOCATION_NAME=location)\n", - "\n", - " location_description = generate(prompt, num_generations=1, temperature=1, max_tokens=100)['generation']\n", - " print(f'location: {location}')\n", - " print(f'generated description: {location_description.values[0]}\\n\\n')\n", - " # location_descriptions.append(location_description.values[0])\n", - " location_descriptions[location] = location_description.values[0]\n", - "\n", - "# location_descriptions" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "tGCOlYuZmTk_", - "outputId": "cd1b2ca0-363c-41bc-979d-c9dd331511ab" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "shabby, mostly vacant offices. Two hackers are at the office\n", - "door. ultra mega super hyper realistic Digital concept interior design.\n", - "stone walls and neon lights, a lot of electronics. Natural white \n", - "sunlight from the transperient roof. Rendered in VRAY and DaVinci Resolve and \n", - "MAXWELL and LUMION 3D, Volumetric natural light\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Got keepalive a572398f-2445-40b1-960e-da7cc76f585a in 2.23s\n", - "INFO:stability_sdk.client:Got keepalive a572398f-2445-40b1-960e-da7cc76f585a in 3.08s\n", - "INFO:stability_sdk.client:Got a572398f-2445-40b1-960e-da7cc76f585a with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.21s\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Got keepalive 7d2ac518-1d76-4e3a-b13b-f13cb05eaaee in 3.76s\n", - "INFO:stability_sdk.client:Got keepalive 7d2ac518-1d76-4e3a-b13b-f13cb05eaaee in 2.88s\n", - "INFO:stability_sdk.client:Got 7d2ac518-1d76-4e3a-b13b-f13cb05eaaee with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.20s\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:stability_sdk.client:Got keepalive acd1498c-a514-4a18-823e-b3139aa20e98 in 3.30s\n", - "INFO:stability_sdk.client:Got keepalive acd1498c-a514-4a18-823e-b3139aa20e98 in 3.10s\n", - "INFO:stability_sdk.client:Got acd1498c-a514-4a18-823e-b3139aa20e98 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.21s\n" - ] - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAIAAAB7GkOtAAAERmVYSWZNTQAqAAAACAAGAQAABAAAAAEAAAIAAQEABAAAAAEAAAIAAQ4AAgAAAUcAAABWARAAAgAAABQAAAGeATEAAgAAAA0AAAGykhMAAQAAAoUAAAHAAAAAAHNoYWJieSwgbW9zdGx5IHZhY2FudCBvZmZpY2VzLiBUd28gaGFja2VycyBhcmUgYXQgdGhlIG9mZmljZQpkb29yLiB1bHRyYSBtZWdhIHN1cGVyIGh5cGVyIHJlYWxpc3RpYyBEaWdpdGFsIGNvbmNlcHQgaW50ZXJpb3IgZGVzaWduLgpzdG9uZSB3YWxscyBhbmQgbmVvbiBsaWdodHMsIGEgbG90IG9mIGVsZWN0cm9uaWNzLiBOYXR1cmFsIHdoaXRlIApzdW5saWdodCBmcm9tIHRoZSB0cmFuc3BlcmllbnQgcm9vZi4gUmVuZGVyZWQgaW4gVlJBWSBhbmQgIERhVmluY2kgUmVzb2x2ZSBhbmQgCk1BWFdFTEwgYW5kIExVTUlPTiAzRCwgVm9sdW1ldHJpYyBuYXR1cmFsIGxpZ2h0AABzdGFiaWxpdHlfZGlmZnVzaW9uAHN0YWJpbGl0eS5haQAAM2tFUzd2cEI0OmVPNU5jd3s1ZmV6L2RkSWYhQ2x9Y3NGL3ZoODMpI3ZMOGdMaTUtQUN3aFc8RnZMeFNIZUldcH1nZ0JWQ3dbQ0hqaTY1LWcwdjA8ajhLN3NoMXE3TS0wJDJleFJSfUsuMCRadigzaUg+cmw8OjVkVWVIekcwJC4+dTNpSDwwMFRZMnYhRChNPTVDJnA5M2lIPmwxcHRreE0mKS0jNXF5cXswJCt0djNpSDxJNmFhKk1KcmZCPzV2SyFnMCQ6LyozaUg8WjQqJkJKRiNdNFgwJC4yTDNpSD44NjorM09eaj4kZzVxLXlPMCRaTzczaUg8MDBUWTJ2STRaaSM1dypXdDAkWj42M2lIPCV5WkhtUUZGWFpANXF7TyUwJC1sSDNpSDxsNComeUlOLzw2MjVzRWJxMCRaQlszaUg8KDg0M0RTUyUvc3I1eHs3bDNpSD5uMXB0a3hINmQ/PTV5YUYhMCQtJnszaUg+YjFwdGt4VX09VCo1cmMuIzFxNlU3MHN3XXVLXSM5WzVsZjV0NXFwQGQwJFo+NjNpUXtEXmU8VFM1dD8yXjFxNF1sMHN3XXVOZmk8MTVzWTYqM2lIPGMxcHRueT9ub3AzMCRaQnszaUg8NTBUWTJ2LlZ5ZUU1cXtPJTAkOj1xM2lRfWROL0omIzV6ZDxjMCQrNWgzaVF9dUZEREp6ZmxJdFJmbHFlemZqdypzZmtMdWlmbHFrenZSL1Eud25iVC9CLVJubnp6YzZLdj5SWHF3b3paMUIxLlNOeUh9eCREcy5hRGJtNS81NXA6KTQxKkRXWCFjTmsjY105S1YyTnJ5MDVeLzZGMDAwMEowMDd9eDAwMDAwAIWdTFQAAQAASURBVHiclP3ZkiRZkh0I8nbvFRFVNXOPzKwqgKhBDZoPGGp8QM//f8hgAFRlhJuZqsjdmHkeWNTcIiILQGtmetqippuI8HLO4cP4X/7L/1uEScTcSs5jaC7Zp6oZgBOzqhEiEc45iEjVlnWZU0X4cb+nlCSl3gcilZyJsbcOALlkAAfA47EzS8qJhXsfQowI9497Skltqjox366XOScg1lov10vvw9RSlpSSiNSj5pJb7ZKYRRBx9J5EzL3WKiLMYqq9t/WyuRoxI6KagrmZOzghIqKqpZSI2c1aa9fbtdaaSwYAnerupWRTAwRCUjMEIGYzB3ARUTOdExGYGZAAgJndzMHdHBGIaKqKiBuo6rJkB5hjEpObmzkz9d5KWVrrZSkOPlov6zLaAAQEKOtiZqY253R3RNQ5U05T1cyICRzi9Yw+zM0dEJFYiJmQSDhJYpGUc8q5LGVZ1mVdtvVyuV5yXsCt9z56n3P0PnTOMfoc8cUYY5hNU51jqg53d/Opg5ndwd2JyN1NFZGIEBBNzcwRQYSR6NiPnPMYXZgAec7JwojARO7Q20gppSz393ckRiZCMlUiAgAkQiTTSURmZm5E7GZmhkgkrIr/v//vf/3x2w8zGGMQk6kRIcQNwR0wzrnnT8ABEMEd8fkNgnv82p93gucfff33H97h5w0B/Hl/d8Df38sdABwQ3dzBESiOLyC0Xv+v//J//d//n/+792puRGDm7hYnqpubmelUg6mqY/Q+jqO2WmutrbaPj7u7mdnlcok7MrOIABgiOsDog4VMzc0lST0OM3MAQjr2/fsvv6hqrUcu5ThqSmkpRU11alnKGCOJ1NoAvNVe1nK93n789oMZHaD3kZII85jTzMAB3Mu6LGV5f393cGZG5vo4vn37tu+7zrFu23HUJMwi7tBbLyW33uaYRFhbW9cVkcxMRFhkjo6AgND7KKWYu46RSm6t5ZQAcbQmKTmAiLg5ER77LjnpUDN//f4qIh/vH/ePDySUlG7Xl1b3j/f3f/oP/3z/+MgpzTEd8LwMeyPA68vt/vHIpbSjpiKja86p1qPW+vr9GyG5++3l5e23H4TYWps6mYWIiHiOCAhkbo/74/bysm6bzrnvu7sDQC5Fp+aUVHVZl+M4TD0CQllK7x0RW20AwMLu7gDLUsYYNo1FUk5qNnovZTHTYz8AjEUe9/3l9QUAmLi15qaSBIlF0pzzert9vL2nlMZoc87by+3j/c7Cbr5uKzG9//ih2qdhIhYHBMI5O3N2wGUpLPz+2AFRRCQJwJxTUykOwMKsZmrbZZ1TJSVARKJlWdz9ers89n3qdAdATFkQcLteTTXn7O4IYGCm3uZwhFqPdd2I6Wj1/f3j27dvL6+vZnZ7uY4+HBGRAKAshYhSTkRIiK33ehyac8qplEUSuzmgZSoAmJbs6sQ0juHu27aOoUgoTG6OTL2PYz9ySUet4ODmiJhSGnOqWbxrVUUiFq5HTSmxCBLZmCxiboBIRO5ATI4AgHXUUgoiwDQAZEEkdAA3kCREVGtDRGRUtY/HnZA22eYYy7YCQCoJEMAAHFJKxgYIauZuSFh7X0pxd1VFRCJUncTkCgCACAhISIhIyIhIRERIkfQQmYiZCBEBzP0zjLm7x1MCOLiDA8ZFDQbuAA4QUTiOgtk8YyASEgIRAkgSAJhzjjkBkISRkU0cHBHWbTWz3rtOK0veLmutbezDAJaclnU99sPACdHdmYmY55xxRHLKxNxbIyBmMXAiJOJ4H4jgZojuBoB+hn9ABwcH+wzTCG6ACGbPGP18719SxP/05g6IkVo+U4C7I+DzLz1i+88EESkHMF4OAJgrEao5EhAQuLspABARxEfuHsfREADQHd0VHMxBz4JA55y996hLEDCuplQyIY4xWHh+LbwcnRwAxpxEtCzF3d2X+/1+HMe6rSLy7ds3d+u9m7naWXM8HntZShxTYbl/fNR6LOvCwpfLhoDmBg5LKVPtcb9LTv/1v/43Zrxct5xLTtnVajtabyLSes8lI+IYk5mvL5e3H+/EBABqvqyrmSO5pIToH+/vl+tlfzx0Wi7ZwYUZ3BHp2A/Y4MwTLK22nDMRmhsSxbEoa+m1b9+3nDMxj9ER6Tgex37kdUkpp1SI2aepGZJIEnMnotZGziWnNPqYQ0cf19ul1rZdb0zCTEzcamPh1vpQjSKYWZBQXYV5qKpqWdeok5BI1Ry85ExER68sDIS19jmVmNRUJO17TVniFGThMWYpuR6Vtwtmat766CVnJwTzMfroo7UGCDyVmVttiAgAURq+f9xfbi8555Lzcd+XrRyP47Hvy1KOvboDEumcRGyqDoiQc2HtQ9ZtSSIkZOop5d66Wi9LOS8Pg5QSEffe614lybauatZqn2Mikrv31stSTO23396Y6Xa7mXnO2VR/vL1t26aqRz0AMKeEiKr6H//jfzyO/XK95JTLUlptAMjCtdbL5WLmzGzuo3cXeZbDAIBElFPKKRGRqpo7Ak7VMbSU3PuIC5SZr9frnJOIVVt9VJFkbtfLZV2Wkosk6a3lUnrrZsrCSRKgt9ZTkpzSnNOmJhFEZGREzDkj42jDzdUVkdy8t8HCcYuiICpBs6GqOlWEWUREUkrHcSzrSkTMfByHjpmXgoDLurhZm93M5hzurmZMZI59DmYGB/fPsOaEZBGlHRzw5w0QACNAEBAhxWtCREIkBAd0j7PUoyaObwAAz/AfdawT0rQZxaOqESGzECISGZrq9KlEBIRuBg7MjIApSR+j91ZKUdXzEyFGBFNXH6P3qIvf39+jjkPEuLCFxc736LWetWEfHYFYxKYBgKq6u7vNOePNR9H9tTj3Z0zHKM//3cgOfyr2/9c3/8ff/Ewnf0gskQTObOEOBIgYvR0ingeCED2OSxzl83C4q6najOA/x5xzqjAxMxGnlHrvoIop/TzGgHE0I1nOMUspRCQs98djzmlmc04i6n2sCxNykqRmOSc3nzYJ8diPlBOLpJTiC2FurYEDEIKBmo6B7pBSent7++WXX97e3hDI3Y56XC+X0YcVdzMwN1V3VzVEHG2M3q+32/VyGWMy074fvfd1XQjJNyi5gLmDM0vv3cgIqdbjn/72T7XVMUaSxMwpS2/NHcwMAedUndNEkHFdlsf9PsZYl62UzCK19st2abXllNdtJaSUk5kdeyXk28tttMHC7+/vY3Rm2S5bzmVZOossyyIiRPi4Pwgppzz6+P7t+3EcIqks5bJtAPjrr7+6mZpfL9eXl5f3t/c5JxKmlG8vNzeP+ubl9bXWGv39si4P2HNKb+/vY4xCRVV779v1Ernt8XE38DqadjW3JS86Zyll3daPjw9CTDmBAwvPOZmotx7XQwAMy7I+PnZEtOnLZQFsQkKZLtftt3/7TdXATJwIWXQMYRGWruN+v6/LAkjbdduPPbr+3rswI9D1dl3Xdc7JCPWokkRYkNDMALH2mkvKKZm6JPn4eAfA6/Xq7iyic6qqpyQigICIORUzbb05OCFdr9c48/roboZEIhIojZrmlMwcCd0MkcwtPi95nv3LsiDCUgoxuoOqqmprbVkWQCylgAM6mNo+9pxzq5pzNjVmyjm5Owr2PrZ1HWO4eUopmlB3b60hgoj4xFyymQFARC5AZOKc0lTtveec5lQRySlF+UOEAZepqoiUpZgqi2ywWjFVJebRhwinlBBAzdyslJJSGr2nLJF9mQRAzaLTd0RCsOgzEOEZU+As/gkdHePL6AUIn7iFg/n5BbibepyhbnBCZlG9IiE6kQO4GRERkZkyIyJE1EAEUzU3IhJhnWbuSSS/vADAGGPOmVIKlEY1ciFHszinrssyp6YkRDzniNIy52SqwowIOmeSdEZzQkJh5khwZ9LCPwAzn3H/53d/+v0zZCMA0Bmon33Rv58HAudBhLMngC+Z5gvmhPjsQj6f+MvriRwcOQDOLB7fBkRpCgBu/pmVInRG7B99mOmcGh8pE4G75Azuy1JMDRMCgpn11lgE5mTm6/XSWpeUtm2LTPxYdiQavftSRm8pJ2La9x0AVfVyuYw+1m0dffTeH/vjdr2ZGSCMOXLOkVF6H6UkwOV6vYrIL7/8MlV1avRwfQx0WLdtzIkIS1n2fd/3fc7Z+8itEZG7uZGwbN+33nuSlJK8/fghSQJgyTmbWUoJEd0NEfdjJ6Ja67IsAI4Eo451W4g4p1Rr5WWZc4oIMaWcylIkpTlmLuVxv2/bRc1Sycuy/Pbrr7XVZVmSCDiIyJzT3C/rsm1brfWo9fv37yklU3VkRFy3Vae23uZURHLwOadOJabL5UJM+2NHwqgUr9dL733qRIBlXZj5/f3t/f39fr8zc045pXS5XFKSj48PZgaAbVuZRYTH6Mu6bpeLmpqZuiJgzuU4qiQhxG1dj6OaamDdZpZEXl5eon46anUItAAiLrXeW2u77tfrBZEAMeVU9wPVRJIwp9G7uglLLkmSHMfxNt6IiJmO47heL4Q85v04jmPfzZ3p7NZTStfrpXdlotvtOuZ87A833y6bqh37DgA5p5KzMgOAiKgaEz8ed2ZZ1yVpcnBTa60taxFJqtOQAJwQRx+jDyJSMwJMLJBQdbp7KUVExhi9dyKaOi6Xi6rOqe9vb0SUUro/7jlncBfmXEqEwjjYP378cDBhcfeU0+hDUtq2dc65lMXd3X3MMfrIOTORmjLxGAMNI5QjgEXp5GaOZsZMAChJEACRRAQAmBmRoj3Qqb11QlRtACCSLEqkQIHdCTFwHjcbvUc1vW0XJoqWn5lVNSIFEkU4cQCK6h8cIPBuOMM+x7E6YRxwd/sZ8s6vz74Bn3CKm+lnoUqAM6plizwRafizgbCIxaYOCL21lBIAslA0PczcWqu1MrM75ZwRSYSYxczNLKCPaCWZCZEGwLquLGxmZCQiOhUAxpjgjg5u9gyQv8de/h/c8GefEA/1NZB/Rv3nDx3wJ8r/5V7x9P67XiDS5x8exJ94UJARcRg8sCIzi796HsIncGSqamNMNVWdOic4iDAAtNZ0Kgv7k0BQVSKccwJAMGdjDFXtHccYABBno7tvl22MUfLVHaJDi+ZSEkfflktCREAcz2Y0/pyQATxKFmZlYUTqvU2dY4xlXRAwnnTOEdhgEnH32pqpRQolRHN3cDMTQU48x8w5jzHqUR/7YynL5ZriIg1sds5ZW1W1bd1aq4gBZ0JUxIjkZixMzK23j/t9Kctlu4zec85zViIqOd/Nj+Mws3ocpppSBjiiEIlHK7nEI+vUeEmqOsYwVdRpZkw8fSZJUwcRRyoaYwgzixBQnCE6p7uVZQGEZVnMo5TM7t5bQ8QgexAJ3N29LAv2ToQp59EHMekYLCLCRbKp1aOu2zJGv91u4E7MKedn9Jut1cf93kRSzjlnnQoIrdZ4U+u2zTEAYATZ0Pp+fyRh9zR5inBikrLm0eKzFjPf993NkU4onIhM/de3fyslI6K5H/vxl7/8JSiFqbPWJiLHUQFAVW+3l/3xiDj77du3Wms9jtvLS0qptRbAupmWvEyd9497REwA39bVAX797VdCulwuY0w1E5bby22Oycx99NpqSimnDAhjDDPvowmLmS/r2ltvrQWmdLlcEXxd11JKa22M4Q5jDGZWMwS8XC5R1TJzVC4AXmuNHB4nnyRJWxpzsLCAmFnKOdKeu001M2u9IVJOpKq9a0qWc661sggiINLoI+WkU49xxEVoQMxkanG1qM6UUi7lOA5AOvMr8RiDibbt0lolJBHxZxSOo4vg9gz3AM+iHSFIV4DzQkFEZkJARDD3J3Z9Qg5R1bqpmUada+aEqGZEZEGQEKoqIATshoYIOOZgokjYSSTiYOATZqZDiWnOGQDGuq5ENOfsrafsc/7ErZgpKFMiNHNEdXdHt24OwIy9NWIJON/BkZ4BFukL0nIG2/8dKAf/GMb/HPmfP/WvMd7PT/n5pIR4cr1fOID4dP1JDPwOCHo2ahC8GYCDEaEaEASdbgBASNPnnFPV5xjuFvHf3aMABERhVp2ShJnVtB6VmeMVBFEfaI+IiDDT2kc/8Z8xkbC1vq4l0D82rrUBQJKMCKrT1BR1jB5EK4Cf9G8WNWfmOScSPR57yVnVdGpAxw4+x0REZhaW/TgC8u2tE9H379+P/eCbpCSjTwAwt9HHnGPjCxMty6I6W215Dqgw52RmM3P3MUYphYgjKAH4nIOJ41duVmgJkCAobmaaA2qt4HC9XhHperu21s20jyGtE+L1eo32Ys55v99Z5Jfb1cySpD76khZhQUQgr62Be+udiFi49242vr1+i6Pp5qP3MQYg7vsegERrbfSZRDs0Bxhj/Pbbb0zEzEycJB3Hrmr7vpsqAu77YeoAIEmQaH88+hilFERkYQDcj8PNmVmEAXCOgUStVknp2/fvc4ze+6OPkkvO2cFH78wc4M0Y43Z7SUnUTM2Gautt2QoijNql1Z5ScvRa63EcKWVmyim/v79/+/aNiY96bNtWj+OZZtKZ3kua+9wum86JAEh0vV4+Pj7WZV23rfcfLPzLX34ZYxIhOFy27SxyHXprZSkBMaWUmCkOzy/ff4kqQ4S3bet99N7nGCJJWGhBB1C30UZKQoQ5F2H+8fYW1TczL+vi4LXWpRQAiNoz57wspfc++pAs+74vy6JmUW4zcwQjZXOzOPMkpTmGgYmkx+PBRGoGDkg451yWpfd6oivu67pGdmmtAXguhYmmTgoIxWGaRi2jqsuyqlrOKUQsOadSSu/jcrkc+x7EESJsl+1xv4N7TiXwGkK0s4Z8Yj4QuARGWHTA4G8jwiAzIBGyGxLxJy5hrmozeg5zi4cJEpiQFBEQCNHUVGdAy2c3gOiIERdyzsIcz6+hC2IyU3NEREkpwhkLR6w01Tg6wSU4eKvNwYlSMBShBXKHnMnUps+IqKlknRbACxG7n+KnE2d5Btz/jch/3v43WIEvmL7//P4Pf/6za/hKJfz+3j8fK5hkBHAn+mwR8CRygslAjIALgBb9kVtQf733OScR5rxxovcf74BQj6OU4hDwHbEkAfh4/5Ak9/v9er0GA09ESYRY3AwBmAUBEQgJQuKSRBy41iPYplIWABh9RPDNkO8fH9++f5+qwVEQEjKu6woAS0rRDs7pZm5q23WLVocQU0rwJCoB0BFaqwDLtLmt2+gD3EtZEKC2llImJElpXZYk6ai1lOLux7EzSxztwH/cIWi8ORXARRIi9t7HGET4/ZdfiHhZ1kiESNh7DwWgCO/7AeApl9aaqpqZmV2v1+M4Ihl8hnUjY+IeCaaUwFJLzqEsvN/v7+/vzLwsCwAGbCBJckoAeLnQwx/b5WJmbq6ml8uGSNu2xTO6OQJISiknBCTGbbtELJpzQnQGiGYaXGbhEtc7i4C7iLRa120TkWjBA+sG8Pe3t227uBuLxKEfYyAiEe/H3nvNqXQiU0NANZNSMjNzknrUbd22y3Ych7tvl8vo/bHvSylLKUS0LIsIh2wr7vP6+lKPOkYPQU7IlRygtfbXv/6l9xEQAbMce103dvP74w4OL6+vcw4RISLVSZTcPSprd4iAqKoObqopJYQz2JmqOaQkUREjQK312+vrZykdnUHJOeD4oLxSTsdx1Fq3bcsp4wVzytE4B15m7lFZxIEMWD2kQb23pRQWnlMDDR9zuvu6riLc++itHfUopZjZ7XptvQMAEiZM0fHMPnLKIsxPzK73AeApJTQiwt57zrn1vl221nog6a21y/WiU8cYwaG5Oz3xYniWmQinJJOIT53MifljFKcBRuEpT/QvlO8zdga2Y35qLomC/VXT86lC76RmAFEeBhkbpCcxETAg6JyICO5mDmhzDCREkAChQkwcFZw6xEUQJwAgmnrwAQA+xpxzSpJQ9CCwJJ4T2fwZRhHO9/4zFn9qbv6Xyp5/9w74jMifD/wU/+Azip8f4nl3/GwLvjzCH5/pd+oj8DNnIwZy5g4npBYoEUJwm4HI9z6ieHdzYUbAqYM4X66XkGzmnPdjl2XprUHvzHy5Xuac//RP/9T7YMI4qpwSIvQxHaCPviyl97GUBRBC1xjdcI9TtyICrutqrvt+IOK//Mu/qGkp5ThqqI7n1FDrzjGeICeLYMqiU5FwtiEic56d97IsZnbZNjdX1VzW97f3Mcbtdg35QM6FCF9fXwN6NfNlXVqtRBRvAXMqpTCL6vy435n4mpJ7kMAj5Mi5JAfXqYgQJHnOOWJCqHlSStGMxikgwsEX9t5DaMtE2+VCSK03b55Sut/vUZ4g4ZwK7kgIBkjo7uWUV9n9/jH6uL2+vL689t4e++OxPy7XSyll9J5S6r3NOVtrbpZyNrAAn6OZzrkEy3jsx9RZcjazuJB772Zmpg6QRJAJHFTnsi5jzGM/tm0VZpF01AMRU8ruRoi9NQBoiKp61rgAOS8f9w99NoiIJIGw9/0IsCL6Jk5EDq21aJRUdV1XM1O1fb/nnEvJqrbvRzx3SrmPvi7LVE0iU7W1ZhY9rNejXi6XWo8+evCH9/s9iQSRHRdIzinIkN67u4jI1AkAgdJEbYKOOZcoS3tvY4xSFiI287IskZMQ8Hq9vL29mVkAKaWU+/s93kWt1d177yFcCUjdEZ6tgJifericCzyFaCwSePeYs5Qip/Ia5pwinPPtk5OMnKRzirCa6Rg8Q4NJwd+ehM+6ErPqDG7AzPbjcHdGcjdC0qlMpFOnagiiogi3wG3gKdg50fsz2sQZc2qBkIiQOU5dJCQzjQzwE2Y+SQF7Ctcj+DgA+imfdABXtTPtEMnJKGA8UgiooszvakQUiHNMh8Sxm+5xgRHxsiz4VO7Hle/uwXUF16dqqhpVam3VTEVURJ4AMp66pTNHfy25/7eiP/yv74Bf7oG/6y5+/5e/azs+X8hnaQ9fX9cnF4wAhkiE5GD+TB8IgRAFQ2+qUy2kP2OO2Vufc27bRohq2ns31THHnPO3Hz9eX15areuyhhxYWHrvrTcEOOpIKelUJZ1zjDlNrbW6P/bX15e4jsAh50zEZhoC3AigiETEJZc4mv3RG/TQKZgaArjbHCOa2lIKhTgYgJDd9XK9TtXeeuTA1publ1ICjA3dUagweu9MTMzLsqlOHYqIImxqzDzGZOKlFEQcY8YRD3CmtRYlURRkKSWRNMZ4e/sxVeNU3NZtjNFaSznNfUY8JaLRR85J5wTEqLgBcY7Zf9JiKNGqmini29v77eUG7ilnVf3x9ltZlm1bmXlbtzlnH52I5xhvbz8AcPSRUvpv/+2/betW63G9Xt/fP3LOj/sdACTl6LBTyW72cb8nEQBorX/7/hoE71QlOsudXDJTiRilY5o5CxPSGKP3pjqnzst2PUtkN5+ec2YWRJhjAoCpRUR1MyZWVDyPl0trHRAQcF2X2hqFaMNRhJnpOGr0SjlnYgJzQAzGqdaKAFIKuY3R3f2oR0opEngUFO6WUj65PqLb9QaIY4yU0nHsMLDW4+XllQjv9zsimatwUjMR9uFq8/5xv1yvhDTnQKScUwTxUsrjsRPxui6tNkI0s/3xKKUsvsw5I6kiwpgDEGpry7IsyxKg0BgDCWebueRAPJ69sBORm7lZCI3jBQcuXzKVko+jRpjLOQcAoqqRFUJLkHKOykKEEVCnEgPzyaG5OwnFSRnk5xgjp+TuLAyIxBRDTYGoEhM7u5nHGRC1r/vPgPMHLhTPTBBUFTMjESA42FNLGrCDByZw5gQAN3jyc+oxlmRGhCklJECkwCKYeFkWQpyqBKiqjh65kIhKKb33pyoJW2vBBrs5oLfe3GHbVv6SSITJAsKyE2vKKQFCSll15JxZePT5nBfDmHH4Ul+fIfsc9vr363v/d77Fr0Tu1yref1/Anz/8HUb0u7/CL999bU+e//9zKC04GUACND+1oXFQTC2A9bgF+JNSWtdljungzCSlHK3u94cI99F764HBXi7b3//+dyK65AsziyQAEBZOvB+7iEQlPsYYY55VrU4ySgkJKeWcRI56MHOQdlH57ccR4Z4Q3EHNg+kJBTohA4IkiZY6AhkizTlrPXLO67KoamsdAFprzPzbbx/fvr0SkYgE3bjvu86Zck4puXuttfe2LCsTtdFxYjDbrbfRBxGKSAwlLMvKvSPh47GHcCia/jGGe5xvHsiBqpppdMwpp1DIAYCbrdv27IxBWFpvOaUxx3GMnPNUdbPH4xFcXW99XdcYBajH4ebrui7L8uvj121bmdjcEXFdFmEJrGJd1+vt9vH+fr1dRx9qxkyEFAr4dVmCOCyl3G43QlrXtbXGInOOVnur1R16PxUWobCvqsexzzHXdRNJU+e+70y0rKvOOec8a9Yxg2ZDBGIOPIqIxhwBmYiaI7oIS06iM2ZtUhJArEdb19VMAWL0yd09atgkAiuaGTEzSYyDukOgauDOpTBTKRsAxJhpwOilFAQws3Vdj+MAwIjsl8tFWNTs/f1NVeecSyk5523bGMnBl2XpYwAgszDTGJOJUkrmfr1dzbzkUnIOaflf/vpXnTNes5AoqYMLS8Sd2+0WOoq8lLNoFgjchJEJ0cznnGOqcIqxydb7uiwxaBdDyCJiblGwAICaBfiyLMsZ+p+KIzBjpmd35qpmaiVndVOLC92YVHJ2ACIMbU1UQO4OjkSojlGm4RmE8BOacDQAil4g/hf1P33+G5DR5+TAGdsiBTzV9BEIIWDoJ1iEHrC7m8dIBAQjtxQ3OD9/86hBorNmJmYB8DlmygkAOFApQAfvfcSJiABBS7KIqc4xPkenxhi5ZATMOZkzAJgaMboRYfAEn8X+PyBvfx/Zv6JDv0sBv4f1/52u4AsWBJ8UbrxOP0/4Jw/xe+D/9w+NX77FT97+fKSnLOZszs4PPyQorbUIZ1GyfHLIQa1frhdhBsSI18zkBnFu/9u//dvtduutEfPlcq1HLaXE6fT6+qo6AfHYj+2yRbkzNabAHiXnt/f3l5cXYUkizBxqQirlX//1X4lu0R0iArNAAgDovUN3ETGzQCPXbQs4kYjUtI8uLDEue73eiHBZ1qMexByThqECj857jG7mY4yUMnGULxid3n7sAeYg8pzz5L/AHcDUrpdL6BQQMaUU6Y3wxLVEkrsDSHzIKSVAEJLaa1Qz5p4kqWmEGlNttaWclmXdj326f3t9nWP23pnJnUqRk4sWZmEE+Kd/+tt+HI99N1cmPo66rgsATA0Rb5eUzoYbfMyZU66tESIyASILk/mcExBa6x/3D2YZY6Qk4JhzOuqhtcUD3i7XSO2mtqwLE9XWcsoAPseIkDbGjJpPNdB1YOahI9jKz1NWEB0AzX304Q6mmnIKeWVeCiKWUubUCMrBLB3HrqopJWEec4BD0DXuLsLHUUNZnHMez9nFZV3NrNYDAVQ15SQsJZdSAAGJ6TgqgB9HXZayrqu5l5wdQFICi5raCCmXsizFHbYV2rKUUmqtg0YYJESBQ0QaQoV9N9XyWm63m5nuj2OqsnCtx2Pfk6Rc0ugTGVU1STI1A1NTcEDEy+Wy3/ec87ouo08kUp1xIUfb+3g84vqRlELY03vXJCIyp5qrDzfTUjIStdoC7g9IFAl9OgLmnAGg94FziggQqeqpdIxRg5BaOgQOaAHj4xn+nyEfiOgZUZ5DwHgOBJ/86lnrn7YDv4tRnyBSlNhGzKiqTCnkmG4e7327XJiYhcFO24KAjdZlDRhHVcGdmKQwALp7a42YEDDlhIjgELk5Ijuitlr//ttvWeSXv/wFAPIlI3zScZByqFN8aLx+BAc1ZeazQv8Msv4zsv9EyX7+7H+K/fzjYv9n8A508fwXAiX78nzPxwD4JCqeuNpPOMjPDzhamWCG7eR+n9MYJwzX2whtiZqKcEgeAIgIRx8iUsoSNH6rNefMTL/++luMHYnIX//615zzf9/3RORupucUISHF9Gw96o+3H2Zq5sK8rKskWUoZY162UDz3YJ4CETIHNX1/e98uW0oJicKJhBBzLjGmFi1dtP6ImHJeluU4qjtM1ZyzuTOTmh316L2XZ70fZQGe8mUCsG3bmLnWeuxHkLemOsb4BKyOehBSzqnVJimpzj5G731ZlpTOKG/qEQFD/iRJEE/CgN1iMDOXpFP7GAAesqLobCIQM/O+P3of67b13t2s9X7ZtjEngD/2PeUsLG9vb8KipvIM2TlnAKytuXvJGRF7rczcWmdhkRRFsLlLzktZPj4+ckrX2zX4bUBUNbexlBK1JjMvZRHhOea2bWOOYz8ul8uY01R1zlqPyBNnRAAUYTMMtWgQ+KpTVYWFhTHhmENVKcYWtm0bY+Sch84IcOu2gXvMbqQkqrqui+rsvQcyNef88fbGxIgB1xqeSj6MkzjIVSYippjuWdctpMoiEuyjsJAwOBBh6504/sM9IuUYvTVzj6YVCVur+360VlkkemURjjjHzH0MQgrNok5NIqHPeeyPoJViMN0MmPm333777ceP2hoCbOumpsyUSw43lt9++23fH/fHXUTu90dc2E9Jg8cZn1JKKflpZgD7cSzrikQAmFJyg7jbcdRWW0rSe2eRdV1b72PM4LdDi4oAxBxTsvGRBs0Q9WVQpif5+UTvPqtFjDkAN0L6MhcctT+JCD2HAIKhdfezNH0Gxmf0OfkAcws0ImZuESAu1KUsOSVzG2PEGP0Tvj4HLJgppHUxsTV1Ro8flWA4DgWxT0yIGEJGFnl9eXl5fY3PM06twAcCrh19jt7j4ozh5ROo/Cyl8Zm+zu/w92X318SAz7s/M2fc/hz94Xe1PJ5gE57ffIpRzyfDz5gOTzAKv9w/jsvno1FoGp6pJUpXAAjsUefso/cxeutJ0rKs8Zm4naF8zhHTLYjIRG7+97//+nJ7AXOdk5mYaX88vn/7Fqz7dllTTsIcTFho8P7Dv/wHZm69mVsf/XF/7PuBCNu25pxYpI8ePXS8sL98/2U/HizCIu6uc/bR+hwONuZApDFGrbW1VluLGDJ1mqkwl5wj0+zH0VuPIuXXX38dfby/f8RYeB+j1qP34QBq+vb2NueYOlnY3MeYcnp8WaS0qPT5nG+APnpouwHQzaapmU3VlDIRE5MwM5Gq5ZKjFw8OkpgjrzNzTsmfYtxlWeacy7qy8Bjj/f29j2FmQTn0MXLO++Ph7oQUNJi7pZSmaiBsSdJSltb6UetR63FUZt4feySPeBtv7+/1OOYYY8wz96jGqFNc9fWIZFlCueXg+7HHBUJEKUmcUUlSzsnc5xi9t3jSZ3/v/jSSAUTVOUY3NTBwMyGix/2RS/727VVVl+X747GzyJgjiRDxmOPj4w4IY87wMFqW5TiO3vvL6wvEcMoEAOit5ZzLUnrrt9tNdaZ0WsK1WvWpYZckwQVNHVonILajXm/XX759b6O7+egj1Dg552MeDj7nUDUWTjnnjOGLEphMay2lPObokV2TjDqFxXSmlOBklX3gKKW01lurQXjQdwpcaE49jrdlWWptgC2JmPlf/vKX1trr62suJQ5MqK/G6OZ22S5x/eqc2+ViamOO6/ViZmCuPsfoU/V2u+nU+/3j9vLCIvGyR+9EzIVSTpFQUy4RkIKHDwHlSQwAAjgRxUh9TNIYqAMgUWhIiALlP5GfIJYxpsCYP8cF/Vn4PyncmEU6U5qbfWaIuACAOCCIUkop5ajHuiAgECAyERG4EyJJSgnGmCLce59TS8lu3kc/x+UcQqzm0fyJSMrgIMxHrUHFf3t9BYQxpqrmlCPuEFEUyIiAhK7AHJnOnojOs2x/AjyfNfmfw/mzJ/Dnt88C/cwS/hmuf94+O4AnevSzvfBn1/GTjobT9cG/uAP5550AHPAkd875bTvRNkAkNwUHNeujjzER4Nj30G+4W4jBckosnHOKapeU1nVBxKlz27ZlXT4+PtZtG2P2PojpX//13759/1ZKiUk6M1frQQ4BQK01pfxPf7tEtURMvXVAbK2Fpcr1ehERIr7f7zHE/n/+n//57e3t2Pfr7Soip0JBLTQ8Zhhpu/c+VZMkAE85E/Oc2lo1zwgQXX8jvlyuwYpFE7xt2/vbu6qe3jAILLIfx/3jvu97OgtkF5E5JoBHUeVux9HWZb1dr631mKMWZmKGp7QNEUfvMSy5retUZaLWRuhqWmvC/PSNPG0LADylHG4C27Ylkb+PoVNfv73OOVttZSmt1sv1Kj+9arDWtm0bPt1NiKnWKsLCEkPFgPC3v/318djXdZ1jxPyEuRPzOScI4O4skiQhwrqsYUw55lRVU1PTl9tL6y0tqY/eaiPiJLJtW5yzaqrTUpIz/4mEqcH7+zsiXZfFAXrvwrJtq+qU3nsAbR8f96BZIGSUZfv4+AAfffR1W01t9HG9Xnvv7+9vIqmPPkYXSa23X77/0loLRLj32nt7ff0WmKOpOTgLC3Mf47cfP7ZtW5dljHF7ucUbk+tFApUTeTwecaKnlJAwhFZHbdHBhaon6qYxFJHWda3tYGIRNrOPjw9VoxUcPOd8qgxNSy5IyEQpZSJilsslqWrKOVAzYuq9reuWUooZv+2yuflvv/2GCOu6AcIcAwBFxNzn6OuyxlFx+xyJdTXdtksfPQiDAHxKLsGP5ZSEJbq/2Vopy7pKxErTGIYKTQ5G1wUAoes445ef0s+AC+Bn7Ykxnn7GlhP8j6oTkQn0s/Y/S391Vz/F5pEJHCDcEQJxZqLtsoVU3B2ExUxTOonrYDORUNUAXCTaZ3e33ocwI9Hoo5Qcb2SOyULBYk0d7Ozuy7KMMcDDfNb8lKYhEc5hwEBMvY9gUEKi+uxvAADwixXo+Vl8Bv8vg1j+JW7jmTe+BPX4u3/UAPwuH3zhHNx/PvwzGQD8LP+/0vHnU+MJwQEEyvGc1vAvNnP2BOjGGPu+19rmHKVkkcTEQQIz0/3xiOIXAT5dRsJdKp2fHpiBqv7tb39194+Pd+HUWn15eTlqNbUz4F62GMWA6PrO40kp5fDmDAvb43jEdXTU1ltbloWI9n0PeaKIvL+/f//+y77vOafv374HcF9rtWzrssxW5zgxK3NNKZmfgEyY2Kzb6uatNnAoJU9VHdPdmXj0/vryWkpeluWU+SO9vb0lSe6wrIWIxpiqs40mJiXn2ntMPUI4RyEwMQIu64Ln5KAnEVUty6KqdlYkaYwe4hTVcwJZdQY/HONj4KA+j+OIuHQcR07pqPU8Dx1SStfLxR0KltbamJ1ZLpcLOJhbSsmIc869DyJ0t2/fv/347YcD5JyXZRm9A/qc6gA49Xq9Ip2t/1LK1Hm5XJZSWu+nxxdTKWV/7Gaa0hqMWi4lEQl7YJQvt9tRj4BbggT6HCMI2YsqCSIwcylFWZkobLbGHFNniEBu1ysgTh+q0HtPSX755ZfoJaNy/Pbt1dQGeEoSw3ullFqPaK+SCCAwcW11jB7JalkKNe59JBEEiPMpLv5fvv8CAGOOmJFz9znmui6Px365XBzd1JDwFK22OsYwNyeQJG3fb7cbMz3uj5TTY38kSVNnbz0MJpayhOIqIoO713rYtDiEt5eXMcanXjMCRm/1r3/9GyIKS7cRQmBCcuKYHiBmEZYsrk4shHTO7iYO3wsz2/d9jH4c9eXlllMO4w1FjLmH+/1OSL/88ouDzzGIOAbFiTikISJsTjBnFNHPOATwBZc48Qc8VZ+IEA1AmC+esM8XGOgZ086cgACM5GhMFJUdBvHg5uChLjDVaEKZKeTeITgCCqQYiRCA3SylhYgmjRhr/Pj4SCl9//6diAFhNv2MkvS8udk0ezIZtCwCiG62LDTnRMCUQjV0Hjn8VN3+IWT7T4D+j/Ker/rXf48S+Npb/Pnxf4p5nkH9dyTAP2o9nr95Fnif6eppDEQYNkoR/XvrffT9OFR1u1xyLu52krElhw1JjE9+Jp74Oub2932PnyDi+8fHUsq6rsICAEiUU6oWYhJ/6knM3eNZwgEcw67ArPUeMtAoRD41F6O3MOZ6vb0iYvCC4TkyTUetzHy9XB1szDnHrK2KJEJ6fX1xgHoc7pBTSsvae88phRlUTPasRDEhT4Qsp8ru2YZmVdP7TEkcYIwZ5jmXyzW6Z0iJEIPvPQsmc6Coj4gIa20lZw7E4on3T53BaHpYjE1FQjIy8+1y2R87ER31uFwvv/36W84aAw2td0TMOY8+cslqykDvHx/BuQU+DA4xxxpd+PlJuhOxub2/vddWweFxv5dSADFsb0KIFRqh4zjmGGH9PcbY1QAAJeyySW0C+OjjXd9LKWcV5R5vp9YK7rV3My2lmNpj35k5FOHujkRuLqUsoTlzt/2ozFK2HAMXZxXgduyViJZ1lacFUGstjGrjnEDCdd0CyCMic3A1SRLTYff7I7qw12/fXl+/zTnCfraUEqdwqFaJyMHH6CzCRHNqRPCYCXp5uQGggydJLNy5BUvg7KXkuH5ebrda677v27YS0ugjp1zyEudxDIYc9Si5jDlaGxQ+cfl0xUFAJomRy2XZ3N3m/Od/+Q/1OCJkMNGYI1MmJiSK6UFiOi9tdHMws//xr/+jlPL92y8xyNf7MLeMOefiYO8f7+u6SikxATR1rOsacKephlQgIrKZqulJq9hp+xJnLSB8aiDPaILnBG9YQ4dnZFhHPlH+U2oSMHpUsNG8wBMFcveTalZngjBTDrcMFg7tHREDOBCaOYABADmZeUocsCkAIIW1Geqc23aRJDoVwv8yLAFZ3t/fgpgJpM4QQiAQbgcnJ2HqDkw0nxLDSNvwBY354y0+Fcd4m3/6JX7GzS8/PYU9Xx7xZ4H/9W6fTQB+/dE/jPc/xbrnq/5KKHz+3U+UyFxPgeaoR9U5S86EdGZrB48FCW5MHG/N1AJ6RoSUZIyYHevLsoR7fpAuy7r6s0pFxG1dVWet7RTYqEmSoHxDeB7KxbARDCwuJudTToHABOvgI9yrwMyPfQfAdRMzC8sBAIhxquv1er3exjzJvCBD3K3W9tgfOeXb7XYG3nlOALTe3b2UFRB66ymn0KD3PtQUiU5PCJpmFjrj4zgA4Djquq0ByIiwiASJparRmkUrU2sDd2YOwU9tLarewBiCSrw/HsxsqmpTFQGQiV5eX2qtU2fOOVicqJtDtx36QCK+P+5LKSmgoafECwBEWFLqvcdFOuYouYjIj7c3njOcAuJfcAgvznVZ3Xzfj6jTJqib9k5jDGZSpcvlCgDhhxGOL6GPcAcRqa2FXKXuNZWMAEwcHuApZUJ0RlnW0mp3NUBMKS3rOvqo7ZFTZmIgB0SZ87JuDkCEZSn1qFGJXLbLse86fd93RLpctlCkmVmtLc7muLyXpWzbehq3jckOOec5RykFkDOzcFTKR84ZTvMTW0oJxm2MntLaWldVzyaWAs/+5fv3WhuLoCoSfdzvJZepcwxVPWLeOOekqmq6ruuPHz+Wdb3f7+u2LqWMOczNDcboMRe+lGVd1z567x2foh0k+rd//R8p59eXVwCYNNnP1TQ5ZwDvowdWGyrjbduul2tOaSodtc4xL5ettbau6/1+JyKRFFqpCEm32y2OOiU6wwMBEaGRDQeYCBRGEHZ6+mM4++OX+IOITPL5dYwBxHCwP9PHM/h/Acv9M6hF+HdwRwcRoQjuxGYqSUJRF8LfuH6Q0O20HEpJTDXkFiwccm8AkJQiZzzabmbLukawM7WUC4Dr1OqVmYkolO8QkCgzAKgqMgVmbc/GBTz6jp/ynz9E3j/ffkLzJwQfUoWnpN9//ln0Fs8p638kDvpdBvB/8Cs4YaCfeep8eHyyBU/K4hz+eipPxhhjttZ7759+ZK212AYRk9XgzixzjmiARh+SpPdmloJG/stffvn4uI8xSWjbLnHPyJ1mikiAwR6ZOTJwWRZEaK0x8dPeKFoRZJYYlf/+/fuck4lzyfePeziRIeL721vM1q7rNuYopYTp7xh9OC5LiSHOMSYh3W63x+MRbzmQkOvlGqWo22lepGYll5yyrNz7YOZt2x6PByDUVhGwlOIcaHsNV5zQyF6v133fgSD0aXGCm+kcEwFEpLUW8nlTjYPSx1hKmXMiggirGiIIS4j91nVxczsHQmFj3o9aSr7dbg7Qa0s5MVNvvazl/n4nppxS+PNctktYBiFgLjn8mmIudY4pIqbWw0MsZxF5ud2IWedMKanpaENERKQedc4ZScvcnouY8hhj29YxJqKVsow+AEFyOrcDJXncH4iopugY5p6RtkOvhYin28cYzCKtdgRUsMTiTr02SQnHuWUiUITL5dJqm3OklHvv22VLScKWuSzLnGNdtu26jT6margR5JzMJOc0+vj+/Rsi1VprrSnJsq5zzlBr7ccxWuckRBTjP3GyTp3Xy9XdVBUcYgw9nHPmVHcgJkkywzsTPDDvZV1mH9+/f69HjetNSKZOQup9jP5IKTHRtm3h52PPxUbLsrpZaC1qrQB+uV7rUUUkrHH/j//jP0V+3o89Uvr+eMRcrogAaCl53w9CKEv5a/qrgyOhT1O1lGSMsKnol+tljrHvj5eX1wCymHlOjZHpQEhCJUnCNvUE9z9NN83O+AXP8a8nChS6FKJTYEJ01nCnYuUJVT9FAXF6GwJQWBAEiOSABgjgZkgQ+wOQyA0UNAQPIXIMADfMXkJgQMznYDBzjDcHNBGo68vLi6lFkJpjABGAR8EliREp5h6EOeoMQgqYHMBH7wbuTj/jrOOTtPhH0Rk+i/on8PMU7fz85U+E/kn/Phnyz38/SfKvj/8p8fz6mycl8DtO+Wsu+gSvnl/7zz91B8A555iztdbq0Vs7p2qJck6RX0UkJYkVeLnkVlvKMtWO4yCmUC5G5oiDi4bqegrMEMOqJOyn5pylLMKMhMdRt22Noo0Qw2I9wAEz7b3H6OIc5y6BiNRjzGUpklKIgvi0dzZ3iJn8MWZrbV2X8NJxALWzg4lh2uv18vb2XkoJv/RlWRBJTd19zOHgzExMY/TQjKSUtnV9f38Pe4xSyhgzNJeqykxlKcyCAJQwBKyn2TYhM6/rigAhksklqxqohswpFDWn46SNuKJNLeX0eOyllEB9k4ik4J/PQctjP4ioHc3Bw/BO4HPWRwFgzhl7vuIEHHOYWqYcwj8mnqrhushEijB1wnNGk4jSlmLX4VP8BjEKHhklruI+ekpChufQNbgNT5LO0U53YsqYASA0MjnnOWZIClmEkMTdl3VprYVrZi4ZJq7LSozv7x8ppT56ySV0JnHO6tT43F3dVI9aH4/HX+AXcOAkc85S8lQDhP04Zh/RtKecXl5egqcNF+UxJ7hv28anmMlXWRExNL9HPU41q9scGnakJ/2FWGsjpvCfmf05l+twu9366K+vrwCgZnjKBZEIl2XJOTtCq631HpdeEg5Mo0+LFSpuLpKCqxnT7h/3MJiLhmBZFkJCIiDUOWttlwsjYquNY9XR1JRSbY3F46qLeBDuctGkv7y8AHiIXsJyZ1nWsPNWVWcmPNf3iAgrTdWoE5nI3MHwM8acsZ8ggH9CiuWK/EUO5GrgMe1sn67OYJETPGZ/z/hIAHYSm+ZgroSMp/+CBQJwTpDOGc8+55zjTOfmHhaGc05TmziJqLemzw0HgbdizPoG4HOK4hHxc1oHYpLjM5KG+8qZDuIjgtM74d/D3J+hNh4DP+P8Mzw/y3wMpiMuu6es9AzQX4L/82//JP2H37PDX3788+m+tGlfcwycOSzuGM7PLU7NPtZ1WZdVzXpvTAwQLugWUksRyTk7gM2ZUpIkTBzeZCmn0OPXoyIhaujBrY8R2BEYres6ep+miSSakji7iCkaEvQTZVLTZVlaa5Lk4/1jXdbtssUo+DjHTR0RW+tTVfcjtmVcLpfWWilL2D2F78IcM5ccRQAiHsfx8fER9HV8QuHS8/H+ERMe67ru+xGtXmgCj1rNLMzbTUIywCklIq61EhGQqVkYi80+YzxCLdwmSFJidxdRtZC8A3irXYTp05UkIq/ImFPVLttm5o4+hqac5hjMad8fcYbHfM+cM1iWj493SEAcNZIjQHDUTOjuLKxTkWiMGRCQx9qlOeR0Skadam45ZQBwcJ3qZswCCKYGMSDSO4BHZDil9mphuIAIYb/GzP7U8kV3FVCeqo4xzTQ8M5AQGM5NVa01kbRu6+PjIVl67yH+Cx23my9LCS+Ly2WTlN7f319uL4h47PvL7fb68vrx8fHy+hImHubOSYS5f3wAAhJGiKm1ulspCxER8fW6PO73NvolSa1t21ZEfErQruD+/v6+ruu2bWMCESOey2ARKbaLuIHa9Gfa1GfNElE7skWI20ouQW4TkiSRlHRq703kelojMcchUVczZUl9KCGSMIbfPiKzRBIavZelNPelLA6AqOomnGLMBBByTqoW8mQECuORswxEHGNG1YaEpoaMffSICzGnh4RLWlRtzgEAJ+7/jNgOrmYIdErH8LSIM1fEFCwwfLYB4AYep8lZqiIGmYCI8SD27DAQEAkdnIHMNGSkakqIQAygU0ei5IbjbFmoHse+7ymn6+UG4OcAtlnIPEQEEIVl9BFOIUwERMF1h1qUiHLJjBKzr+CeSwkjsBBclZJwKjoTEThEe/GT//hf3fAJ2jj83rwfflblP+8G8Bxr9zjofip1PsWc/skQ/Izu/4Bt+MwMHrTq2VW4f5INbhDZd6rOOXtrtbbWWi7JHeITNvX78VjXhegcllS1lPC3335b1zWlTISttpJzLGgaYyACE+clP3HdfL//RkSciIj6GDqnO0SzlUuJn6zrVmsVOQNcvMowcFVVRIgtK+GtFnYsREQibpZzSp6OejDx4/G4bJtIQgJ2ut/vY4wrXOA5wLxdLvePD0Qqpcw5j2N//fYNAZDQphGTuzvg3//+91JKlvJx/7hdOaV0f9yv11sSab0R0b4fCLGHg0Uk7HFUm2oMEos/b/Fph9N1gC187pJGcxMpgNDaLKUI4jmfxRKHNDCz4N4Qac6xrVut1c0xUezFmmMyS8o5fPF6j20fMkcDAJQURJrb09AKcYwRp1E4TkYHZmhB10eVYG4OGJwonL5bYZ4IEuicOxObKREH80HMpg6A/ISX3T3WLeCJBcSyRRMJhzGQnNMz3GdV+/79GzEfx0FEuZTR+3Ect+ut1jrmiA1fvffr9dpHD/vPlFNr7eX1RadaVGfusQc557yu6xjTfc45y1Jyysz09vYO4BteRNKaxNReX19qq0QUnHXUia/fXpl4zFmPOmWWUsYci6w6JzP31qOjCU8eIko5H/vuAMu6XNaLuoaAWp6eqElSTCqKsLPdXm6EVFtzt3P/ARMx5ZzBAXKKqi3sTuNDjzmv2IdjZtO016amoR9NItM0htFCBUtIZvZ4PNx927bY9Rgr1EfvZVkgQWjL3D0c9gMTHlHyM0cOiAsjGKqIRsRkEaTOJWAn/UuxOktOLAaR3CYBzs/L4ckBmJmaRl5wf+4Jc0QAAzudZs+kBXLOE7iZl5xC25dTwsuGRMycc1IzcFczByBAYYl+KDxpJUl8/nMOcycmRAgFwTgXiUxhzrk4Qso5rCx8zjGmiLjTOeKPiHSa6/2vw7/DlxL8GcPh509+BuxnbH/2AQAAwZ0DfBnk8s8BLviM/J9PcpLJ+PnQZ9KJpHVOkJ1zeefjm3lvLQCcx/0RSCPCmQAAIDxREHDKiVsiYlCmrdZtW+PBTnFkWaZOcBtzxKk++iloVgvTEXaA0P6FlGV/PMacx3EIy+315Xq9mWlK+ePjvff+eDxyyTGL5O4xnHy2eoBTZ3SlCFBybq1u69rHmDolnT4/l21zBzMdfYYcPqV8f9y/fXuFc6xyzjkBekop0GZhul5vueR6HNfL1cHnnN++favHYW5TVWtjpmVZzDzYglZrWEOO3uJ6Z3Y/t3yzxdYFRBEx1RiO7a25+3iiWPrcxTRVASCCspuxyJgTAJi5taasUVkCYEzthO8pM48+QisRAGbQYMGljz6iix5qLEJMc0xHBNUwyZhzBC4UtWBOmfC0lLdzAxKGWgeecxt+TjkQMeGTxCM+mQYiGmOIcIjoolmPGALPylFV5f3tAxE5cSydUAR0imo6BPLCEnPeASm4+9S5BzqGGGgDIR3HsW1bKJlKKcex11oB4H6/l7L00S6Xa7iLjNEvl83dhRmYoytprUXDknImphgzAfCgNYhQJI3R3fzj/Z0Ir9dbKWWMnlIGCptogznDcZCZ22jCQsxhqpxycrMxR4iUy1I4LPIZUxKK8V1Msd5Ipx7HIUkul0tsdQeE0Fidu+yIiFBVCTDlVGgREdUZsg1Dp3PHwLmJKRp2YaYYSxkDEbfLpbeWcg63bX9iAbFKV80A3FTBISa5o37EgFORTk4vakg2c2NMEWEIiUmeK9Qh2JoT9zdVNfBzyYmD+nN7SdCQ7k6EHnagCP7EnVSVkMAtYNMo7VUtOmVEjP0KIZ81tQkK4DEQYBYty5lyggxVnUyyFPFzIY0Fdx3iU2EOc0AkYiZVq7VFFWJ/WPH+P7+FVQ/+OeL/o6/xd98/m4yndfZn0D+RHPAvD/07venXpwcAeO4BDub3U2EUfav5nNpau9/vfYxzLgxcWGJUkFlqrbE1M2mK/LEsBQB4E3CHMczdph71eLm9xCHIKetz1BwBhw5CUpuAwH5uDkqSot663m5MFLP3WVJrNefMImsY8QPmlCPiq6n4SWMKCwJMnSEOHGNGbxpiCkQcfdw/PvB2Y+ZcSlRac8Kc8+V6QyJVrbXG0sSoTEcf7p5SBjidLAHA1FIRUw1jg3occ04iTpIsqqLekiSd8zgOEhaQZVnH6BE951R3L2kZY7y/vQO6sMSu8lDvELOkFFNgc84UySAczxDPwKgabDM9neb8dE4jACcgB085ERIg1FpjoUIu2chsxvJ6UJ0Yw9WO4Y/iHv7VmHJ2cyIKR4reG7MQUrw7FgECYT6hpNjOZAZPiZHqidDOc5GOahiOneIuh/AOip2yZicCASAO3lpLlpg5NEMomFIKRX+g3qHHQkQ1N9Xa6rqsxESTHscerf1l25AQEB6P+1H55XYLqcBURcBtW3XqmFPN4gQlov04EHFZSq0z1tHcbjdJ0mqD4KOYcUHthgQ6Z04pXA1STq22++M+xnh9eb0ul37ut0MHyDmZeW0V8qcvZkzGnsT19XoJ93y1k65ptUWYTpLOCcaU4vI8juM8O80QUfWMgKa6rIuZMQmYq84xR5IUygcAC/rrtLYgPje+OgB4SufWHgydX9TkiBiT/afhz5mrASCMhty/BBw8LdLw5H8jeOJZqsZ7JibiiDFwwkdnDYxfvo3hyQhLHLO254sCf+ptIpu5O7MggU5VNRaa0wLNbDVsPEjCvJoD4njOVSKFLDp4jjhHdSqizj7NDQFyzqQURoZEZKqq3QFYmBiJGes87Y6xf+6E+dPw7pfY/SUG+x+/Q//9t/BU7Xx5lOh+Pkfkvj6W4x/lpP+AkP7y85/P4v4khDGIlnBb6cd+HI9D51yWJXrNqdOagXsQ+wHy7o891vBGUmm1uvmyLkTUzU/qS3X0oarLsghKbNwtz3kCNQ1JQm8tVHlEZGqBiM6pI6eU8+OxjzmEeYwJ4CJp9BFEfUz/uRsKtt6OoyJALOXOOZuGU4PaUED853/+5x9vb2w2xliXRU33Yw84W0wIMUlCRCQIh90Ika01FjY3Yh5jLKUQsZqGLc31enWHETSemTuwCCASYS5FhMeYffSci86ppuGloSGzlpMmaa1fr5c5lYnGnGZWSg6KLqizU3XtHqfrU9xYTU2ShFGDJEDAPjoCBujURgsL7qnhXMmIOHWWJDE0E8PJAQ2edDECAsayNp/RqGnJOWJ06C3Nvfdu5wypJUkBKqpOBwD9JHLUzTiJTpckFtUqno8TdAsChHI9zl95/Xa7f+xjjHVdmPnx2OecrbV1W1vrrdeYKYhhsdZbmBDlnO6Ph6kty3J/3BGJWDMlYUmhWzILK5jeWi5ljNHHeLndTO3+uCPi5XJNSRBIJF0vMsa43++11u/r98BDwndh6nSHS9n2Y9emEhYlTML817/8NRYvtNZihnApy/1xv16uSJgk/frbb3/5y1/mnCWffuWttcfjYW7fvr0ipTnHUpaYL/34+GBhBw+1+5gzTDRLLoh41LosyxMHeAJzJ3fXW+u3221d1nrUGJIA8JjCCiAodGBzDgfM594blyTu3vtgYjOPda8h5HEzCzD6VO8gErq6atgLTXdPkt3B3An8uSYG6TPbnZ1ZlPYWAI+f57QFxXsiFWimOnVMHSHMgXhq95ghBMCw7gkCAh2QkIGYWNXC3iAliQQ1dcZLcACLctBdCIOACe7X3cPS/ZQ3mJs7iyGEMiHWHgAimk5CiQl4YRF+braJkTH4xzzw737wxOx/X+H71y+eH/I/iOd/QJmeuyHxmYr/kF3iOT7FRT8fDp/7JZ/w0Vn8x2bQOC1nLAdNsXvVdCgAiHAfI0iv1nou2cHDVcaegz8RoYip974/9kBu122N2ZB61CGDQ1DwhCnG6KEFBMA+mjAS0eVyCYOapZTDnIhyzsdxzDkRKdahLOtCSM0ashz1SJLWZYEwbQRgZkNFArc4ldHcl1LM7Mfb2/fv35l4KcvnUIIIa+T6qWP0cP8N90Cd2loVSaqqagBjTg3eQvUM1rU1MM+lZEljTnzKsnVOYo4yuY9+zgGcB5SilxXhkMT1MHsXcYOQHjzrPFSzWIM4xsgpt1YjmqecSCSsjyNcqCk7x7qb8D9flsXcYi17gC1BsMF56M+C47NaizvE+RP+NKA6xmDiHFvDkOCc4MFYFxGXAwKqKgujozuwcG/dz7rhtNXCnwgmTNUTPyA0B9kfTVVTTsdRc07C3FqLueGUROQCAOGyFLGMbywsDhB6mNDDppT3Y495xW3d4jRPkt1tWVdmLmGGoNp7zyWXvAC4med8LrYeY3z//n0/9tZaSjKmpiTfvn1rtbXefv3t19imJiKSpLe+buvjfmfiv/zlL0wc9VQ9anjmxRDj68sLE+kEVTW3vndz27Z13w9Vcx+xjJ6FTe319VWngkNIhueciLiuq8WeZLfez70Ic851WQPER8Rciqq62XEczBKJN9wL4kM/iyyz/Rgll5DTSUqmPub49de/X6+3dVkDDTipQyTEp4MjEUZFPDW+lZRarUOnUIq+JCSf7hZNGEXsJwz6/SmMhs/i+EkGPPuF2NwysfcxYcSVPz43kYFPncLSx7h/fHz/5RdCokRmlnNqrcUnEJF8HANitekn1AEQ81yxQjI8CGObx7qsKSUl/VSOBlhJborAzpIkVolFjxOVS7zB2F7yc2/v/+SGfyzuz58+U0O00V9Dvf8p9MMfO4RnE4D4u1D/c00NfM0BnwklfuunqNfDKbgedd/3MWZ47QlxKBejqb3f75fLBZ5D4DEzfxz75XJZlpireAo/BJZlWddVS259zDHXbb3dXpDg8XhYNzVdyiIiUwcx+YDe2v3+sW7bUpawRTG13378aLWWZQl27XK9zjGSSEoSbVwp2cyMOS6HWmssvo4FFO7OmQHx4+PdHcxszPnL9+9hbBnqtHrUWo9t25CIkUcfuRQ30ydPK5Ku1+tnzu29IwIQI+CJD7tfti2E1H10Jp5jErO7LesSPVBrbSkL8xleQ0HEFEo2OvY95RwF0ehdmEspMEYSmaQAgfrabJPD9hgxNrbOOUNLAg5BQaMhIhpYyaX3vq2bg8OE1nrKOSdSMzUFAzc/ieC4Qo2iPkhJ4rOKOeegH3LKQfUxUZiro1ogopQpdsJEeDe1k8JEkpNyS+BmRp+nczAHcaGFUNgNJMjDtSytt1LOvVohQiKiqXOqBucZ9v1EOP2kRCKzOUAUaKF4iIUSwgwAkZaZ6DjqHLMseU5lphgbWcqiOpE5Vn2G7HfOQUyBy++Pw1yP/SDmmHcwUzv5iRlrM908PgV3UJ3MbO4xYTHnbL0BAD0n6QkpknPUjwMHMZ0yQAB3Z6Yx7cT4nvRUOOVGqRVUGBLFxCwRMtK3b9+mTvHkYFGsIcLp91kyGMw5iWlbNzMjJBcIOw7E9Ne//C3GJtxg+AjvnYBKApRT09jAx8wO0Or+9vZ21OPb6/dcChF+Gv0TEjgEdIjnll2MLYQ/FRGfyM/plKWuHiOj4EFtORIaWMopTtbY5cTIQYXpnE4EAKoGANHwIYDTcwL5PMFj5ZWDgQXfExuKie8fH6pmpjnn2Evl9JxNi4Vo6sd+1Nouly3lxMI+TU1Hj8G9U8T5jOP/i+j/DwQ6f/zij8D9J+rjnwtcfgf6/+M/+33M/8MDwlOPcmoz3CEUCvt+PB4PnTOOmalNh7DsJ0N3J+IY3YwVVMdxXC6X46iR+BHQCcMqoJQFAWureLqMeKh1TSGE8GPMnPPUKZzCzHzbNiQMI+gQ7OaSI+vwmK1XET7fPELrPTTmvZ/NR+8NiSRJzACFS8qYExDNdNs2NweHnDHlbKpjzjH6nMrEMUTydH7lUNDf7x+R1cwsl0XnDE2Le1KdI6ZPJCERJOi9E9IYHRwCuHYAe47ORqUFCz72ByOv2zmEeEZA1VwKQNQl5wGOUzqUGg7wqZ4MTMLM3t8/Ssmjd0AMeDPOQ2aeYy7r0moLQx01PddXRImGCEgAYGhfjaSibHoOSIcdL4W6iYjAHAFiZ8jXywqfJVGoPWILy5NRUjgXd1vAADGYjUhIBgCmT2thd0AQZPp2ef1v//2/T53Hfry8vETlO8dsvZVUQv8eMvwwYuujm55LFUYfIVEI153H47EuS8znuVlOKed8Wvdsy1KWnPJUNdW3t7eXlxsi1lpZWFXfP95jJ84Yo9aWQtrlsl02YZGUWqtzargjOTgBHseRJJnbnO7ur9++9dYRISaqAFGYmVmD/hZ28N47ILSjMhOL1FrN7Ha9IaKLh/RFUsIneBLOE/u+R1fUWiOiOcbppS6sptqt97auq00b3s+WnMjdEZD4pGvU9P39PedSSm61mp/iWjNtrYdvn51rwUPsqFEUh8Pi6N0Bxuj/9vd/2+/7Zb3AU71OcZESxvIVOl2AOMAE93OM4PSDgFMIZOeXcUJADMdHF2JmcG4xA8Xwd7OllO2f/yWm89ydMKazvNUqKYWSDxRCuhAndJAlKWeIA03EzN9/+QUcmAgQWutqSv6EfVUBUHW23mM4LgbNRh9EJ3wEp+/F/x4P7H/OAT81O7/XB32qQv1PPcAJ+/yeEv6Hz/YPni8ud/cTG4qqJdawtNqOY388Hg6Yc2bmMUampGp9dEJa1yUlcfM5R0rp7e09BnfdzIDmbDo1nR7m1loLPCGlHNdFGKgB+JwxR0pmplOnDzNjYgCIJVaxN8rde2uq+u31NaUcm6rsuQYqXMPNlAiJ5dzEm9jNnbwwx4x6IM7gjkzIICn9+uuvUZ7nnHIuiCPUgGPMWisiMvEYOwu/vn5z8N7g4/7hbiGOaKYxTs9Rk6kyUu+999Z7//btG7P03sfot+vVDFJKOad61NeXV0nSWwNENwv5dbiczanBSbi5pBSXXtx0TkwJEZOIqq3LGpbmMT0atamfG4E+lWN4SroRmVPAm0QUxXEAMmbGwoQMn5M3zwV9akqEYXgc0T/OQXObXZ9TYzMUHzEYrzpFBMAjnHr0IsTTVFXDQTJs76Im/tSRh92TMMf7pd5aa+16uRLSUY/ae0iUmDnlPHXeH/cfb299TEAILBs+17Kbhc1QRJ/e2vV6bS1GFcKHJnTHMZGILQYLzVJK379/i9Lm7P0J12UFh/vH/f5xzyldLpe3tzd3L7kAwvF4AMCylCA6g9He1vWxP4KHDP3P1Bkjgu6+lBJb8WZw5ObhSxF9QEpZiN1cWH78+LEf+/7Y55yfbN0cMaln7l5KIaLIK/HWgsEmopQSITBR2P2fl9BUZg42ZY55/3hvtZ3kp86QiMXSuFqPs7pHjO24rffe+9Rpeq5cMbUQtLl5Svk//af/9J//X//5crvFirPnmqmQ9zEiiSTmRAG1nlMEZnAOxH4KIREAHCnspJGGjTG7uTHxqdfUc3lDLMs09z6aucWoi8eugudGwzGmTg2pDwDo1NYaAuKzjDpNegFHH2OOofPx2MfoKaVYAxFQhpqKyOvLy9/++Z/KujAxIoXxRswAIoKp4v9eAvDfRWP/fUL4KvF8lrnnf0889pRsRhz/hPCf5POf6OU//exnVgB6IllwzrJ5by2sq3rrphp9c1BNOafb9RbhkpDKssTgT6zOnmP++tuviFBKyaWAeU75sl1CtRVDvBGvCSns7wEx2koHN9Mc0tInFhFTIjmncMwPk64x+nEcIhz+20wkSVg4pVxr/fj4GHOEATggnouxEGOpQ1QAqrrfH3OO19fX2I5IRHPMsF8+ao3CtpQSTi0hfDQ1RPj28iop9dZVZyinYzmdRX6ZdrteCfl6veoM6cBYl7W23nuP6eJ1W2ur7+/vRJSTROEsLJ8QnD5V0HGVtd7OgbLnJGCEb0DQZw1OhLEpM875lFMYugTF0p9DPETEURrqDDPXUMTUWsMt4xRE0Fn1RzMUl2kfHQACdDqNAMzPUhLpqUA7zb4AMJTEAbRErIie5lxVQhQrLd387CAAEc5ex0xlWRYAdPR/+Zd/MdP39485dB/77XJTVZ3T1F5ut6hiIrcExnT6SKP10dkEB6zrlpLklGPgs9aaRMqy6JzmnlNWU1Pdtu2oVYCPo27rmnLeHw9CmjAv16vOGUDq2/vbaYM3x7qstCASqZmkJCnVox712NbtdrshYFi8iUjYlp0SptlLLrW2ZV3D+Lv3QYi1nTb0tbdlXQAw+uKXl5feWyQ2PPfOIhDOOVPKZkp4Oi+OObZtQ6R9f4g8z/hzy5WWZTGzj/ePZS2lLL336/WWUsxbLKXkyItjDgC4XK9hd246mSXzSaEH/5OSAARZCoBjwmSQZV3WZTWHOTUJCjOAAzoiwXN5Z2yEd4dgwCLqhyogdPqOqKG+1LPPIGRHDEIl8kUpS+ym0KkxOUJ46pHULGzZYytsSokShXb0RLHihtZ606nX2/WcdlFjJnPf973ux7KtOtXw3JPXar1ebzF6FtJ1U90uq5nPeTodhmwO/B/U2vCM63/6/Veq4OsvThnRSVg4fGkJPE6AZ67wz7vF3/nPsWB8ppavyeCrdAjjIw27byI0tanD3Vtr93tUNkuQMWAmOcdRU6XW6ucbUdNowVttry+vJ8LjMOaQJLU1M9v3fdu2OQazOHj01nG1ruuaUz63dDgQUmttQF+WNYC+sB6Mym8D/Pj4KEXcgZlildUco/U+5xy9Xy6X2/V2rgJ1OOrhDiVnM4ssEizHuq1qJhKLBYebh66amcKgKuUEHn4qEOoaQHQAA++1O/j9/nh9fSWiOQcTUc7h21NbjWpsKUsMMI8xUk45p+Oo27oQMwCUUkYfP97eXm4vLHx/PHJK67J6uEPGxdi6oycRFzjlJ30ATkIy1fE89CzsT9B/f+y55M+zIqZYwnsOwlMIMRiCOYe7H/fjLBaJcspHPXofvfdtXQOWcLecsz9XSvQeu8PkUyZE58pVjpOKnsJCAOfT9N7PrsUMwefUKMRVlU8A2cNjzR3OBe+AMnUyRanewWFZCrPknIaOYz8u12v0U0g8ek/PCX4W9umAEEPVy7lrpfXe3L31/vLy8u31FZ6WW1M1Lidz348dAI+9Rj/x1KJxKWX0HmYXoT9Zl3U/dmEJB4P6eARvOXoHwJxS4NRjDkIOqzLAp/STOWGsjV50TlONMZxgz2PphD8Xgc4R6nIoy+JmGJ60c4ZFyZjD3Vpr0daFPYiZBxB9Qo1IUd0TcxBiIiIsHlbgqnPO1mrOJWa+0c99IOGKOsccsXxNxAFChgsn2qZzzrO8RCKCmHbmGF9hQoST9CVi4djW8qw3T4lLHIUT+Pdz7SU5Ap4LhcOFhIjV7Fw1g6CqgRUR49QZIH7olwVhXUpwdLHamAg/JUN8OsEJUSjhAJ8QkAOgMyGWXHLOYZcd9x9jRMUd20Af93spi0MIBPHEK5iDCwm3on9XfvmM7c8g/YdU8UUF5ODPAeEzuiOC+7lI+Zkn8Avyg1+Mff4U7f3Lv2f+iGLyczzbzKPOrbXd74/Re8i6Tq0e0anw8bNhDdh6zhltJQA8a0Y4F35tGzwxgZeXl4BWEJGju0OcY+77nlOaSAElRZ6OYYJQds05w7mBAEPdsG3PKLnkKDnBnYinjjAc7aO7Owexw+fYIAKEqZxOo7OvwrADQjxV+eFkCYApCSLG4rPw7IpSDNxF0sCec1Ez1RlSOE4yWnewqH+3bSXiMWdAEaoWn+S6LFHlBIsW0ilJgkihETd/rkIKMJOJmY/Wxpxh3+LhOsyMZ84mNfM5wyIVHJZ1icsqLD8REZky5ZhZCxFXNKwRdpnY3MIiZYwRGTo8qMcYj8djKYuImcWivUBfMTYkS/juAEQJ/0Rz7TkI/YlHmZ/eZh7dakBT4bMZ86TRxgrTnAoALCRLKa2N8klylsXMjnosZeEbI6IbqNp+vy/ldE/rrYc1+f7Yl3XJJe3HYWbrtoRWjIhjXbC7qxozLyXX1oPjjmXHkviSt9OkGJyC2g7xDFPs0wlRZhCnkgUAIle1Vs3s9vL69vYWprgvr1cECpgMkdSs1SpJ4myrta3rSk99jZv33gMwfdjj2A9V/dvf/mZmrdYwvte44MwQrOTiYLFZKTh6ZgHUnJNZirKr5GwEvQ1EYKY5Z+8t0Ni4BnLK1+ttTg01Wx9dkkAAJu4AHkr5KNnMdIzp7ic8QhQqaUL0n0gIfUGln6BEUAAcgv6TbHxOEHzWsk/1zwkPhVLHgzBAwgAQ1DxOfTMnwi/hkcBdp86nnFFNkyRVJ0QidITY5VlKUccwDuKTkPBYSeruYIZwAiyxfi7nknMBhD6GqgKgCCeSOTogBcIQL8KCYft3o78/S/QvsRn/tL39y/3/RAefefP5hD8biKde6Bnifz8Q8PwWf58JTuXVZwOhqjrG4/G4v3+Y2fV65dOo3UJBG3zKGU4TBcoRlWbOOeeSkjj4aSYYw4NIcS65cygOexuSYIxhquuyhCrYAebUqBdOQYBZa0NSAgNTBeK4TgERzFJOBAhC9TiO41i3NUkCQEkSNLKxuE1C9CAn3JdlybkA9HinI3T0QUsSM0fpbzG+3vsQETWNRDTGiCBe67FtFzV9zWevE5g7Egqn3voYI0gFM4sYdex7Llkk9d7cAWCWXCJLEVKwfXE4dM7YUD1VE9Hpwt1aDM3GkK2wDBtnh+guzEPN3EYbUcsHzBDYjptPnUPtdF88h13IAc6NWMwEZObmM3MWFrV51EOY3fzl9nIqrfX07TGzlJIZMEN8e9Z04O5xhXrs7QiFCDznByFcK5iZMAROGJZTDlGNqZk7hMmNmUl0Ig4Wo4NRRz8nHuN1ZABPKUsSBNzrXkqJSeV1Xc3s7cf7aQE2NEwOkkMoCB/7XnJ+PO46V0Bgolob87hslzHn1JkkHbUS0v3jg5hyLszcx9AxY2IFAWIn52XbiIiYxhhHraEXLqUIy37sc2pr+7qu+3GEYicotXBlCOl9bfNy3QBYVU019lkGnx4ZkoiD4O1jvL68jDFa7621EOHFKkdAdNUxp4gEjjbHYKZt21rv67aEcVApJSUx8yTpsT8C5YzC3N37ONUsBvZ4PJZlYZGoTWIhERHmnP0p1nnGHYRn2COiKAhOZJoQngbuTBzsC+LT4tlj3uu8wbMn8JhFslBaK8I5mxoaJzyRHD8bDUKd9hM0D++q080NwxFlzHkOgp1hMsQPSKc19enMZ2pzzhBlRX10WssBxKKhtIhOu897H4MQJUmtbU6cc8Zi0UCZosv+qef8XaCGn2U7hgv000P1j7jQH1KC/2QK/pgVPgn0P9IPn9r/ZzI44/6n+vZ5okG8An1aKE4LfZcQ4eg9kBBTjfwdcQQUEDDndLp0mBGFrZb33rft4nq6cjv4mCoOsfta5JyiUrNtu5irmTMxC88x0TUG/TilqSoshiFsozFH37u7J5HT0yWV0WcwYXEB5pTDCKGPAc9Vr6rnvHc4RJUlaqaUc47aAgkJiIiCfbVwoHMrOfc+VGcdDQDXZR0aRYAT43E0RMw5z6m55HBVmzovyyKSwmEMUcpSam299bC+mKpTZ045ho0/Pu7h97CsS8jwU5L9cZyWJw45p2VZwm943x9RszNzmGcQgIgAAtjpTB7Lo2LAKA6ro0eXEyfnmDMWYQW2EzN967I8q/tBiBqWYQDBf7i76ozeCE77Tztz0vMCxp83iiQa515M+ACeTUB0ycQMYQRJQMzPchMN3GMe4jgqE4GjnwATBgs3xsilzDHu94/AxdxTeAr21nvvL7db5PbLdVuX9bHv8dyms9W2bRszv9xuqvpt+RZ23rlkAOijt97o5Co5pcRnsc85JQfAMeKMSSmNMS/bNnXOJ7jvZjnnkgsSzKlhWOh+jtcmOYflTk8+wiUvADD6MDbVUz8aw7G991prrCaPcbuU0/7YVXXfDyJMktxd5yylYFn4FNucRkvBKUWc3Y8DwBEl9LbLsoA7J6zH0XuLeiG2ZgIAEYYk1PzcsWxusftQziXX7m4ADgimNnU+a8czfEdx+BSGPS2m8MwA8T+As1uEn5C8hQko+ikeeFrdhDwZojZHRAJy93wuKA5Dee6jh0tMxCwAnDHRfb8DwL7vc4xlWZZ1XcrCzL0PQHA3BIITusQo8eacoVojpJC7RLce0WcqzjEAoB4HIF55A0BTe264oZjf/jkJ/PnhxJLFn6bN/jVsw8+P8A8V+p9uX4gBgD8Ijk5c43dd1dNY9Pe337UCTwIOHXyOMfr4eH+ffaScWqs5pfePDwRY1zWXMnsPKXPESiIK/wsReTwepRQzjzbI3QKMZpEzwwIG1zLmEBY106myyGyKAMQUtiu1NVNb1gUhAPoZKzzxHCQkYgKEqXpmJoSyLMLsGUJbsq5b783UgLD37kEqcmzc83VbY2GWucWoLRHtjw9JiYla73FPQjxqi7MuEFdmBnB51tchKjHzo9bRR9jdmFkwjnCKNaHWlnNKKQnHJr6zabZky7qaaSmZiMOFMB6w97Fdtk+zTAaJk5aI1nUjxF67m08YgJhE4rVFoIjczMQRZ1QNiSR2Zc8Z/GgEsZiLQsTRBzgAYazCBoBlXXvr5Pb2/mNbL/EhB8NBRL13NdM5LperqWkMDz1bAYfYIOsB7IYiODrq0zMYTjTps96DT+DrLOTAwOR6u4w+mImQ1C2Xn8uAkNCdk+WXl9t//+//PU6XkpdYRtx6j6SXJMenT8hI2NspgInBhHjmmG8MD7wkKeeiqnMOUxXmT+FqbbWUknI65UZBz5q6ex+d9ETHYjVxePKlnESkt74si3Dihc189N5bj/UjiHTuNhAZzzlvZm61xbNEDO29t9bnnMtS4BlXAWFbNsdTVjGHzTn2/VjXFZJH36qnZdsUYZEUJNico/eBhCxy2S4hyeq9xWkRpzsLk7vEHmbAea47xpD62tOmIuCdZ+V+2pPRk80HBKDP6v/z/ykoo583OHcTBXQYh18t3JYoZu79qRVy8FCF4uk4pLFkPMJvNJg6Z5SNRLhtGxP98v2XWHHn7mP059QPRfYNE7GSc5idbetmpvtx1KMu65JzBoRlKe4QHrmnWvC5lCJnIoRaKz5tezk8Vf5Qp5+DWdEbfLYI/o9C8/+T2xcE6Hyi3z0S4h9bgvP1PKnoTxOIkzCstb29v8WuoZPoA1iXNbyPMCDgp3tXzAdFkxGRJQAKKin2SLfWRU6sn84RDQ1ZUcrS+whgGhFNLfb8MFNOuVolxD564IaIOKcetZ59pLCw9NFTzketAShPVTMN9/Lwi41sAQ5RETufc9qhmwCAMaawjzm2dYOnRVqgpvf7x7IuCDRVYxlWkIgpZzfLpcyz1cYTkx3DzLZLDlF8753pHEYjtCRJpzr4nKqIYZodYC8RiaTWKrPECRnaVjMNyP6yXqIANzURCe+Wl5eXOeZRD0Y6rxeFANrPrWfnhQanmEL12T0jIsS8LoUTnDsi5STgEHg7Is45kVAoXS+3GOGMYh8c4leJJK5VZmIucNqH2KmDYI42gs4t0/71RCckQIxJhZhIIKKgSfAktN3UJdLXmEOm5HKuCyBmc9Nh8YnPOZey5JIjWqnq9XoJrCCG4lgojIWPxy5JLttl2jS13sa6LsEfeuyKQctLQcAkYs5jdDSMKXUlFOIxBj0dkWJ+Atxba2HeZGbC4u7BIjKzJPn4+Oh9pJzmscdwctTURFSP6icKQGoW+3tDPGBkgmn7to05Ynob8Q5Qtm3b9yPnFNfVtBlelZdtM3Q0yiWv28pEvQ/naMlpWRdTO44jTDFHG+u6IkLOZfSecjaznHNtjUVarfGMIxYSsYw5tsvmT3W8qwaO85SCPoWJPyGGTxsc/BKPkICejQCd8qHn0MfnKNKJA4V8E04uOkpUt09omxApTFLNLGYgYttXIDafzXvrJ15hbkyUS9GpgKCtn9AGIGQIbM0BYkzkNMBKKZo5AJhzjDnBQXUu67mmNOWMCGMMB3QgVQ3DDPrHEfeM018UOk8O+I8f2j+K7f+T2+cnDfg7qdDz0T/pzz/8xcklwCfHjADuZsexv7+9ucOylJLzGFNNt20Liu9J0MR4V0eEvKx2OhJjzvnj455Syrx07RZjhk+SJopZABARFumtRcUafaS7s+QQxhDRtm05JZo6xkgstFKYGSzLcv+4b7I9gUpl5s8Qv64LAiFhPQ5iDm2bJDGzsixEuO97vIacspFdttUBU0pqtq5r0CS//PKLzrksa1j2M/EYI6eUS+m965wxnd57770DwLouOUFgzhG4ASB+dXZXwrXVGEsUDhOhbqbwDFPMXMoSO8gAMXyrIKboQXpvFpBXyVNnlFnHcSCAiOSUw4UQAaba55z/1ElGkdjPWRwIhSb33hFPdUMo1EO5HloGdw/3f2Z2h1LK1ImAffRQUUboCB1RtLwhIcOYMGCiczv3afgYSv+vPJPFBiUEm84Jp06fECkKHE7TfiIBgOv1AggfHx/Hcbg5Cy/L0nvvfdxu197H6GNZlzjbQwYas8Hg3mrTpLGoPVZiiqRgOE2tLNkBkuQxe8ppzCksbvb+cSeiyM/LulBYTzq03vZ9v1yuqh0RwqhnjH673s7hI6LWK7M8HjsirNs2xvj2+i3MJqdpby1BCsvJQI3AfaqGnDnW2LfaQkFjbjjBzRFgf+wOUEpprW3behwHMbtDHz18p5GI3JZlCXOk9/f3l5eXBCksVh73R4BFAWqdtvjgAVtHnJlmKScE2LZNTY/9GHOmJAN/Lq4jwpDpsIi5oUPYspkbnhxwRJ/A4YMvQAcHhJQkUAL6yQCjm5urA0R/6AYhITiTAADAOS8aGGXMIrnbnLH+BZ9wIhJTb22MGcPoCZLkvOWsGu2KxrInjQ1NJfu545eCbY7iF+gMVuGaUmsN4j2S1hzjqVycMfWNiGHJ6w65lBZrKU935T/GaUf/FG7+MYI7/Dn6/8Pbn4p88M808Rn9v0iCnj/0Pz3Iz+7AzAI5IqbamqMfRy1LiWv7VHwTjD7G7CGOCiAPEOYY9/s9QCcOz/PjAPAQ5gZZcFbKphGIa6055z5GEiHm9x8/1mWN2AEO5ueUGQtHJStJYk03C4cgfV3X0LeYhffZ2WqPMVrrUc2kXExjNt5a68ziZuYYuFMUjnGG9tbdLCzBo3iPaCXCCDj6kJV1anM/h05i8+UYx36EbETNXA0oFEqUckLAIMDHyQ+fDW/vHREFz9GtqIZCYUWnvM1zTgBobiE3QkQLsTxAlJhxIXPKqrP38dE+gvgtywKtneeTn0LSmP/a1u3t/e3cMwgQ45/B5SJiqN3wKRjlGPrw2LbkaupmY84gXMHB3MN/c4Rqy0/i4ekio4hhDqaIMFWz5J/MwDnSHNetnzIhIHA/gdZwkA/mpu7Vl3MVzlKWmC36FOQdxxG+SDb8uX8Hz30IhACwbSsgRr9Za922LU7BJKnW+tu//TDTX77/UlvbtvV+f7y8vGxlu12vfQ5hkU0AvNbm7tu6UqYxBp77SzFqc1X9t7///XLZxpjX6yUWG63rElXXnFpb7X0sy5kw48MiojH6siwAMGaL7dJFSm/nrhsH+PHjx+iDCOccqnYCgogf9/tvv/22rev1dkuSLpeLP5N/TtzVkqTX15elLH0MMIjNqHOOfL1GDRUuhqpTUjr2I/Zhvb9/3G7XuIriqEvi19fXfT8e+50fzEFgPE+UEISE2MAxogkhutuMYBdYjTlIFPOAxBQZOkpy84kE2hXdTwI06AUzcyegaTMOPRPW2lqrSVIIqHPOz8lPi61SzCSSYkvq54sMcfB5z1BBJIkREDOPcZhoXkIKGIcG/PRbRUR5btELalenxeR2uAT3PlJKY2o9xhyDntfzH3KAB0PqT+Tnd5i/o/+7of/Pv/jDT850Gzk8OAY/iYDAVX9/vz+KgixGeOics00s9TjUprsFJTDGBAckGH2mnAgxXExi6nA+F2ur6uVyAYTe+rfv307lwhjL9XbaJSEi4sfHR0ioe+tBIL19vBOgmeWSc0oBMUf3HKO2p5pTOIq20wzcLDYjhlYtHk2nIqGpqpqs0kevtZZcTp6fiZl1qgPknOJCMLNMReT/T9mfLcmRJNmCIC8ioqpm7gAil/sw/f+f1vPSXZUZgJuZysLM/XBYDZF9bw/1BFElRUUEAHdzVRHms5bH12PbWm1NWMyWmSHy4TxfRASVgbCoiJvXWhY6ue43VMeY2bAhxPt+4IkSEeLiNuSSxlMEM12wz8kstRQPRMVIUbVwsI+quR8TEdgOfHpxvR1EbO62Rm1t27eddhE5z9fj8ei9U1CDirk1unq4UFSpqteP2+E8DY9pE3s5EUHvjwk1KJB5TkE4NFRFRCOywFkkIxUupmFhh2TmdIoBSWYRFQ8nD1uLSmEmFkQqFdAttZXRh5aChEpICeZa5Xa/tdaezye+oAgavddWxxhIRx59zDlwvh/HMcZglaD49ecvVfn+/TszDzMtpbXWR4fe4l+//lVq+eP7j1JKaeXj4yPFKJGuB5trzdX7+f3bd1WptULVdL/foSoBtohw1H3fzOz5fOz79vX19XG/Y9czM1UCV1ZKgc0kIqpWWwYazcxez2fJ8E6bcx7HzdyY6Y8fP3BejDFEXTWfGDX748eP2tqc8/V8ff/x/VpxkD/OIgoQDRf+HMPcYb0R5TUcGqS19NfPnyRMRHOMj4+7iACoZeb7x4ebPV/Ps5/7viN2G8cB0jspmAK9wnallBj2198ixOQrALcoQixSboTLxFxYFk3z5e7EEZSgEPZoZi4XAQty6Pl6ttZU0e65oX0bYqFt217nizxgzWViTP0EGTutZRzhFCQiy6aEKGrwREQFNGMEWbivWUrZ9x0kti1DOhARP9bXv//89/f4/vHx4W7MWmtdi2TMVN39p6ryr3zs+//9fQjTNaensev/Nqz///nXOwDgf/XXf5INDKwSqxi+LhHto5PQcRwo7K21lqJn7wrKjgnjeR9dJXvDmTMOJIuDUt4W27b9+9//UtV933/++VOLftzvc637cVdVWH9F0yo1xsSHhIAd+I+KFhZCghvc2m8jJzEJRtdwyDekZdDstiv2g4+PD3zgc6LY/fqhxGVwWcvd1rJt31QFdgR4ZZEjtG2b6IIBE1MFrGGttfPsWkp4nOd59ZtevIgEZnOEMK81wReaGRZcvIxoKMLrNuYUkVYb6LEFSTfQ9iDiLITBJ8zK7m6cLwgGi9a2oACSU0rxcKg2hTlIVMuaZ1+99w5o2sw22USEPLcuzVR5aEXZ3UJgFltwjI4x5kSgmYtIoQIhoOeiVgGNBkWsK1L3LQ8yJ2YtBcJTgiHfvJaCbR9kEkVgtROWsCjbvq21aquN2/k6o0atmQwKWuZ+v71OFpbjdrxerzlHKVWLfH5+aClB9Hq96lVEUFsl4jH7cTtUi2YZyJoDbfQbKIu5Yj8OZr4KFkZE9N6vl5W1aK1l+RpjbPt27Md5np+fnxH+7fNbqQoKGuoaxMSf51lLjQVNFUuR0QcEJ8ft2FoTLRTZw0lE7qGSFXREtLV2nh2p3K214+NjLTO2H3/8wBMAUzi0zGut5/Pp7vf7nSjM7Hyd+3G01jyfYHo+nzh/Pj8+tm3vvZtbf3a8aR/3D1autXr458enp6oMqDfnORpOqPtlVlUc5RDxkgdfPcAE5JEFMlF5VwGD9aVMiQI9SykhXSlccV9rAbBS1W+fH3i4iWjOgTsA1TfMZMCRPX+TUgpUqrgYRLX3jpNv2xpeyMfj8e4s1bSfBMKtWq3wcEQEGxHzHLO2QLve3/74e4SPMba2m60gF86sFdw3b2nO78M4/9m7J+AtwuHr4P5fnf3/sxD0f/r3f/0bpv+oir8YJqLf0qPrvwfz8vsXZVwrxPvSKugNZh5zPB6PrTXE7tpyfAMe4WuJ6ujd3edc9ztDxI13G+mHRYuo3D/umCKbiK01xsAToqqv17PU6r5qaSAJoGlhov/+17+O43a/lUixWGA4PY4DUULuKGFvRSsxrbmCCDzkspUEN19y5QhRQbcgFNtw59paFWUeEWbmM/Z9m2thNSmqXEpEnL0/Hs+4NNxBAdVG733f9wv1jGWrSPGIWhGf5TkBqcKTWEuNdNWpXzZjIObAggCneO5g6LmbIumgmXMum2st1eTni6ob+vgQNSFs7OFmXlRrrRFga5iY9mMPD2Y5joaC2Nyet4I3GmTM23CAp+TiA6JtoqJEMeciygMnN4YcoQN78MQ8RJcmLakjoiuqlolIfsOWOI2xzcPSzyKln+Pr65eoblvb9x3xN3PNUvT1ehERdljAWKp67N+J6XydbatoWzz2Hcw4Rryzn4/H4+PjMyJut9tas7UmorhzWHjM6e5ba6xyPl+v83W73VFivm07yAyoUHAYqWjvA7gh0smbtTXXtm9rTqQM2TTUaLxer1IKBhOw7VvbWHjMMfuJ/BMJZSL3OdfatF2aQqqlwO2lKnMt1KsWLcTUau194KAnjo/757fPT0O9Tvi27bW2VGIs+/Xz18fHnTLQzR+PJ7OMMW63W0rB3x59n7XVOZYWOUff2sYEaRcFZ83QWgmhBEWQRZaZY2O+8G7hSwHEBTl016HPaDR9/0Xhbnn6EzPMvbVGkNnECRlIEGUppUT4nKsUJcpVu7XGRIikjjzmsksZ8C44f0M25MXn55WwbXNOMoP0EJwQ7HtEEKFSRIjw57eP1/O1zF4//2xbpWCWBucq/U9Iy3XaXof974P+/6fWM4/wN0X7W+jzNhVQRvnHX/7FxTH/xU/wXkA8fvPMuBuwqfh1N8BK3VrVUoRl3/fn8xXIN4w4z4738/V43u43W+t1vj7uH7U2uElaq2Ms91Bld0MzTClqy8PD3OeY5gbKCvclUbS2zTUjqI9+3e4L4P63z89SS6D3tLagnJch8L9eXjFzZkOeGoso5+zSz15rra2auQhBpA+++s9//5uYW9sO3cutzDFF5TiOuZYKyCFGkqWZ9TEoFe4UEaKC5F3YkixbvXzf9621UjSLJMz//PNPqNE+Pz9QzsEsY/Rt2wpXPEvJn18/C7kKM/LhSIYp1jJePqJHlmeFZD2dFy3L5hhoPUozdlGFfAOzLwVpucL10r2coW8YUpEAiPCxyMI9Mos5O17dMUctVVjSRHaBUZD3YazB0wi4FR77COD5yTbhV6kWgHXC6o428hDVgB4ncoF2j0JCtVUR1VIsDM/f92/fEH0354wglBFjmobM5jj2s/dtazAHna8T7NyP798/Pj6/fX6DxI0otraJirO/Hr33vrVNC5JgqZ/9db7+/eeftdTb9+9aCuLA5jyhmr9qUpg5W8ACEkDV1hpesufrparHvkMSuO87euPw6M85sc3cjpuF4aiwNVHvvm0Naln4poY7duHlNsd8PB732/0K3EjR/YYgUuFg5RAgY6Dg8F6d58s9sBxAP3MF3pagQJYLNLJoW5tjYuu83+7XgU0I9ED5CwBBjJ1MQrF+69IjC2qZcGL/VoESsSH3Ia65ISXDuA0coZ55Aua7J2EOkQD2Qroyn4kYmRbXp7oSmHbvvffzhBoBMS8xApmL4bG1VkoFfHSe5+1+a7UCkBVVYn48H/u+l6ILJ0KtkJr0s0PNXWu7327L/PnsIBXfphi6FJagZd+iy+tOeCud/jL3v4/2vwz+uGjpP/+T62/4fTfE9f9fMtPfl8b7IuBLoBR/+b9kgvMwCuBmwmSW55iqAlyNCBZ5/PyJHmDMqjiwbrcbE42xej+P44CMZ9/39x6g0o5yoNturZkTYZA7/CinqvQx5pjff3xvtZayPV8vIv76enx+fGBBIWY45CNCRT/uHwSV2pyA9WD+qnnxlMvXIrUWs/QUwuD5+fmNiOacX48HRVbssmBrFwgxkCwEYQWKo2ut0CyZW3/0Wupx7Puxr4kIz0WFex9mq9aqRUupIuKe8/Jaq7UN/wRfC1TXjsAcCGSRi8lMCDpsNYhoBSiu0buq1FKzNJFzfqqlGBsxt9ZAZwGZ2bYNURBwqs8xVyzETgSUch7LpqY9AkhMeIQgnk9IuYCBQKyyCGPeb61GiqqzmpeZ3FH4zpat44SNJi7pF+fdHJy7fjqWkIkgomgNg1iJ2Utr7Xydc4593+a0f/35LySKiAjoQTyUogre5gq0QmfIMrO97AW7WIRHCIV5mLtmQQ8RRa1t27JqmYhEuNa2ln1+fn7cP1mozxEex3HgT1lroiTgOG7M9PPnL3Njotbq/X5/Pp9zzfvtLsJ34VJqRCxbkW29WVxctLbWAMmxSJEUoLhgt17n2fd9Aw/Z+wmXBz4vcoJBHw1K4An0WvfGHOBSYO6QIkBvRNAwrGMOpAaiDRjeOoxL5jb6KBn+nGAidknEoZhZqZWu4CfUfztEsULkQkRXlrMyCaOwEYxR3mUQeF6M1oVLXEhQ1k2Ap4Lqg9yZiQs05oqk395ftRQ88SzgCZA8mowZuNxaK0XMOZGCV0uWQ+HKOfsZHiJ8HAcFkaRKYa5lqGAWMVEIUbDygzWprQDlA1chIrjB4q8Y/P9iagdbTpSTe/wv8Zn/FHL+v+MELtaB3zfDX/5dqo/499RPlw/52qtIMsC9uJlfAiF0VOFTAgv442p2xOXd+1CV1ho4neM49m1/2LOfPYLmnODbRQS1JHiu1pzHbXePfdvGnN+/f2fhj3B3lOiOx+NRW/v16xeEam1reCqO/SDOvKlM5aPwcAk2z3Cx17LWqohCT2DLSi2wZbE4kOtt21j4KjLbxuiv50tUaqnnnO7uYyCl18xUhJm3fZ9jYjxCDRa+EiJ6vV6tNXzx29bcMd3LtkUplSjO8yxadNswzmEG//Xr6/Pzk68gI7oU0vgkCVlARHwxtO5eSq21eDgTeRJjQb60lCvLaAHtwFcOcA/j9pWyyFCRckZ1OqdsgYUlyIHj5yIiaZYnIlCeYfReVi7xEjQUdk0TKer3PPf/CkkSsKD8XyJ0s4H5NzNEUeFOwGNf5pjfvn2aZd/m92/ftaiIjD4k7TYUZqMPoiBa4OvxYb2f0eN2G334mkyEmq3jOEQYCR7ugU/+eqA7Mz8ej23bVUtpOtfCgIqQHxGprdXWbK1fX78gfHFb9arT2ratbW2O4SGv1xnxAjkDlbGqPh6PuVa7b8T097///fl6YtqFERrZBszcWrTWxhy9n6UUVUE/Xy21bW3jTURQRYQLr5YSTDZDtYB7wQkeHufZex+l1qLqsSJo2URAqVyCmVqrallmaNyutYw+5lqttq/nVxAd+yFVRNUzqdYBzbtbZFlYQBqUKyQnRZC9w9cjJcweFqlRgZwyB3n3cJBIlCmy5MSwGiGOBjsC55spIus8W20QhwCABhi45iLmvbVltubC+l9KAVNHcYHgQb2fx+3AzOJmqWUyYuaPj08Ph9HxzWyHU9tqQGZX67IZTqVU1VlrE5ZpWdj5G3y5jva8HP4nueb/7e//Xx34/3nKv1+zfA//eom8dUbB//HnY/QnqPuJiFQUCzdD1Uux73tQIAQX77yqYm0FFs9XGSdI/tF7Hz3c9n0HCIBNXfBxXzgAgrBQxiAiLIylE1mHay5mXmsd+/6vf/97XIF0QBtAqiGlDt/pBQSZXdWGERTkwPcsHF3ZSIrFS5rlX6roeiJqpVQ4BJn54+P+9etRKgDec9t3yH5qLaq67Q2jMguvuWotCN8lQrqJwQjNLK3WMToRjTHbR5tzQkCMreKPHz9EVYBemesVXQ7VdUI08LzUCn4CtTaAXNFRc7lp3S7j25uYxVqPs15ExzwB3xPR5Ze0iChFtSiW6Zye5D1FRASZ5TmpKp45qgHNNzODdCTG7YtMxrcELohYNS+Di6sLvMjxFw8pnn+8xUknGBFFQT7GnMiD8z5GdG+tQUCJpCEYw5lprrWQ0+CBtQ6agfOFEqI8lI7juNQp4RbLVimKEYYoPj4+3B2A1+v1LK2Geds2Edyo/Hy+Pj/116+vfd8BbRzHNsZ4fj08/I8//rjWIh39RFXLeZ5BiC4AzKfbpq/X8zzPbdtF5dgb1Mq4tEoB6F/Do5YsVgRw9PnxOdYgYtAJGPCFOdJiw6rCLL7QxSiwqkaQKFMQBKl1q3MK1O7mplIud/TCaibM5+tstZHQnOPHjx+U1lwCs6KsBhOYB6ERlGkFhZMoe7yzwuh3FAS2Es0IP8cPKRj7ArTGWIfdnIKKKPhw7A44pCSVi4RX0WyNsUxtronnAOpmJs7w24gxR60NEhtmwhkgqhRRanG3bdtVMt1w2/ecwiKqIpcpqeCK/hnzUsqaNucQkfPsc3YEWB77hkzrK9k8j+KIC/8hIib5n87oC8e/FoE3BnSRGNcZ/x/o0P+TdJT/p79Jk8D1A3mTBbg3hBiR3EEkKrWCPBMGLBAeEcA/IQB199YqNvv92DFkR4T73LYdC5xqISaAcrh3zSyItVzpqgFUJBB/m7uUORViIpjvILP+3/4//9uYY46hpZQsxJ6laG3bO2qmlvrr16/ez9vtTkwInzAzUamCha9gapxrbm2T1JhmmQ8upDkHnlU37314uJAQUa1NmHFDZkKJZ49ulfZu4xImZHNGeI6hQZG4h7TWvr6+bsctKJVCSEdws5WGKXFydLAERcASRfQbI724NSYyR5RpEJNm9EjmnKvKmCsiVBU2hSDCrl+0lFrWWq/Xk1lUnSjMvUpFk1WOERisiXA94IVl4YBOj1iLMqFy2GG9xOiAQ5zljdmyuRE5RSpZAHHE1SYLCoRICN82ExDvCOdL9VREZXRUEAiRfHzcU6VuRkQQCAlJqZp/BmdyCAWxSFAUtIBqtk733tfq+77DMLJt7WiHmZkNEKqEz6u2tebtfnf3YWOu2c8uIijJiuxg4W07eu+YK5m5FMVKCAbiOO4Ykeb60IsUtbX4CgqvrYbTvu9m6/V6QTAXEcIspUC1AhWpuzORsCCshpTQ0yvCy6z3Xlsbc2KlfTweKvLjxx/u5iZv/cmyCUwJuI1qsbXCw8iWRauVRYDKE9G27ZgAru0+iIOJQSbDtZb5mhFJRXBykMxZAJ/yH2aECmhR+DMy9Oe6BjjeB16qZQBH4nTCgU7EcxogaagA3WPbNgSa/vz58367aVE2BxvkYYAwXq/Xr5+//vk//ilQtTMRlLUiEdHHaBXhH0sUeurc87Ztg0RVVVT0+XqhvpU4MtuSuY9+7JsUPV/LLIQVtrqMOboI4ff/kr/zIf5yPqPABhFy7y2Zcjj/fVv8B3OcMYr/D3/9ZT3gqxYnS1eun1BeL9CPseD9ZF5zEhORzJkqRrxWzpw0wLUHuE8mgjwai+nr9XT3VhuwRBRor2n4yYJoJWIkE9TWwFcAlMe7TET97AyHNhHWAliccJcEh5u/1qmqOHRm5toWlmPZghAjP1cms4jwMV6ghSGj6L0ft5uKMjE1htoGPgOcGHNOzQBUu9q4yGxJCEwPGIMCfhHsT3jH3ImkFMV/HxHuoRq9j4+PDzND9RjkN61todp7V1GSyJ61FLBRTvqEwRnGAkIbdmLZRL13RhszEYSqz+fsvQMfvt1umQyx1htNcnNRvd1uc4wI6n1MmXzNayo65gAeCF0MHiKDIGItZsJ+hls/ImBZBROgV9UgtjEoZd5KUGFJx3/g6lLsBJj99fLf5RTEFBHl8fVsW9tLc485ByK3ns9n0XLcDkj9ImKuJcy2DF5Z6BGLEBObm5uLCJrh8G/7eSJ3QROSM82SBIap2jLLdBYtx7HPuUAG1tqYx7x+TubeWhujY/R+vV77ftRa3t+1qvbRw0OqQLfDLKgIumzeaD6JbdtVVVTWnB4hLPt+4A/6rZuEyUIUmx1htaMQacx07LuZH8eOI9vcMPjAaYIt8bVetcJLldpvZkZEnYhEUK2VryMsnJJTUWGst8nJOljnC8b365gQEgpy+n3IMaMKkhGUJHrh80Q5+wfiXT0zhoiCSDzM3c2mpUw0GMJAkaLqCPkQWcvAYt1v97lmEEGRTRRFa9mLmx/7scpyizF62zbMU7VUBEx5iznnv//9r9paLcj/Eidfc1EEEv+BBrhZhG5t8/AxRmtbkMMv1s/BUsn8OI5jP5iYmDEJJpB+jdv/OZT//uv9suVH81tH9J/i0L8oiN4ZPvAQvBeGN7P7n5tEUvF/2Touhpo1wjmche+3o9YKkhxDLianr68vIkIewLZt2L/lynUgouM4zrODGQ4K/HIVRasiKgEA3tpayDnwS4UiIsBe8GDjgq+ltlrXslqywhA4dQTtx25rQVRTatVSbBmLNlEWvh03c/v69attG1hs9Mfh2RaRWipummU2Ri9aSMTc1+tU1SvHiTAv4tkbA4TZhp+au2/bzky9d6RXibCvdIYLE+zoyKqCcwqcIhGyGrM12ix9jmYGaGxDC7xZEBVWZnFbeB7ACsy13GyMgZsgyc61cjITwbyY7U+R4ZrbtmOBSHzVsz6k1nr/uDOx2Tp7F+ZSA2ajQO4LpZCfoG++nkzMK8IUTMjcBMC7PHUBgDr8Hd5FV24O0VsLgVgRd0P0NxElNS2Mk4aFi/tyLzCVoTALiBsFYDK8MmG2XmNGeFDArVOu55WIkPlORGz0/fs3FMrDDXue57ZvFJe5dy5o1a8thjC2h7sUJWK0srW2wRMBZmktO469995aE2GzhUVVdZ7nut3u4e5IEERDRakZrpQ0i0wbcqVwBIGI4dqqakki3Vw01yLNOOW8TCH3pGDcgkQI7JJcwC//CDMW8NSwrrmW2TVtRkScveM+h2IMIAbkChJaS8kT3yHFiQhn4Hg5kubqcC0cecpx1rQg3kKQvxZBYNiYJKEP8ghAqEE5ZhpfHQNEASsWaCJgQcyiKsFAdER0C3cgHXMu915X1VLwiK+1amsKhi1CNAtRS1XimI+BT3LOebvfqVO3vsyinxRRW62lcGvmjgmImMyt1iqiZsttEmsp+vH5OeZUxCa7hRMrv2OS4i1buNiw9yd3Ld/vknd8Dvno4mTED/c9B1y8crz/Ib3l/Ffs6PtH8/5t/2+aI2aeZkU13LXI9x8/LJyDVQQyASJqWwMGu+nm4Wfvyyw8WqsRAYHNnBP7O+ZTF4ct34M/4FmxhYC8s3ccWxAFBKFqxkUFba+IG3nXu0J+DpHP+9HK1DBhIL1jDOwiVarZMvP9OLa2YXwOorWsFLVlfXXkPZz9TIRdhMA6QSpjOcyGx1jLlknRWiv0F3MuiYTp+QqNoCDhokXxemDVCqK1Zr1s5FgpEJnJLOYLFwNOxve1DwRYVREp6raA9gDGARqjqqg9uEIXpJYy1zI39oALtMEvxrzMwYlGgMeEXUHWSgESEy238Njahlc3E/2I3LwUZRJnpyASQgoviF9m8QgIKJhR4ZeF8gxBKHNe8Ixfn/MIPh7Aa+AR8WSa5XWF0RmXfWEWNxMuLAzmvdS6HweMS27Rtlq0Ph8LvfBYGNFk65dpCMsazIoCEiN8nKPVJvsmSN0RMVvu3rZaW/vzzz8/Pj6IU2hYW9Oic0yzZYtKLRFQs8qas7aCiHwReT6f276zRII5IsmPRyD8h5lFiYh9GSMqhUlEkdrxfDywQWNABk4C/ofTjs4iAucaC9uYpagZNNdEWUOVxItfTdxQ7JRSKCyIYChTUaNU5q5l29YICe+cGU8YCoDcLTMVDb/SmiDSsVRt/h5lr6s8TyhAQCKY/tDvG/kwghDFkwEGwIiSvca95fnlASsklSLK5+ts2xbk4hQh0N0CxEjwlSsFmV8ZpR5jDQKnEhkrgi8NUS1EdLvdRZmIVcTdKGjbNiQTIEUO4KZNIzh30JrgpqpMVGpt9TDzMR5jnBvDYhpExIvx9UMX9Y4jvgxrTMz4puXK0fXLW09BEpLaaig/rgplIgTz4R9d+FsQCTLfLe8PzuAEYiCw+ftjFBVmgRSEPML3uhVNfE9UL8UatdbAlxBRiQKUn4hK0fMczHy+TtxzIFcvyA5esCwTJgp9u22YKeLxeCCbAcVbOClQFIqIArDQy1aS0iIRMXpWFWUC4CXWQiVvRGQrRsRcE0hLUXYzKsrXxUPArINqrc/n87jdWmv//vPfbgeIgbZttZTH45GYLTEyIVQLkVxlGF5LZRFzS9o5cuCFAAm7iwhHoJiFnFmyQFjeEApUamNO9H/NtVqrq5+11Dz3a1UVXwzU0VGRBkbYlvkoqsCHzQxKynXV3DIb+t1Ekq1Fa7y7Qz4bTABIJLdqXGzCFIx+N4cYD4gsR8aUOvYYd2dUP+WIj80Gxzpg3EAJwDV4MGWbEEXu9mnWuSbHuPIfxdGwW2qjcGA1wO+ez2drrdZq7BQ0Rsf5gjtZRLCi4twH2gttGUGwVWut1cWXLY8YvT+fz23bf3z/Puf89fV1HPvtdns+nwhr2/cD7nMIoudcorJrQXAbNL/P+dq27fF8/vnnv9uj/eOf/4grLhQp0+BqStW17PF8oHz589u36x2oSXqUgtWktsZEtjxjJ1RExNnxTQURka9pbgEGG4skOE+zVUtbtrZtO45DVNYyWwtubwxTRQuncjGYqangdQKmAUk78giJqJ8nobwtokD+tYyFWJgtvUgsQuYWnvRXEEV6Q/Cjwfn/Xlz4untgP7nAnxxnRTgj4kSSSpAUPt/vH0FRSjlfL2DtZst7h/IaOLWItNreBCoiWsecAEyNSFS2trFILYVFztd5azcRWXPh1DCzQQHtI57bMcax7x4BUamKaFEAaSIUYe627fu3b3a/f+CYRt4oGBdmRvgS+IO5FkWAFcdUpVpyxTHTouAbk4AJQo1qqzVQnUqRuYzEOEQwEQM8WbaK6lwLDRZ0VXu+wQR8ksSsImu5hzN5rS1ZOmYPE9VWK6Yl98BTem3YKfu5XAWhpPu+L1uqEi5BBNU9Pj0RUVLgwvuxYeirZijXRLgmRrrUh6zIMLi13N3NRNXmzLszQjVV83hW4Swjon72IFLhWpuo9D7o8uUwswpHEP4UYiqltK313tecc44115QBZAwwd76MYxCFXlRiHx3fERAYqIrxzCTq4sRKbqaSXz9LVqK/FdtY099EJt6WFHkDH3MvpRKnPwA5GWBK+IIUsW2oqIhChjF9qdL9/nG5JRwzDdA+UWnScAaL8uv1AuONrHiYYTkEQ8Zcs6hiofSr4wjAMg4QfA3XeuEQm2KnoWvwenuJocDIEZEQQELvnRVf6m/JHBGUqTjDC7nXra215pilFvbcEXBiwkGAS2nZ5OC1FnqC+tm1KBN7OBsBf3bsaCJwi9Ravzz0QxEAsG17LXYhM7zmAkSFGO4IZ+I+Rina2vbmPXBcolT2f/zzf6y1zO08+9YaZJ3LDL5Kd1OV23GoljFHq1VYJF0PLCJRirk9n6+2VmvbXAuzWy1lmfGFKG+tYajEQ4k/Mcxra5UqXnWaxGB6fRWozdxqqZQJfx5m6DnABw9aAqvfdWBZRLTWEHfFIuz5zJFGsomAg7AU/Faa4OBPmAuOfxVVViE2SqongrBFWJYFEqYCJkwWif+Eo4cIdXJWSw2KOaOipZq5lLpi/l4/0FqHuTbCnEopwlKZCc1Q8C64u5uIAP2wZec6G7AylTWXs8+5NuEihYVFdmxUqPJobXN3ES2l+BhzDlH+8f37H3/8YBFyQi8b2kUQIbnM0DwBfAPRfrAx0juBPRXJPucUltraGN2W11bhPkU5D4ghEM21oCpkXGUMQhfVdn2b8T4OcG6KyCXpi1pBOYaIjNGDotVatCxayDXjK3ohLqMeEmf9Cp2/3W5E2T+xzDjS9FcKLg+HyZFTMmiwsLZa8Rx4+jy4aBGRZWuMQUqxcokppa45IR/Y2gbRi13SdRVlTFforcslgGzZ22+B5Ab3QC6vhe3bvtZCUQeuTyxngLAhW3IPIlMtEX673SAAYyK9coFghMyFUqB/V3cXYvNYZNj1lCsz24CYJSE7omwZgp6CiaikhwkChAjnYBaZY4iKW8w5PVxFmaWfZ1zNBIzTF28cMbqqIgKBjywZo61F4zKTFlXYhtxNi+KVL4LlwNzcljGxCpFgxIGU0+Oa7n9DvkThEeyUeLJHdsG+IeGktgK6T3eXKwIi4s12XbcaejKAVhES3MY7ZDWCwr22CjsJnuC1jGKVWshJVLTo8/kytznn/XbPy81dMMOaYdzAtTFm2lhKUQ/COM8izIygV2bu/UTo1ev1EmFIQb6+fpnZt2/fS1EA9Gc/sfTV2sL92PcIisiUjDFnBsuI7PseCLldi5i1lNEzSAsr4+fnB+4gyDDwLDKzmzu5qnjEsoQC8GE54tjMyiXpNbPee9u2ohppWOa5ZqsNNa0EuikRGVzQBu/i9fOON4uQaziKGjz5YLqwbfoLbwmiWwD9/Db/CqrNmDkM/S+pl8ETgqyWnBDCcpsOxsUW6YjWWuscA/EATNT7ZOF933CNna/XskyuR+6uShaC+wXdrGVAKpa9TdRy1APHYvrOmGqrpSimadQKIsJe11ItpZZ+nhxSVF/PV2kFCMQYfd8PojjPE50kYPA9zNY6+2BIm4jWmu5BKmw2R4+g1qq7uU9QqShtDnLks5pzP8/rVVy2IisP++hMRQvuwhQ1lqJFe+/M8pYk4FWspZQikVH6Dl1Q7+O4bWHRWg3kskXcbrfr0cBwwG9YCZ/Vm/yPiDlXhCPKn5iqFjybZr5vtfdBTEXVwt7vuQE9NK/oQ2eOcKh4W235booiyAGwZNHyeD7NFgVBQYf3HXgRXgV84MQ014RIcfSBFTTVMrUk+0VUW3XP1VBLMTMwgvhmS60IS1etF1extKgW9WGl1lIK0B6wlx5USmqAE5Mgdgr0t4AswdbFKeu4nhAhfOeBhndsBrbeKc2qJXytNUF2MZOWwsQq0tcCxghLAVCaNSf6ubIN1wz552uuUhT3xRwTBfcUUYoiUmWMUSF8b80zS4YiApoLMHoAicwdqgNmJsSLxkXx5ln/H2K0/LdvkV++eW8dAQgdTI/kjhp2K1+/vmprFi4cfQwRQZY0UIWiOqaliUlUagYx7vvmuWO4ByspYxPPcAGfcwhvK5a73263Oae5I33QI9hdmGutY8wkcErpvSP4AWz76APdx2OMDMhlTTqBczNbc4KhQvQ5kVbAypeMDAciXSstmCJYzOj66nENvNMjiGMtw92OBMH7/Z4Ha8T5OpctzOzl+gs5qbiEtq15hKpAA+rukAaHJz8J437a8GpJ6I7RMCcsEjmsqaWwjPi6svlt9rmooKScUpygl7TZsEzmwRS/Hw78//jLbCGAN1jgtgUifMl5R66QWNhF1lzH7bbWOl8v5PrWWljk69cX3MeAQRBmgvNr2zfgZn2tiPjvf/33cRxmjvyof//r9e37tzkm7Hgs7MM9YoxelqKU9OvrC+L0nz//rFqO232Nvh/7tpUxpkoEmWqNiLY1tIu8Xs/bcdv3hmNUROZErhm3VtdaEabK+14p2GOZxf2+zzlFo5/Pbdu2lriKWVjBqCstOf8B+q1omRJMVrRKLeb+6+evtjWPsGURtO+77vU8OwfTXopKrukeTMEqOPRxMc85ifl2HPjo3osvdkSsaxGEOT0izNwQjCEy1yRO+4iZHccBuJlRpSB6bQ+/tS5AAABXwnmAMwjpHeZq6z8khiLCpNDXk9GKhQkXoIplxDx7whhXeljKEtJ7KMS4LIUETy4xSHjziCvGnCIIGeNzJo86xtIilme3mxlYChyAZmZrQcIGzSiOOXcQXZgGDNxAONw5vuZs2wZwDF0FzFxbCw+MDshjIC6ovZtzIi9njqFaEO8Ilh7fiaXDkcw93JAkAUUGgEqcl8xZWcdMc9r7KIhrTsS5BIKeg3D/xUXoXcrVPN8j8hu8dGqpZoYfEfsZXeHt/raABQVBBRAlOLQILVprobYGYtjwYI2cC0rBhYmDCQ2fTIQWZluvz2+fKhkvjkDQ79932AL76F+/vo7bMcZ0d65JUZ5jHseh16IUEZBe7XvLNLRwMA143GF8wmgZQXMO9VT1uPu+75c5iN5bMyoeOfvtSrKCmtVUa07IY9rWxljYjsGwLV9EVGottYLewP6LwbyWgr/XUtacZrDRWxBtW2ulPl8vcMmc5DC7OV89f3kcX+NbuF+rCWNQBwk816SrqgVvoIdHELBCigh2SH3f5i8cdrkwgtG85gVhxpVAFzfs5qoy50oJlggwdNUCkTBcu6VWMwOD2lrFTnO73TY0N5kJ875vOCyCArY4FhZVWus8+1YrF338/Lm19s+//9PDRbS2spYVLbU2IIcf2+fX19fW2ra1fdugimGRMXrvZ62f//j7P/oYrZVBcfauKrf7jYj+67/+KyJut1uT9uvx6+P+WWshClZewwrleNW2VlSXWYSPPpF8oKrsbLZaq3NOuOE87HXO1tpcE6s9yl0hLMEVgoZLEUTbr2WB8Ppl1lqdk+ccRNF7n3P8+vXr+/fvFNERuOIOCYCH27QgwgeIiew6tlhVX69XrRVal/P1QrEU3HwiCJ8RnH2qobKJyL5tvXf0t6w1ga5gXFq2iN6FGRYRKooceXjQQAHSNVpge0hnBvFa89evX0XLt2+fqAzxcB+OwAMujOymbCjTtKqu5cuMifZtfz5fpeh59quKS1SUibAFItIZk4SIrGUiDIuyX9ZiAJV4X0qp8AFo/sc05yqQaVGAAgTfUIpiN837ANJVMMNjgIm57l0xXkFUa9VQR72rmQd5+LJVKFua1zlFFT8toNA4fphTvoSpHxIjFkb6HjNDQaOKL6rk5arM12bMEAK5AS6O1HRCqXeNqHmIBF/ve/7UEjbKCxhHP2W2Yx49+Qs50ymKSvn69Zhrfn5+3uRIxLOU83US03meZtZq1aLgGUGm14bSVlLRUsv5Oo3s6/H18fHRn08Inn4rFoTXWmN0SPps5XK31ux94Mv9+PgQYdWyJvST2TuIRxaxz8+vX1vbMjEqe0drBCFIq+47+sZFxCPWGPgNIaM5XyfuG2Huy0p2QLKICtMcE/D0zz//vN3uYw53h9dj9A6zDO6Vb98+wU2hdAnxorbMPeaaAEAAtppZH72WWkrZtt1swdcOiBCjQZXi7thg7rcbxBW2FhEhNkQRy5OxPmHId+QrjgcqAOAwkJUwcH/yiEj304X8oxwMIEOQEMN6vlamRAALZubzfL1Dz1utk5mzcy5KLbW2CB9zAmRFeztu1m3bmPhENpzkcbZEYq3bcTiUSGal1d57JMjgKeAzw8uGsQ6vmc15HDcm8nAmZZZlHsS1tqK1j5OC9u1YtlrbOOj754/zPL99+/58Pm1C9k7I5l3L4dgvRZGqoFrhhzQbfaxam6R332sTlbLmnDaP/bZtreO2U4CWRWQh1Qrf48W48b4fTFyLqBY3dwsttbXdjbihQ0FrkXOcEWkfxUiI5JzX84XbmiWN6ICez9e57RtUdqAQS9mZqPf+X//9X8dx2/cNFtuAZEuCiLZtX2uhERdIhVx1FAgffqNMy6xwKrmLFhXhUoBCImuz1MJE3z6/ufsyw6XRakMmAzghvNGAIpPlErZlKhIUr/MFl+K3z883N5tjKUVcdRp+zSKIURPhbSu4FHGYYAPA8ARjMKwAWJJgCoNID0cHLoPIFAQKN3gRsEljwsPR7wFRq7u7Md5ipSuJvZYq6aIosaaoBlGrtUcgnxNA6LWlZ/yOeyx3gXYOQZPXCGu/uz9T9IXBFK8z+tXBcFxgf7wnfYJlNCKygCFpD1wPb1n0BQZmAkSOg78BBCZUhxK143YAQTMzPMzgxFLdWCvEQ24GznqtNScaqxP+FObv37+DtWfh3juazEotx3YQB/o8g+j5eCZZSpEp/8ltMs6wZWvb2nE7ztcLXwOeFXiRns8nWrpEFQlTRNRaezwf2IwwERfVs/dDdcyBD/3r8UUe+7GzMB4kYSmFSKTUspd9znncjlILfHeIol1rfvv2vZZy9o6jDftQ1ngaW7efv36arX/845/Ao7SoqoYHDkQkSwNodg8IqdAiBLsAXNC1FMCXnnTlvBScQbkDiYrkTEFvKvgSZimKjYSSM0j9j5s72AxMKx6cYmFi4fDcE9+qj1rb/f6BnTcrwmEHEMZvw0zmwcjScofrDc4a6ORaa/gV5XaL8DFmH2PfNnDLAbF5cMpksSeuRUyQ5UXkV19LEzHIitZCm2eAhrNl4T4GWmTbTdHwI4/nQ0T+/eefz8fjdrsfxx4RyxYxzzEWMyNzEXoSD/dxnifO2TF6zLFvh0h1t7UmGhaXTXtdrkszjOfHcZznCXaxFH2dqHPRr6+vorrtWI/82Hdi2tuO0AVmw0hbW221YecAUlxKZSR8hWOpixYAblHDuWzdbgcSq9Zao3c8G9+/fc/jRRRQ7bI155q979uuRVBQuuZathBEjHTHVFiIUsSxHxDjzmUQQeHNxdRs7vP1ytS/C6zgLGvytWY2HCCJpClspDhkJedGwYGz5up9QAsbRNCVYPjAhwnmPCISKMjHLGotnqZflewsC2ZiTeW2h1dVYnazMSfGcVWBWIiT9l8UAapWi66FrBVD6JuoupuwaEnZpbvDMs3C6Dxfc2opQI3cfQah7wi0naq+yT4sAe/2YPoLcIPx3TJtN7C1E5EgEyKVx7DxBapWWNgz/YsjiDiyLDYvhusWAMLgv5nfoNSSEOUvxFWBS9bcyjLHiWnmKH6re71gxxTP4Cj59fXVat323dYsF9PFzM/nMyhV+eCply1o2lioUDn7+eeff96OG7AYVD+7eR/9H//4x5zDg/78898fn59/+XML8IvPz88I6v3ERd1KK5+5N601mfh2uyG76vV6XZCfucdx7Pu2rbWKKsZwHJmQ4jHz19fXOfpx7KleN0RO6pozqxHWDJd93x+Pr1rb8/kQ0THGt2+fAHYKFmqi2+1wJ84cV4E/MzE9M+iOmbmWhoxr1DWCwtq2bdv2Yz/4EvYyw+7IZp6CUSJlHWuoFPrtOYXg99J/KlzAEm7xLgm6MsgviDFnusjDFDUUhZnwugJCJWJbBGxDVQkJCiy4ZYKoicy55pqQTmkQRj8M71irzxO1f/vz8SiljDGfzydaz/D29HNsV90V9vGzn+hF0lJqa+6GIhEoi5g4xPOIFCYiYVq2JOAUaX10Jt63/ewnEc01ZCBEV2or9YrZERFiEubhM5OjVOBSpGCz1fvCywNKY9t2jLcYPGut4Q6JjnvgVtu3DQxhuMOIVGstJSirj0VEYGpF/AsTjzHOfhYtAkzGbK11v9/xukFrC9FwrRmpLaIRiYdkh6IIknAAhsA1isOx1RrhtlLEVYqWWtZcHsEpRkzFOIcsWxkZxCl2AF5k7m/HIjz/QPxhKcB901q1zSlgTpaI2Pc9PNYYRMHpMOFWy+PxAIob7qhDcHemFESMDJyoc45SCtwbTLhCUosBlX2E4FpiIjPXoug5CGGmcA9QwMtszBnmWpSzVGDVUhCdRChIIVpmLGTuxIxyzbiObyxnTo64KgrCNygszoFvgYhqvcB3ojd4RZeKF1wc52bHzNT7TLG4Zlj/9WsB1fD7/X1bF3PwD8KbnJqosHeSRCTTG8REcvnXr3VBrus2MQP6fR6U1/O57Vsf/ePjYz92RJUCSNm2LXFSphWx71urLYg+Pz+er5fq5m4eDljA3eacum1rLlEppYze7/ePlf2fO4T2ihgQW5H2BEdKTCcOj9d4lVKhiVTV43aPiFIkouGlMsvYCWyF4YGpBKPocRxYbTBrI0QITNRaJqrHtgfFeXbYXr5//8YpZOOiym7uXlpF+Li5t1ZRJ9laa+2PMSYeTTPPaqM1a63HcRNmEqB4AUY6gXugq6rP57PWCj/BnJlmjj+o1irS4JLD4glBqhYFM4yVK1MeECFCQplVwHn6a2FhZKUl95u4EYwAKS8B4GtuuBXcPJhUszzkPM/a2hqztYYMABE2c1sWmintEHF5gpsSZmuhtnep6nydqnLh1FJr/fb9GwWNMVBdLO+AcRHco61VYSWKz49Pc2/bBlzVPSmQOaeottZseu8j3LdtL6XIAdNvWnmp0o7DWsvf//53uZSLr9fLF/MFuz+fz7a1oqX3NK+pFFgrgNXiD8VPCvcThG3JD12pmZ7xrjrGqKVq0TMLtB2iNTcfcxj8RGB6LPK2Q7zMX+IQ8DMD0og/CN0jIlxKdXciHaO3ts05IkiYsaEe+/F8PUR037ewWHOVWmutiGgmIjdj5bXWMptz3u93JoKn1CP684nv8TzPet1apSgE5koCMBMifblSixGZBqgWt364Q75BBCZJ922D3MDMYy1qDdv5AZVkKW+qDz8m0ePSpBY81a/zGRFVGgLiaq2QM8GNBXqDyK7DFPNoEm8srBQR1PYN5wDCDYmo91NYzP3Yd4HsIkIuTTYlp82impgkpuwgFeHCvzV6bs45xr31/qpSVIPTTwiHgLBcJLDje+dLbi6XHh9IuHt6gHGsu4fbxMhyCfguf7o7Xdp+kQwOur4XBI4RMwlLrgeZwPEXXSkFBRWk+CJEkJn76CqKahuz5RYQcowxzBayayCBGr2PMT8+7pJMP2pyYeKN/djHGGMOxN/fbze7Stpaq+j3Oc+OBCqoxLatzTHSvhEZUzV6F9Vj30u5IpDckAxRS4V1t48hgaw+fCDk7u4DcM26iFRVtVQ7zLfQgtDHPRdkGEQEQF9Ua2trzuN2M7M5Bwwp53nidKhtU8tqLVUdc/owBbFzrWJxYWREdrvdVDWyl3i8gUgRvZyEkoJiN4IvlRn4LMH66A4vgJac/EmgyZMLAVJiuoQbQPJSEADIK67YYiaCfg7PxkQ8AJaFZa2mQI3zETQ8QXJ5uccYwrIfN1tLShlzzDVLqSC+UIHAl0U2IiUumPdF9fV8ttZk3xEjOOaiMIjnhMXIQAUCmYWUzdbqQSgNBsgGDhYJBFr0db6YiGrjyycRRL2POacWFS3L1uhdFWVSBC9LnmvCuO9VlJmgSIYjafQBZREeGNAV+PaJiPOd12kzImptHh1LW6FCTFoLKJ+qqXwXkba1Afd4UQgfkK/17fMbOviez6eH77KjmhuqPPdYa0LrVSuFh4qe59kQWZy9hoGKZhGRxkSR9hqiNY0izvOFawVLPxH13m3ZtjVYZxiFbkFrLjOD0QRlFbiiSimiwsTmXlRzHyXyIMk8PsKH45CZYaxVeEEK5ui1DII05kutwqwitgzXG1HelCIyxui943d2j1oYaOplSWHkLbIKxprrOEZ7NkcQ9B2YPSDm4Tw0HZ6paSZaYO8QYfFgpvAwzP6qpQj2IcxJbzwdttqM+gjCY4v3Dm80pJx4WFIfxbleRARQHfwtqGPJCpf858K83JiEwONxbv1mjo8R8E7lghc+NZqg/DiBNbpiBC6MIS7giIiobNu2lmnJFEw8l2/fLAuBRBLh1m6tVrwD+FLGHIh8wH8Plcvr+WLmfd/XnNu2161iLtu2/fF8jjnEhFnO83y3u91vt+fzBbmniD4eX6p6HEcttffeai21IpsKk5oWWbbWXLVWPHDohhTi3gfe3gQTKTuXFwJdJczW/X6H2mLMqSI2TUUsPIzeui5VhTsX5/UY8/5xb60dx3H2DhYBOGPv3YvD90hpnszjkpjnWuFe2/bW2805Vct19EgaqvDMgeaHTo8FdRx49yACizQcBRZKEL+gHACvcYZApQwdvyiQ6eHuFsw4HMPd8KCFBzOVUtdamNqIotQyzpHiGQQrRRCRFpkjW4LnGAt2ZRIVWXNC5f18vVA5+y5grw1h0dT7QPpjqdXWGmNiQUaiy69fP2tFaa0jMbBtjYJU5ewdIe/CInvi5ri/zUhFMai+14611hhnRJSy4Rlz833fI6htG+QfY87b7VZUx5wY+lSkHQeEDxExx4QrCgoZVLDiVJpz/vd//fdxOz4/Pvdjfzye+JltbVu2Wm2494uq+aqt4dbEq4RBGP4VTjTyUWtZSByrJSK2suG7w6zHIrUWTKnAafdtL7W4e6lFi845x+iqZc5hy/AwIDILlWG1FuLqEed5Qqo71mi17fvBFLgkRKX3/vPnTybG0y6qCDBP1WYg6hKDJFhfG2tsbSOkF0T22KCaBtdMeIw5PdZaK7yUUojzSQZs3WpxDw+LjCmGUcPdw2wy834c8Gz3s485Nd5RoKQic83zPD8+PnPKplASEomwN7QLBszdS1EYVIPczDFvVQxtgbjpKEURGEcugFGCiAlGP2Kmy5eW7a2aEQmUmvxgg+xV8pebGVSpRFxKWXNKljjiztCId3AF2GBihl4ryJLhyPAidwq+9m9GHwP+IPcQZgfSFKkTj0sCnuOAZa0IfABEVKBEVKlA7RFWDhSoFHWLoCiqIkoRfXSU1qsqUXz79v3Xr1+t1VoqZO+11Nii1gq14lrrPM/aKqKY55j7ccCEAgc/ZPU/fvzYtw1O7ufzAQkwFqg/fvzx9fiaX18fHx8srKx4ab5+fQX5WrOWdrsf0KKoln3PegOiOtdC9SAYDmCLwFhL0TmdiH7+/Nla1f2IoFJVVF+vV361c75er7/97W+1tlob0g4QMOBOo5+tNfCEKiosj9ej1SYqtpabl1qqai34hGPOdYlUoQdlRzhBLHPHS0sZ+pN7mog4kRIq6VPAE5iwIh9KZhX+rQT1CDjPyMPczJb5xKuVhz6cwQ5xWYAiQ90bJAJuxkXG2VkYSXwRTiGUVriIiKp19BcTwSUeRKUo8HFVvR0HaAagGWZo48DiBckvff36ddxutdYxYtvbHKO11tpGhGZpY/L8Nt2DCBMWE621xhxb2wS9xx6ItQGkhgMIH/K2bcz08+ev23EDOXy/39dahQuWMwS0fT2fcGvHVRSFHWKOeRw3EfbwNKL3c993M3u9Xszy448fEIM+Hg93H26SrgMZfdRWyXyO8X5vVRWysZSc1+rd92M/z3OtCYvQGOOzfSJ7iq5nQGClFrHMqaVaKgp73aNYpZSgrFbrXNz70Ai4aiGvMndaFuSq8vHxkeIiEWzUrbVlBiV7Uf24f5gbjG9rTiS7gS7CEJp4BcvrfDGLmS9ba9mx7/ly1QJ4CuPImsvh5Mq8I07ALQ/MHIFFhIvMOdEIv5atNd19Pw5mNuJlxiISCLATYrx3jBoD5Gdg54gIIsakj3RIpKmrikqZa+Duwc2KXHB3xxyJZjoKco9Smf1dyyUpx/dL7UmcLt+VcPt7P1BVDnY3hPYAx1ctl4KrUATlHgC/woKWNDteJSAcT7iG8it8b/Q4I4oWuizEnnhvJH8cTkFOV+YmXQfGFSScIQLEpdZinI2vEDi7e6Lnsgk7ku1UpNb6v/9///f77a4iboYG+dtxaNH3gDbGwKM8x9yPvdaCr/7j44OZ9VOxkq+5xhi3212Yz94hUmitbm3DWYD99PF83o7bt89PaCvH2SkAv9QfP74vt6I6xhxjtq2VUqCSXGs+n6/Pz8+P+90y3YHfAgbmTLMJChW9HTfi6Ge/3W+v1ws5pt++fUOq5d/++COuak18vKpKpYT7tm1IbkGsQh8diw4Rt9aAej/GFzMjLLc1SFc1oo8xWqt4OfsYwjLXRLa7w1OuOucUoUywctI0tYm7s8NCziysKloVVIdw9gB7OkgDKD8TUNtcAJlFlUBLpovEKTBiIFGAyNy30pZZEekdglo0jQiUr/u+M+OQlTFHBNXaRNmWjwGJZ5ljAfEcfSCPDHfbXHPfNnx1gG49oo8B5BoadlWdY2Tz8JoRwSyl1NfrJxpARRiCtdfrJSyq6jk9paWWmUX14+MjPI7jAG6ASwKoK1w/uBC3bWMi/HGU4Cy/no+2bY/H4/F43O8f7obfHNePiOzbbtkrsph4ztm2hjtMtdjqwLIxTuGGJgoIgRCS03tHEeax7621rbU5F3P8+eefH/cPQeuv23KntaB/FIEmi/Hyl6L4AIsqjAv7voPxtgvHwze1lqMvd4wx1iAKLcVtZkpB0bN31OoFxRxzzXW9Mgz0ErOLh+NM39oWEZm8hoxP4bXgLAmoknA3g3xyu5onmJZZuCNjx8Ltnea0lqAXOpxFqmq495E/l5pJTbB9+ds0V0p9Ph+QnxGKrCMwg1tWKkI2wYgXxFFu7lWErwheqapahGXZxEwvLKFQkYaZbS0TpzHLE5OqAPbEislM78X00g6lIh84HgZxuNIo1RZZGI4IHGEmZpQ6YHIvV3MZiKJSKuHEMWNmRIm8x0q8Joi4v0ACiUsaBLlm+IWSeTBR6WdnZlDUGLpVpOy7h881RIQsgB149z/++BtxZF018L78bmlrm3AusKrKGxOlGR3CeWbYgxR5tvt+hLvlPpVAzXmeiBDB93S/34noz58/KWLbd1u27fuyFWdoKUzQPLhKIWKzzOBu21ZLE5W5JnZMFn78+iqqQYQ8k/BwpzHG8/lUEWgJwN6QgyyNCyHxCw0Dt5mEfni8zlfydSyZJRdutoQL1Nb37b6Wedick1mIgoVEVTR92eGOXNl7ucHRDkOZu7etujnq0sz84r6YSXFCvQVA7xRQFqJUAIGvhcSSzH25IRLLls85lk3gNkHkEWuepVQ8K8Hh7sexoyYIx5mgwKtVd1+2aOVBYHNKNjBP1YKHHAREP4e7bWWHYNEjSqngK9x8zFnUmYWJtWiNQu/RSTjcX88XHmwoT94Jcft+BPlaNmf2iOH2RSs1WJAMRmUB6BTXBEdE4SEVIQEEIaktQxIOEDzOrG8GZYpYkeM4rvSI8evnr1ILVj1mnmuClmBidD328/SInz//jznXnOOPP/72PiXxmyQHZlFrU2FY7RCtg0ZnM//nP/5pbs/nE23SeEhCZAKohWmcWYo8n6/92Dky15sKM9NaKVdda5q5EmUKqTni1plxP+q+78gROnvHubBshUdw7McOHDIiBFoxHBPBiy2c4KpT0XeMHSHnnLKqrNTilnFJEL+Gk6DbiYwYqG2miWDvLVqmrTHmtm/otHH34gFBBGLHQKTh0nqzmtu2uUe4EQnwbqS8tabIss4DOcgtJ3m4t3D+LmZ3n2uaCVEs80xLDWKRJmpZvu6p2MlY2bxmPJBVx9icPJK2SQ8XAdyPhN+JKEsfMWswEXsE4okiLhoP2aaMUCZJ2iCcAwJTBVOSWvFLVkBCeMtSCHTpfd6sXopJrxsRjS0aHKB6sKNRROECOgja2La10QcFHftxnq85rTbb2naefduaKETBRowJRZMl0wxRwuY4V1p+MFCvZRkGEiQqpVRCgM8wW54IFvHWGjObGSIZiGjlUSiIYDbz8/Xa9u2KUfTl5uQal4RdGHMNXTpzICr7vm+tPV+vfVfoRLHuBPTIQUnwjpEZVSr+l7g+qKSwTwklIlGgEK3VEmrx8Cg5/6LvEPF5wEWcKXdDG3PRAlfFROZhvlLby4TK3LVWrgKSuKOwgHmWv3iAr1Qxv5ZCIkjHgjxSmiIiPkxELoAl5vodKK9zjjl77+CuAeghhWatZctaq1pUVHEJpaYgXERarSyMiulW6wjSgipgwrfQtgpTm/kkJAkSAWEPDrL0PzDJtm9rzt5HrcVszTlUS9taq3JaT4P6WiKCefP5eLbW1jJhiMq1/m6Vitrq6GMgae4KpNr3DX4lnAXLFiY1Ef74/EBIDgcjXySC7vebiJobDn1cs1vbXueLJj0fTxE5bse+/a33TsxvzbuZodAVfzoYUchS0eQFDvDy7kQpBa2/pZbn4+nvDjUVYSm1zDHxg3C8+XjA5gQ+A1RwmWWWIDLCmHrv27bVUmCeIiI8uu5Z8Kuq0ycFY2qBeN9LBqKZu7kx075t5sZcVLCtioeDtep9oPzdDUHf6UhqtYEhuPIisS7ECNq3LT2AQYgYEebgjGkrpfgycM5KwipzDpbkSIDoRshawz3IrNZia6GvrtZSVPGZUMqiIdgXSJbzR+Nua/XRj+2orcoF+mObBBHY2oZzABywO0w0cWEz5OSS2T7unvHjieJc/5n8heklCkZXO/Ey0yRXGPLIJBTiKpuDso45LgsORpXLhiURERxQiEd+iYHUssh+BdTEXywwbtxt3+ZcGS0CwDryUYiIMQaL3I699/F8Ps0WXBL7vmG9RbD+GNOyAMGpFEBvFCTBqiqqa61fP3/d7rfUb7EvW+ZGk/Ztw6XoF15WpEzJjq1SKtZ8ZkJ8dGvbHOOr9+N21Nr62UvR2hoRv16vfp4evu/HtjUsNGMMDwc+8zpfbl7Mztcrq5aJStF92wPoAcxT2TZKKgV7tLtr/uwD2GJSNFfBC/AHrOdn78lLF11ztbbhc1fVgAb/0g5dtwJCXTQoFLKtLG1m8xUOO3vNKAhJ6QXOf03Cu4go2CHP7Gd/3wQR4RbmhmCPVPeK9DkwExFTKXX0zrWEh4qo6gfyjrQQR2vb+XohecLFuRD+OHCYEQGNdgTVKsS8piEFOiKgMYVsA0DQGDPcRbXWtuZ0szmXFjEzsL6v84UUP/wlwq1tvZ/uMUZPqtDzHU/4E5nbWwPg9nw+8eM4zzMiWmtjzhY1HPH34qq+Fm4pBCkjC/Pr6wsR38ykUoQ93q+hCNGCasuWuTua7s/zJCbVcrsdthnoKwv38FobxKCIL8Qp7Vc7s15x8MmLpk4QYnOf04QZ62e4I8cf1wD2XUgPYWUwM2ZS0WWGia3UCvbORdwMp5ZwmurXWrVl2zkC3TCioqsEPy8c4izYyYIFjtdg5vC42AW79AYpXmdRfDuUhVmEqwWREtcVmLElMFxgViFCTlGIFL7ad9dyKA8RkUaXjq1oSW3mFZqv0EMUqPuEiMG1zjGhqY2wAPOJvhTOORqfP0rF9uMAzxHuzGxoRhKBYNfdPWOLEozFVoFvEyfrFcogmXEOquDKssb/XPcKMeVv7hHlAiexWYrkZA+IUhC5eF0mF5yV/h7OqSEoyMOgsCBkyFOqieIvCwi+UHwUBfJVJqqtIvMrggBCmfvHx0cffS6bY6rwec78McNnERER9ThqKfux05UnxUUUdk0ij/j6+fMaZwqiINq2lVJUyxh9rsksa01MymOMrTXRbUF8sxYT7fteVB/PJ+5GLWVnVtHn8+HuRPV2u8E1s+/b281BRCLc+4xwK7XWurWttvp8PD8+P2qp5t5qfT5fv359bfsml7sGPwmswxevsBaEInhpI0Q1PKDEEubWGsr5RAVzWW2NKOpx4EWdV6oPHj4kJeA3NBDxkNgTjzUpYq7ltoDqMgmm/mTgCF7gXAMgAcL76Qn+wPp74UGRJ9Kai5ySlV1WC2R/IPxrqcXMIdZ8nScUC0hmdnuNOVptIrK1DVEZQeHDmQhuT74kx2sa9Jp9dM5BA85+vBnCzHGVYhNxZiyKEsVE5AZLqP788yd94ImPMmetzey83z96P81XLdUv5xHySMJD3LEj7/sWcA0vImYI53sf+74h/QYmA5DuHfJQ84i4327L7Pl4llrmeCEIQVRerxM1IF16Zt8XPc9z9PHr61cp5Y8ff/TeMXyp1pTZRZj7MlPNPAAiwh7Df4n8fN8BKEuorazpqhoU6LoopdTWIrx3MCX5npr54/HzfrvXWvmCUitSfdzdQ5jG6OihHWO0Wvd9AyCDR4iIQQWLSC317Cczb/uGmHeBaU6EWcYYEV5KxVOIoLo+OuAB3NMimQBvl0YOkIub11axQRLytIUDwaIqay6ztLbBs4h/7mb5DyOCwhfOTbdA1GAki8tM2a5VInXeRhFpHqRg4/T9shO4Vk8X0iWdcHdXKcJkAedCQGONadzcECk2V0TaCRkrlFmK/ZmVL7DxfeAuJGZfoZPgAyAfgpW5sGIDBuAs1zMTuV5Qjh+4GyMgYE0NVQoW+P1Xqj8psyAAPwFHwahBEazCUJKoREQZY6K+aq9l3w93A15syxBI0nvHC/D927ePz29j9D6HFgX1ERR//vxTmO+1IkHhOI6iZYw+xvh6fN3v96+vr9tx+/j4KCrMZdBEDBngYGefa9xuh7sjYswjIP+vUGSLegA1Vi2KICo4y1R1rgWbODSFtd5xu9patZZaG1QKfEHMow9G1OpaEf7Ve9u22iqeFWAnEQQnBISbmdnEnKSTMIIYVQV/dEScZ19roktLFWxSdlQB4KpcAZXINThAqYvMCTO46leqPiJUpdatevUFSJHzmeC3b1BYRFPzWgQeYM8I4kjJAjqB8/pnZr9kwICL3FYE7ds2ei+lQBlnC7pmL6WttYBNoN0FkyaeJFUNYTcrWosWFsiEGJ5zNBSyyJoTwVcY8yk4yCLi6/HA6Pf5+VFKRf0sBhQtqqF///vf8Zy88XdVfT6fCNxurfXegwIqb/dA1TNFOIeH11L62fFQaVHIFjGdkTsLlVJKrUzULu08UF4RIQEhXApza40oStFtu1Giz+SGBHwC4AOkcS2gV9H76QbtZkU/lIqMMR69h/v944MICtHo/QR8B6mJO6pyHB3PTILLxt1T6CzsbpghaikU8e3zW21vSG09n6/W2r5tKsqCnhNmkZ///W/4YNu2Mb/rXDowYQxPuIrc3DQ1SJHzdaggzBzEExfBAJ49ECKC2CuoDph5zaWqcoUcgPsNcgrGTm9mcPlSbjwp1hRByu+6ZlWPkPSyCl/QOXaFJD4EmxDkGIl4MjgqNwdIipEcbxDQSluGmwxosJsZfObmeALf4A++EiGGQadgy4AoKELKleueIlG4IuKdo5M/yIvI5cR/WDIaaCbGk8I9dNUlwkMRooptAgsiZ8eU4xOAdPWN8dK1CsRfuuoiAogGX2MxUeBdJqfi4feP2+PxPF9nRABbFJH9ts8x8TM/jmNrW9uaezDxj+8/QMRvm74H5NfrtW8bMh6e48nCx7F///Hdzb9/+46q5fNE1LC4OZpb9mOnayE0szVXeJhZQVIbCzBr4STQbC0KEqCHi8zWnAv6wlIKc8XkzhG327HMeu84xM/zxHKwUG+EjCc31QL5EzqeiLKOnSI/M8wI8BjnpEbw2uU3LiI4dlprtwOBbhm+alesI8grfPTAlMZVTwYY+hIaY/jw3D/mjAizfHSg5REPupYBvczVmEHw9uZ/TISFIHIVoAgKj8wQZg4ibF0sYu77sa+1Sq2GJbeWME97GnOEq2o/O2YLET1uex9D0JsY8Xo9tSgEBbXWrTVCySUReMsNJX8r3B1HOdB5hE738xRN9aSbv49jETnPFzMhmAgapF+/fkGggqgGC4NNwQzNGzF615roCn584QluMDNSumAE2bYNu9Lr9dr3HQ8ndFmQMrNiUUisAL9cVSBTgUoHsU6PxwvPCTP3PjwcJAPu2rnW6zwhREaZFHKlksDQRFwBsuGxwWMAJyOefC0FuZVENOe0teA5f3w9AEP98eNv375VszXn9IhWKxI0VfVvf/vbO0UKCsyCfFymuAbh9zBJuT1jyTCwVkj5Fea5JiEzTkWREUs0x0RDJMhJ2Prws4axH0ehGcT+TE7BHp5EZ4QkYklO6Fu/ErBzXMEofuUr4COqrYKkhLEAGz+gXcMzT+SZHAfjDoKksgUeIzO48/AssMJnMscSFc4CVyBCImgvN3cmSUAPoalpvH3P6yKS5QR5cl9i/Kvp5c0NoMMPBzUWONyamU2ffSGLWeKqqwSAgyA8dMvF+3AHyUHLr6Jj4EIpGUemBjMrrMKhWootO1+nmQH5HX18fvsEyUklrerMosqv16vV9s4pbCqeTVJs5kX57D2TjQV8mhEEl4Gf3G/PNHpUwuElWVtrQQG6uJRibrbWtu8Xwh5wyecnIkzBy2YpJULbJjiS8GpBZ11K6X1A44yUqkhn1oLaB1c0brveu4pe4yEeByIhW+5ul42QMaChBQUL2TDj9HpErY2YloPPWdfJnGFnRaBTjIjfG1ykRZaKFAiK4TvN95QiRJctJkJtRUZnUKQCRDUzIDKikgMwJ11iYLhr4HzFk8jvnwaZm4hOm1spgEdqqf/13/8nM7fWav3oczaIl8NfvUNWz9dSPMYUllIVuHxr259//vvr69ftdi9XUSiiFo/bARzWzJkNUzMgQXdHKYpLEIXZAnglolWLuz+fD2A+ay2kz0bQP//5T8QQmRv4jDFmKaqQVzO3rWH937at1DLn8jXe4e9Qs7yer9LQTaY4zr6+vmqt27bVUjE/QrMA6xPqJImolgKuAic1cO1S6/32gbxorIbrnLptcyHpheccP75/72NAU3AcB6g/Zh5jXtq54mbv9ovb7WbLVDQ0cdtW689fP799fo/wZeuNCN/vd8QnaJG5VjhMSsaZdA8xbkWQCC5CmICgBAfsU0pBiAsEOaICMaWqXGHsWTKV1Gs2Z5G9C+tZnTKiHA8Z9gC8O7gAkKIGlOKaLcLcwklEMKkagolqAQSEGXTld5HEdV5RWf4u8L00LXMtpAzgY4dSxD1UhEB1qCIJ9fl8trad59lqFcR3eG7eZoZsRMlIMjbYsViY2WjhbnO38zxLqfgetej5OkspAE5FBMINZ3Y3+ORx7QDvSmMwWfxekoiQSnRN7rhz5Hr95apzeBt9+eKcKXOwoekm+ave5/IVu7mwYE8CAoHEl+Lu3z4/mfn5emHUPfsJoRvKgft5llJa24Dt4Kx8vc611rHvWhT7OFG0itySDGLFAApGodYCBTQR7cehRWcf4Jqe41lrLUhJtUyBPs9TmDe4S5YFeWutavUIW6v3AZAak2PvHZdH7ydu0YhA2jCyfTDHRcS+7+fZ3R1KNWhPmfnjfmcR5FniYyqqXAoxpAJBxFttWjO5xcwiCILrMWatl4uYqNVKwaISFCAYh5motlItbE5nFlQkXhCwhUdiU+ZENOcgZowq75nC3cFERsSVpiOlZiIG1j251sM8590JEbdoqViQ+TMLR3g2nKxF+Yn53/72N85YYAa5vdbMn6bHtm10JZG8Xi+5SkXMrBT729/+9uPHD5gYhCVqmNnoHdNH1l4uCw73OM8TvtxSyxzDzNAjneegGxRo276Lyn4cbr5s9T5ut5u5zbnWXNu2PZ9P1XK+Xtu2B/mxH8sW5DF4BmwZqDZ3w82EULDjdjDznGuu0Wrb9g3mAzx4xVWvwHB8+HNOTp6WWPIuxTTT2hbuUnhZAKpyt4/PT6IQpuf5uh23t2AsEP3EQhKv81TV+/0mImONUkVINm1YifEJ99FVdduaqo7e//jxh4ePvtZct9tRSxlj9DGCiClGHygkYBLiMsfUUm7H4RF85ScXVTRzIXcIlg6IW0Z2LsolxzDkTbpbsLgZAeiHbjACCQ3AjqDCOGqZc4XHWAPlIvOcnqU06Xp1R2WbL0sWypYL4SaOCFS5+fVl8FomyJmQ93rCUAGwYRUQFWm1gaVQUSmNsksDJMQlLLsG8ohorVHEcRyYlNsV8AnRCmB0EXEQ3RG11H52zEDooWLm2+0uImP08xzb1motY2Q6+lpLa4EeY84VQWjXIMITjs3H+aonw4VBwWD7413eQo4oqrgkp3g83sBVKjEYgxkxybKpqkAaACIBOlMFJLsiglQ+Pj7241YwLM81MerOOSPi2NMMUmohplIrJErmBqW2iEKWjgzVJJFqAWLjV1MaE4851AvE/m4+Lm+kehljbJdsw8wj4uvr63a7Hfu+FqKDHHH82767+xzz6/GgK0z/drvNMUqtuTex2FpItJ9rhVuVZuFAtN6SwbfRn1lEFRdPjoGlrLVAdQJARPhJHprEcw7iFhHn+RIRLYVy+Yi1JhrmImLbt0DCQ2TGuqoy0dfjgX0ZQji58ojcHbk+CTUg+jyibM3MwtlsBZHADZvgI/Y/XFQFIRARsYAEr7Xs8g47Qb3h6Y2SiAgK5QKC/XJKMLbm1irUWaVWFcVLDigJoBkWOYj6g2iOie93rXUl8HRMl7ifAsUjkrPeWquUkoN/+OhdWEjkWmc9DISHQdiO362Wmm4vdxGBxQ+P2c+fP9H0ECGv16tcMqc+horMtdaYLFxrfT1f274zMX7icy53w4+ML2JTVaEYBmqU+q4IW8vcWm2pBgkP4uuacXwO7g7/aqDPxwPyszUnAB8tBU0pYw4VVFjjvp+cmRwsohh9wsMp62HRsom4mzmxJxn8d3x5hUoptla9xmFmZpY5Z5SSiEG4hnoEXctHBLn5XHP07kFyecqvU0YjlplleFlRbLcUZLbMHewIZgJDLo0zEY052tZg+O+jJ05NNMYU4dd5Iqb3drvNuYjCzZw45mDm2YdHqMrj11dtbU48uS4ittzIRBghtXDmgwkzdw6urc4xPMLmTKsDpBK1BgXAfTcvRaE+8DAJIlbIMaDLut6tIKKVDmE2mM5UALWrvhv0KKd7IvyvqkErRXShUqrAnPMImtN9AsIS5oSPr4DPlIYKCdwMxEGBC8nZLltr6nmKFpQz4w9aCxJbnPhQk3NkEAVUQ0FhLFxLq7W2toVHcXfVpLHDfd/38zzHGACF92OfcxVVM7z/KLJyhLqUgnhO62MU1VoLBmcqhYLmmrXUKyaoiQpLbVvDXWy27h+31zNtKcexP57P23HgbWRhlUKcWd5E4W5ayo8fP3LZ8UAGDbZ1JDDjUL7KFFPUTAzNYrzRNwQ9XnBnMAkxIcoGOhx8pkigz394yXVArm4bHJXzZSeWHshhC8QGiVxyUdGywT4659z3LSJaKfioRQRgJR5lZogIkjZA013iNTCwwMdngecJgtrWmogqk9PvwT/NDpSsVBBFXmhGxsz4rch81dqayhwzsOAKjT5tmTZdc0XxMce+bXvdiQkt6pisW6vLzM1KUV8eFLXW1LNfqhvE540x5hyw+HtKsGMlNkIeRELM4mZJDBDhDpNgkkCvw3ufvcaiAMYYa3379h1j4YCX2B0ai601/MgEAZxM2AKx09hVKgJlaq0VsWg4N+P6KEWkSn0bXPAhEGfEBSZozMi4kK7EyjwTw9KMM+Ys7tAagORYIir6dqsCGsKk0lqFAsfDi5Y+0M35uweImY/jmAtVPVxLwYvJLGOcwgJrPQgJ3FbKole4EH6OqAfQoh5uZuQOizW+L0q3VKgoptq0chJlmQdnThy+a4AeQSTCmZwsbO7CqLvKXygs99vN3WvFw5CJrfjiiaK2KqoEZVer4b6WadF+nni588v4LZChq5IIodF5Ppr5VQa1wBKnJxduD1qcIYMmTSgXaoivHRJrzOzX+pjOWxwiQGySU+HMisAUD6AsAWcKz4YDe+fbQ6NZLrgy3dp8fUNxXQiB8tDfEH5qlnCsY5bK8CuEWeRfgHwgzL3i7SSPkCAm0qLHvm/b5uG9n8WW11ZFOTy2fV9XxKteprBay+t11lrxEfj1vR3HDZ8m6Clct0hHuZ5SGBdnKRWCuW3f387mfd9GH7fjEBG4aXDNLGQ8KbKCEwxhZjydMO9B6oA1yq/kC81ZaY3ea6toCFhrtW0TiXlh9wc2YuCSzG5WCmc0+RusdBFmVcGcHgmqw17BnC5u8MOEHy0uiTFnhDPpFekTNg1zd+O0s+GMtiti9/0PVZWIVTgykRRg37WyOqUvjpiCBA6AUkSlFGWmyLg388hUKU8C2N+OM6LMsAMVwcRAn4IoOMys1SaVVI9lU0QRvEHEc00VdXML692YeWsb1opt2+CKgoIFeGUSg5CQerwdD6qKQxBsDYi+MSe8gvjyoc60K7tNhHErs7BuSkFjzpRRuWstv379BHV8HAeeXqkVWCKyLlhytAdbIqizF0n9j3saEVTxSZtN1BZi74yI8GitMfG0hTRpnNpzzrIKUBeIFPDZ4m3WosTokpVvn59vLrO19nq9IH5FUiOwL5H32QGpsasWaCVrKUCu3DzCkWGQkNrlY+K0CxQ0s0BpHRmISCd4lNoS/ScieLxZmKU1pSB8jLgPPOOdkTYD6jgUop0rRiY8+OqD1KuUlJgL8VpLIt+pCHJbRLktYO4BCl9KAbnq7u7rOt0w99DoHbcgGzPxWlOEiTTC18rVHIdeRLCky9KWEToRL/1kBvtfpWA4N5C+J/XK0yei4DdMj1+Jb5YvPB3Sj+u/ppTUXCy6mTNxRi5nTDS5L/fAhIeMd74M1ZcwIyATIqIwI2K6HEUOpbXl5eFQLkkKFZHvANf6JfwhxvLAROhmT90pARDbjx056u5+jj76GGMUc/PT6lahrCAipJTAv/Aczw0l2VrMrLZ2nq+1/H2KlaJOuRY9X8+i6cg3MxRQLLPb7TZGBzYiIv3s+76JKs3l4XMuX0ZMx3GER3RQQREerVYT+c2/R2TY5zW/I9WntQaFAKh0LGtYi9rWaikwy2kCXGRrWZo1GK3Ta+WeC9iRKIGz4EC42zJXJWEGpmGxKNIYvNZMII9ZRFpr7lF+91hBc2KCbFhENIlABIZT6c1PMBGzuFtcxhK3FPIQQc3GeDgUxcQVKlklZtCRyf5aWnPsgv9BOaqIFEQfIx0L2wWT+5o250SCxb7vbt77IIzArYXHczxKKdu2MdcxelB2M539xHeBqeT8Oomoj4HYYZTq4SsB+QnCBpp6D6+lbq2Z+ZijELL7APk6VCWYLsEkY3JnYcQNgaX4/v37eZ59DtURRMdxYMPAcexuW93wdrTWeh+qghwnJF/h/DUzEUX4HXY+vIHMbJbV9vA6f35+AtDYtg1JussWZmrEqiOxoGbcSFrb3PzPn//+29/+PteMIPzwxhiPx6PWervlLIVvFudjBEFtUWtdZmZW4dI3+vz4QJlMEOnV0S0sqrK1thCU4agSYhYGqoNvCjt6Jj8vm3EtvszP5/NNzEKSnrx3rUzcR3/nlQIoxk9Hr8K+9wtIlCZY0FT4jyOilgpnMo7L981HqEBIoiJjnGstZ+/kgfe/bZuHj7MTL7B9uJsxKQKFg2nLJTRYS1LnksQGm3mq8i9wBiFIAD+gjkvlpTASLLRkDD+8+szMwXipI5xVkCIDlx8yeQC8wmoQ+Wc5KvOgJoCMKPJqISkNNFXuQIgcxZVDGCNKEhKRjgchBhjslm6vSJ8APoJUIQIdQpCRqB63G5QLzLTWhDYvIgplFDBgcV5r7WW/3+6I6YAJooi6WyrtrrYAyGzCEQkgKrrvO5YAUvo//s//s5ZSWzuOfc5hZqqlnydQVJAzCEQT99dardRlVlRbbVrSZMhM0FHgto8At0xICMHQJCLY12BskwR3BO9e0fJ4PHAbA94VYTw9F3tZ6QrMxh90hetOlbyZmUWFUMySDlVkSCHftRambC+BEQsyJGZGkTJ+Z2JetrB649IiJjebwyGLvsYZx7kDyZYW9ZUwK6B/LpJGYsFIW0RSHIaJHwg1OjRwh4RnlrpDEUzEKbOTy8bFZiYqyvqWlwCOA3ykIhUFyESAOzAi4PWDmnv0gQpMd59zjt6P4+hm/TzxydfWiiqgCWJec76eJ2IBEYu/giLELCOOba5t39wwB3lQjDFKqfu2Px4PFt6Pez/Pa6bLAglf9ny9gC3cb3e54pcvGRJBlAXOiYhAGl1QWx4NAKP6GHRVA+LtZSTAXJb1McaaCzM30hTIfotbiAL2wK+vX0TkFr2f+MxBXKkKuhiRSfX5+bnmZKIcFIA1m02iWgqjROw8i5Y115jz2Pez9+M4cGZB3bHmhDeQk+sJSDlrqZgZceeJBAsra4SgaAgKt1IqTjqwgABCOfdjoQgSGAnxecGhQuAY7GrlraUEXzMs0BUkg3N6eqsI8SKqYwxb1vbGkYpqNMjXWt1DMnLZcZ0rtHoF3t3qZnPNvJevMxNHMxFfOGgEfJdvCWQWFRiEvOFUilKknJLd11zgOz1JLyAM6GO87gycz5dviFkpC4eRtRl5jRGldxpOgryrQpVQ77GWyWWweH8LQBuy/PQvFl8AQ/QXQTnQIjyTuLoINZbojGIPz0EWYYhYF16v15wZBdaOVvZ9D/fn6/z4+IBlCVjYWosoPj4+ADUWLVSwZGVuV6tVjp08RAW6DlUdK5GW2+1wi8+Pj1JLDtwcpbRSq7uhvnHft/PsqlJLeb3OUvRrjFrrTnuthZj72aEfgCpf4UqP3/sO2DzcBGsurYo1c/S+73vv/Vf/5e4/fvzgbPjEp01ylQR46lPJ3WurtgzCYQonkvciSYhqQL7rtYCkDhgPnTtebGJqsomwLZszoxZZWEX3tlvYGz62Zaq6fOHqFRYc8vzuhCOggOkjx3iF95pZWKRqzQQ0SDXCzTwo94zr9+BrXXObK93EaH1CJa85lLgZGrIis3yFOWSMDrsZORdVVFpubQOmFBRMNPqAFQMqr33fS62QH9hanSh/Q2aADAA0wOWWWmzZvu04Qdys1lJKnXPW1kQkPPZtL7WycIPW1ta2bcvs9Xwycx8nEWOo37bNzD+u9hUU1ZkZhIAIMEj/jhDEwe42hyU3mz5kBgzSauujF9GRUBK7O35qcUUcIoqjaPFw/D2u4ASFzYJo33coHdJkV8vZu64FvzQxuduP798hkIUyws1ZKb2WKeTNPFTk8tbWKOh2HLBAZ2CJObJgFNkGlLRHMtWBrVevdZNF01EFdBug7lpzjIERKuPh1qy11VoBKixbeJ5xNAqDhCKELYYvRoJFBH6g2HfxC2uBjZkxg+7HIaLhgEdIVZehNtlKUTMXF1UFbcNSsCJH2Bjdll1hOHEt09ha8FkxlNA42cMMABVoKi1Kab0FVi0inA6rq8UXsyZUlm8JJl/2+xyNzEWTfRTiuDwKOLKXu6qgD+wyH4CNCyLP0IQL/ExULbMkLkMZDHQRcdnc8IFfwFd2qgHrfwdSXIcWJEtyOw54heaav76+cB1qUXd28wIKBVLi5+NRWxPRuaYvJ6KrzJrM3dZsbfPw0Seun0OPuZaSOsJBw8OjbpWCvn377m6c7krLhAYRd0MyfqnlOG6Px9e27Y/HA7O5prVJXmefcyDcja7TGRsxAiRaa6LF3eacSKlMZ4Qnh7xtG+xd+5ZI7hyztorYu1pLqy2ig+dBvacts2Wk0ns/tkOK2FruovBNUABmKVzQAUTMUEm7O9qiiZLCdbsmI2Jcn2ZGhZg484op8lVMe4ssm8xZq4LpxWEUCLqwUQrGl8oCEhgVS4yqB2RALDdDXBTmhuXLKeZavpaoCGrnlJnYzLCUsPDZe8qZszc80KDdaltmfYzI4BFyN2m1v9LztX5LvAVKLZA3SZyytLZpESFBARaIrFqUiN0MQOTj8bjdbq1twInt8htDWVS0jN7nmsd+mPkcU0v6yDB21FLfJzh2r5KJQI/b7ebucw5KHthKVdzK4EeYaay5cZMibwnA8/nkC8ada4lokAuLFBljQG0SHiT0er1aa1Gi1srKHt7PMyL248AUCdB/jLEfxzpnqxUqbCKac6WFO4iVH7++2iUugOFLihJ5bRXtle2KRHZ3ITI3Yl7LRu8IKMUUQkRrTvwc3V1UwQ3YZcLycLBfiIYVkaKFclxlEd23XVQwCG1tQ/dADl1ExGTLuDBBWl6VQFcQRTgVxe+yVhZgxDVCFa3M4j59+VseQhHvBqqIQBJJudrKmLkURXjGmouJFlxOKkHBMFJhymY2WxSkInbFswWRFl1zMmvSOReyWqqUS3FAGbAWGBQwHbo7dgu9SFTwGfkxMfk1X5Og+BjnH5h6iXBlcDlGzmA6bRkx4+iopQIMYIbKkPOdxdaSrddohRJhds+DHg8VAij9qq/AoEDpeA70RuzbhlYfivh6PCCIuBpGswivrGWliNnCGSJmMGrdIE8eo5RyngPyDKR4v7ERYHkqKsTSBD4LLJuv12v00ba2tdb7AK/b+4lHfN93d3s+HhHxfD72Y8cF29r2ej2ZWVXgIXN3FbUwdb1M8wZHRrzlkB599J8/f6rIHz/+mHO5+fP5dPfv337Aq1KKHvcbU1YRqZZ5FZDZ7ymJaqsYjpatIpDr4rqlOeaYo7WmcmWJEAHvc0P+FF0sIshA5LsGDrLWKk4riElsGZKO9tY4C33cbYFgR9+hsrpk9TFeWhG4Ny+5UymqeCZs2fIwyDrNky6GDBkPGNBYiqilzjEjvLVNrpZXKA7P87zf7+6e0Ua9A5Dd2uZuz9cLkeiv19lqJZHROyK4t21LGlDljf+6u4i2hiFuQUNMRK/XC9IRfFal1n/+83/gG4cuMFd4ImbSUnCpJKomItuGQRvBbaXUucavP38hug7X6vP5QDgBoB4cmlgLhIVACxfdSrNlx36gycDM8CO73++Ih2TmvTVm/q///u/bjfZ9B0z/69dXbfWjffztj789X8/X+Xo+H7f7nZlZZY35fD5L0dvtDqah1spBrda5FlaHwozlWy/f3LbvOOXP3hEBZGOiwAsCJ2D9eRJdiCHma/c4z9772VorBX/KSszKfYzZWqy1eh9oMWo13F2LbttOFMJYvxxXILBEPHXoiwf6h/zzdzoLRjpMm+auImNOFWUVphBhTdjAUEEMPQy+4FrK6/VSLVJ4zIFJH6f2mhOxK5pcBSLct7lmRNhc+77POTBB05YYnSjImyYiPmekvTmYSKWgiwAqAMLgMhcQUkbvUDhd4M6cQ6Vg8p5z4fBNI3KExTVkE4QkBNgz5QKO5kHcFgaoNiDN5LQZjzlEFKM9ztWA/x+1vUR05UuGp/khcgD1txdirQVXRnCEhao4fn+iuRa6JfZtE9XzPNda8EsXhdUmI5lVBMkWYraY/c19QefgZkXL6CMf0xVrDtUy5hQmUX29TlQ2RsS+76Bu59Vcuu/7XHPMleZvFG2zSMXZTW3fMCmTx7DV+7lv+3HcYHyAMA6cwVyzn93DW9sgwrtYctq23d22tt8OyNqK1iIkY47WNhE4eC0C2VICetRs1QZXPT7b1AFAcI2mjuN2UEQpnJ0HhczV3RettWbTZubCxdzhtsc2rSVjxSi1Ytn9vcy2ra13v7byIAr3uSbibiRrqIWZKwPOj1qraoGwJy1cgN1FYZctmgwE5Dy4K6BLdF9YIecYa80xzqJljgWULJxGH79NG8JrTlT68PXX/ePGJMhhvuifUsyYecx5aRYbrre1TFj2fUf3xaWeop9//hQV+C3oUuJC/lhb/fXzy3pXEaLCwqUWJIJ52gmLikYJFg7LOAc0gnn4/X6PiLVmLfXb5zdEp5Flr8tlrO1ojGlb+/PPPz8+Ph6PRz9PHNZfv76YeD/2MZa7A+2UnF6x3yxifr3O++1ubufrxNvxxx8/MLOhunLf9jGHqgLOut/uZhae4vEmzcwej0dQrGVba7fbfdoELBBEns0Ei0XO83R3uLegKWBG/U6Wlfez9zG21ljYzeYYIlpbYRa8FyyMYVOThhcRIWLV+Pz2iRcHKu3w0CJuGXniDutPxX/PLGRmC0GkPteCWA6XNLAQuUI6gXphRF2XrWHaADpUSwWK4G4UxExrXQQPxtVrW2IWqL8wT5znC4/B6/WCN7io9tFbbWnvZ+6ju3lDfuVaw62fvbZmxuFRa0VoB9jpMSezhGsSa8RMxBkF6KmuoQuDvQrcSxHsCkwBLAZYNANscacUDaahRDK9GYAMwXuRwBRR0exYdc/U5GQgrrkHEBCWUbounvBUYeXFz0IIxleWK9oB39LHxx1IiUecz+fK9LpsBAbcBN8DC5e1zH3e7jczg+8XWhdQagBhH88HC6vIftwiHIBUbRXr7Vrz169fvff9yE648zwh462tzTk+Pz8zDI94zjHXuh1HhI8+t31fc5o7dDjB8Xo+61ZLHiWGF/48TwiBwXzOmEDzw+M1nq015vjx4wee7LWWS3iEzSwXg8NL/vLxAajF1a2q+S6tVVs99r3Vho2YmSni+XyG+zI79r1tG9RQEMgSsdsSURbRrBkJPAKUfaGKG0VFpjsLupfz9LwdB/Iz0lwe7gsxvxYX9stMHFlgnUsoMWLxoQuKcA/LKGiHsR7uLo7wKwVabvtBxFWrmYkyFSaKlCKIQqQ0xrjf7x6ewY2hxKHZYioR4Waw+GEzA4PLwo/nE1rJWityrd0MAHRtFbRYH/1+u1PEcTsAQNmyb98+ibn3ju2QicwZQP/bAFlbFWdhNkpmFci+MTMhhW1BXwjcDz/iUjRU8HVCCQP3xrVrRwQqsUxUNt3mWrj/cBhtra01iXiO4eFb2wrVtaaKAgsBzN77qKXs+3Hcjt5PuA2g5MHRhryKCNr2LYJUFwv3fkZK/WIlGVCjVrx9Zz9FJC7EGTe0m21bc485J1zTLBwlgBKMMQHDFlhAiWqro/dS3KOgGQmbKLJ0QITWUoFqKpW3B8VsueXICdlALQ1RtWaWQbn5hZGbuy/8uUEBIEg0pZ0YlfF5EpNeZBtOfDg03SMd2oaajQxJhZ6YMxNee+/6Xi4dWpkwW4EQsIqYQi61sLHcVBjpWBlejcwfYqoVzZEW7qmkVKWVEi+5jnW/wn/Mna8gUvvtw4LWkpgJQFG4E8kFAdFlvsxZB+cuocAL4/x6S/5yOL5e2AgK8osIvGBkUJ5xRcjAp8ap8Q9759Ax7dtea1WR8zwXpOGe/oiM/yzqDmY+wqPAnneenSiwIz/OfjuOtm3u0VoRkW3b++h//vkvTHzu8e3zI39+4YF6r/DbcUOkz+Px2LadiP79r39BzkxEIkhTyWzkVhsz21pI11KN2pqKLp7kZGQRgX7KUspxHN+/fR9zUEC9UIgI8rJED4nYbM1JFKU2W6vVkvqHWpNLAfunAh3xWpOCoNt7Iwxhvhx64Rhj1IIE0sKFYgx3//Xr1+h934/W6p+Pr/v9IyLMxra1tQwH2b4feFK3rf3GQyJ8rm3bcRjhALo0TgqlJtYxQ+HcZQHBU5WSgnxQEgCqtaDHcZmRh63lnoUbHu4RaafOWDce42QSpixSB7SKh+/1emUj9Fp8SV8sHII2EBt0FelAsDHXdEt0RUVLywEfGDRO28CUoaWfJ6hzErwn0IfwWobceWSsE6OwKVptUZCK50U1QzoBfim4hJKrGzFmKFRT4eeYfUTMACsg8BAEzKnc6g1kRrgfxyGio/eIkFbB77XWWKRwBYWMPkKzGR6i7GZaFHriOUap5fV6vd9z3MqYjSKCLJlYiGuZSYjnnL++vj4/P/mK+rpocDtfHboJztSl0HeqzJhprQg/z7PUWlSx86kI5M5EaY1R1VobJtSt7RFhbo+vh9lr23a5fgocKBUYEbG1DatD27Y035q/Xk/IvbAVgR4vtSCdFIbNTcuyNc8elzOZLh+TX/K2iKBSwtP45ubmrqLynnADGjrghMCufGsNAUpbYhIeFGhwowzTD7ly+gAhSl4TBPwEwItL2izwM4JeY62Fc/8v9q4c6jmhLQJojFMIT+DbXYC/x5SOxQvjJzzVuMyALxEH5eIVuH4uJtlhBgR+hNsi0hygLAKCG+sCYFy8HalHUsX0BqC+1Yr+5LXmFYIJSjKbvZEyJAILCpt7EBetitvsdhwAGXESlaL7ttkyqUxErZZ//P0f+bOkmHPaJcRsrbGzu3w9vra2QdL3ej6hEmvXJDXGMFv3+wdSOProBWmIuNmz2jBBZHd38601UUWejDmKFRm5FHMa1k9I0PGIpU3frLUGbraUgkoQFanaICWUy5QkV/hJKQVJGGbmZsQKs5VHzPOMiG3fd5E1Z6tNmOFjuB03FYHZJ4KKqt5utZZ9O8DqABTCl+mQBOQzyu6Bm+AtG8jzIvc7jnQS+nXIMQmh/quWUmpBWI0wTQv0FoT/xrLMzNaKayswM1uBkiZMHADZInN+vLUGHpWNJNVPfBli8unEIGn0Xi/yb4hoaxtanXvvGMZ777gbyGnYIJZjb+4+18KcAWr08lSPIOr9TKeFhyibBRO3VscYRJlS4G54EkQEWv6IqFt1C0exohkRvc5Xa9U9VBRpMOgXBMa6bD2+HqoK0+wYHVSBu2OgBl9dShlzfj2+fnz77kH4kTHL83xAp1iKYnU2s6+vr+M4Wm1ta37FZS/YBNZq+zb6eKOXffTPj49930X4+XxFBILncBhFUO+nR+xYWUQogpnGXKqltTrmtLUgGGWm8zzbtgWFW4gysxQhQPxrTQ6JWA5TQqvuilw/M3X37dj86V+PL1u2/X3rY6RKZy3kKiIcLIjOszMTGqEhRuRAAe86Xy9VbW0DAQtYAwcWZ7kIBbLsVUQlZgSTqoCn9WuylqsdwX1RknySkwEREqXs2lTgpIE2QZhJ2I2ckgbHdRIRQqFXziNkvswAzzNZhBLHef/1Jn7DzIFViih+fWQLUjCxpcgVghh8GqlOJDRiUbgbPGhQdUA+XihhfUOfjzBIOBbmrPgFskTXkPQ2NkXSxUG1VYx2HLFtTUvZ2sZXvDzoZcmLiYkpQq4UJqIrKFRESi01MCB5IIGnqMLvLtdFUUpZ00sRoJwRXkrdVJGzD2Q2Lvxh2arU2ra5+/3+4e5rza+vx8fHvdZ9jHH283YcmNxhNCWmfp55pRNvWyNKGewcc5rZWkwMDGfOOQcRU4ZqXSfUe6yOiLN3uBZqAppky3gu3KWCCkZ3YX48n9g9n+tRS2PJkAYWTk//JQIjkTEGJFa5DF524m3bSikeLsRMzTwd5Pj5IQycr13YzKC1gFYdFawUQeWSGeAnhAyUNxgZKdtnaLikZAQQC54Us/WGCzH/41XErRqShoD3Ff7WOZgZwq1EZGv7HINF5MIxxxh2zc6MEgnPRIJSissVBn6+zvME4FZrzWIp91IKtJVnP903nNF9GKyCRHT2joy5NQdFYLOhctXDMTZxhW8NsRngqB391Qi6MhRzCqAqEdm2FkFj9qKlFGUuOJTHWkEkzPu2v1Wbc8Xn7Z7S5NtuZkx0v9/hIMmvhAjkCzEfx81tPc/nvu/vGeLbt2+qiiRLZkY0aUQcxwFKPyL62a87PrMcNLn8CmsFGo0i6Nv372OMC7SMX1+/sLLs+14a8tzKlfIdbdtE+NfPX3Ot+/1Drme4tla0pC4lTFS3fZ9jjDmgfGPm83WOOY79gDprbxtvu7n1PqDMxmtVa81KbS2heAAa5+74XHO19vb3hIigmxCH4JoTGnng5qqKfCfILrat4XYHPs6UFAI+JVGdc/Z+4pRYODIjIhLy5MsEI1dUshOmLka2xLV95IMPoCz/dMKsDWM/AWlJ6CsF/uTmBLDEQGZnlgllsZcI8XRsOah6j/eFAo14oD8qtwdiYqcUoUFLLYEJPN7ILTQfQHqCAtEa9G6cT+9mUF5Osm+HFjFzBJ1RZF9hwrapIMlIROBOsE5EOFTYLZjGHGvOd8wGkmO0oCyXixaAm25+3A4iGnPgQ4MwmWryqSm8G4uF3e18naz8+fHRWgsK93HsB0T6KW8oFXH/yUqphGdWjJvNOVurZT/MbGsbxgMzW2udr3M/9uSKW0tYP+V9IMGQWKfMwcJEseaqta0MUaEIwsEXBOcKE7OUEuRrwBJMSEw7X2cpCpCEiNAry9c2F8xrLqiVS61zTlQLiMrbJYCWjyIVvP911qccm//KGl0rIeLo4pqooFeDPV2vLsiIsCwSYQhAw/26NByLH1yXmF/saqHCe1G0SFH4s3ofgOMW7AKZGCF//VL5st0Df+NL7ITtVUVra8yE0o9k51pD+NKc41///vc///EPLQXpFDAKrLXcbNt2VXm9Xr0j9UVTVmFWSlHS8IBXGT9rInq9zgTuHC9JqKogCjCCIkcEXN96jTVuVlrTK1dOhBGsRkTuPteEvfM8XyJsFn/74w8MJoEQbJGiMkP2bX8/dccl+pxzARBAYk1EwCcMGBBO+K/Hg4O+vr62fR+jEzHxGr2/Xi9bDb+41oaaoFJ0gzIC6jui2edas7ZGETM7TUs4H7fjCFItKdOUrBxALQ+q6s2WW0CnwMzB4ea11Mj859XH+Ljf57nAOtzv96AYY44x0ke2JuL8mHn0wSJb2z7un3m8XvUSlL2KThnExH51PBBxVsZfEUYIUSAmm4su/zCGWboQecmgl+nmpRZPe3wOxBChv4+8cLdgQsK88CXKyL1E8WVEAOqJ6/5AeRaufHdLr40EE/nvYne8qvH7amH+ax6DpC81hyRRxMkJXTnwTv6GxSDJB6aH9kzCHxa/cX+K30NbrrOYJyjHC4QQjzGhdnE4M1j8cn4QU5gxCah70BvAl1m4MGW4CkW0VlG+CvUVPjRoKhIqAdiXC3sfYwDHTH03Lm2RrbXX2eecrbZt3+ccaQi43ENgDp/PJ8NlSwmLG1kfHVfwcb//+vWrFMVnOvtkIZHCl4O8tVpKfaeg2PWhExEHFS2lAhFarbXwdIr++eefn5+fvXdR3VpbZpBY8Ma4rpGK7u69z9aqCJZQiAUE7bL4qdRa8cWLyBgdniYAIE5B5EIw2Tp+bSl1IWIeKdlpUk3jqKpCBwJ4Me//pHsoM0g8rhC4Umq5RpOVQBGzhf9fXP3ZliRJkiWI0cLMIqpmvsSSWV3A6TmYP8C84qDRaKAXNGaA//+EqcyMSI9wM1URZiYiPFxiMW9EnsyKinA3V5WFmOjSXXIGJ4ogoD+wMi4LMkOyClhxeKvTj34lhFA2LkREoJPnBMACfEAFVhfpMghget/TiofgOaXF5gS1CVTRovXrl6+x1EmQsPUI+E/MOYgqIt1/kGJKBPV+qpagYMbnCXjpqJZ0t1+8nefjAWTs+Xzu+1a0oM+waU7OwbWWtER3H2NgKUXMKlq2gh7lfJ6lljx1iZAoAPTJ3S1MZKNE2miOpJPNOZno5X43c1CDYqF8KWYE3db8tm2iqwSLMpOKquj9/oJTCrGLt30fSJUKwtBjZioyzS70wz2Ze330l/uLzfRWqbVm6TTDwnOZLjCT1VKMHX8o1jnK6dovwn30cN+2dhynpfeQtW1DC3+eZ2sbFlJaCjFlm6U8x7zksrFMrtL7K0uww4EOLQiCCHGXWXiakXBRjdAxR1npPajpkiPjqsoeSDCNLI5OIUGkLMQ0bPVn4bL2sZQaKWYWJidmDr6YSwu4wi9bJZKSy0cLtFFVCAEQpExMq84SE5sbbCRQr5n4cjrCAhbdPePFF3XzS4vm7OamrE6QegWqB5HAgTVxiAWNCMvLywt+IMhpKCnKoqXgi1wnE/JWsa1eYVaYA7iUouZOgSfMzay17TxPwKBg7z3eHyAp995LUd22OaaKvr68FthSLDFCrSB6H2POVusqDXu2JO8nLLru9zvu6+12m2M+H08sUVX1frubm5b67ds3Vfn+9l5rAVLsFhSmKvBco9De+xwD4mQg6WhmoUWIVf4wWqJSwP18zglGKd6uqwtQVbjrjI4gwwqiCXLHxkz/SLx1b+9v27a7TS2FiIGBzDFbq2hqYHfj5rUWrGJ0vbqRnX5EOPop1CNeMKT5yv9cSncIvjHa19r2fWNi85lEbPjHAU1a6BFWKRDBmk0LYmKMICKkosd5tNYgGkTqg4Qs0xJi5vM8MjFtztZaqQWoICT7OEJsmnv08dzqluysoDE6OPURUUrB1H+77aAz42eWUrARqbXkVnaRyp/vj9qquzCLuZdCquWcZjZLqS8vL1DMDpDBiUAYi4Vuvb+/M3MpORESk7JGRB9DONUtuYlhghcCIWbLDfQ2rCnRRON9NgsCo1G4UJFdcBqFR5CLyOiDeTBLUZ1B2lIaAishjPyAClH7pk1U9tF727YxOpzv4CkLtAFLbBjc9t7DfYyx3/Za2+gTU6AwQ/iCYxUYMU4LfK+5xj5VFanIIQCzc9/2afbbb7/db7f7/V5KOY+TVeaYFVTC3kspGMSZeNt2YIbEdL+/JKY3TgllETdjVSxIlqQpMK9rKe4hrMyCy2VmyiVTVszIQ4TnnObeCjq8Dd7auTXF1QwyMyw8iAjCUnxZM7OiaFPQNRIx4nEockpWVSaOhHFAxVnDLeXGbeFHvECFdOSOcDjp1VbP3jmwSuAxB4ssDX+eNBHBSkRwWU+5EgoO4SfDcCzSdVghYfEIDwtDtyeQpYmu3Xj0s4tqa+V+ewEXwNyYBBMVMENzA8Hf3YkAHUcQZzqUQD1HJBxE/F//238utSQDzFfuOTOcrUB4gJsdznN3P47j0+dPnspTExXIQz6QX1X30KL42lq090ERbWtjjPv9Psf8+z/+Ds3Rbd/dHfRKVX0+niLiYefZVRUE+X3fIcJyc5yYow/L7OLZtgZvEMje5hiqOrNdmsogqGXGL9x43h/v99tdRNq29bPj6UrKUISZ3e/3CJ/T0LihZgtuMDMtJoyvOD3AUPu2QzhDQaKM5AdUCNw/HHIpIxS+zs4IZyKoaj3S0NOD0gkHRxSHSHv99PnXv/zLv/y7f/3111/u9/u0fjwfZz8ej8ccfZyj99H72c/zOM/jeRzPp83BLLWKkCyiRcG7pEV/aI6IiMZAjy8ILYrleXc93Bi93ZOOKSL97FoLp07hx9cmViOvIjLGUNXzONvWhFmK+kpoAk+JVYoWszmnYbAVkfPsDPDXHJZet9vN3Wup06dnHBWpCKtUrURxnAdQdVX99se315fXMcbLy52CoMPA/iAWAeQ8z5LJd5y1Ppdh1cKUxSnWurjf7/fRQUYolLjcYuMtlKOf58vLCzbzfHVu6CIp8BCa2+Px2NoG33U4lnus4S2CiNGpINKOmR+PBxgnG1YgSCtDPCoRqDXbthGedk7jCrzUANwJYiLhx+OJ0LTsSYmDomjBd2/bhgwDRER8+fwZ7EbmzOnEuBNBFgZdJPxRphlFPJ8HnLiYZNtapAm+47TrfRCFamFYXS0JKwgtyIOS9BECDp480QV4OjhRoBuhEYGEaoyx1mSMfwhEnyJReDyNtMha184ZKxP07Zy8rFxCoIu6EB4U9GVea+6wREykl651Q9AibYcwEGashRNdJyJHaDv2GYv/s35xPipEPFdSC4gSrdVa0+ccHwBKI1nuZKXUxSOnrDNYKqw1CIAgIiImG1a0SCka0PURdusU4bUWLWWmEx730c/jeHl5ifDa2pwTk/v9fjOzCHo+HrVVuL4c5vtt9+FwSYwcD+LsnSLe39+J6OuXL7VWUTWz6Tb6KFUxKzFz4Xrbb30MUNMAGY3er4y9UjWm32+3CMKQRSJjzOhdVI73Q0QG7p86nlocUTBjebm9vLy+HsfT5jSb7vmkEjMWiaMP3DY4VdRWQQzAJnBOq036eQI0eDweYFa8vb8x877veNPgbIz5S4Qfz2ctdQXCxRiAUGi5P0ZgG0Vk02a60QIbSU5a4j9aNK0rQfl0t8VGDou0G8myChoD2GbTJh47JC+y8DxSLwqvWopAA7uAnQSjAADizcccQ+RjdGY5zkctFUj9NCPD+Rhj9FrLnCbC/ew4yEfvUMMNMzoZCp1pVkuN8CIy5ni8P8zmnBsziyjsl+GGJiLuA9Fgnft+23rvt/3GQs/H4XN68d77/X4LJ2gCfv35FwDl0+x4PmVlf84ZWGtnIVsrvtYQOWeqKkXJ6e37GzQEqvr6+oqxkoVpEoCIiEBCbxDVUo7nkxIuKEyOgTUndyIwtURZpL6+vuKNLKUAyVRRJj7OsxSlyBEW1az3vu87Eb2/veG2l1JVZVqaTZZSXAzgtfXhbuD7AacOIpiIQYOyJDuBLAFMdeDgr6Va3WmPIPM55qSLisYofI7aZWZSBEkpJySEAqyZVbTWiuofye+gC9tMT6ZJqrLUiyGStiIL3/KL2WFIOzEnplKrm+F5zkLLBAfG6zeKfrQviHmgdNtNChxd7QnIPHGdvAwhCy0C6Hr7KJdGF2CbfjAQ5TL+CPy5bulCGhHmhutG62jIQwIOboh2Bzs24yNyxUzM4eki7BEsUlVvtzs6xT46LhoOzsW5qKAPoTfN13etKjCHMDNzZo9HUInwfnZsnTH8mJm53batj84kSOxzT+GlSsFQQ0QQGZdSwp2E+9lLqW5Isk42EdY7x/NorcliyJpbsJRK72/vxFS0HMehU8GBUhjcE63Nu8EcCh5VoA+dJ4Y+Zw6RBrAb3ToT19oifPTRtiYJsjHm9yT/+fzb3/5t2zZmKUWJGb05FOpMRJpSJnjKu+X2PDTmGB5RShEFO4X3bXPH+KtuaaeO5U8Eefh0+AE0Boksq3W6kOaCKv8iXiSt8AhzzO8RzqrCDCOwlV8Y5mbhE37rxNcLsEzQVrcyjZWJ2G1u2+7uW6uP5wN7AXwIPPd9dGGGr5SKmk0zUi2Px7PW6m61thzqVUfvt/v9+59/CsvQgb13ybQ4YZbWBNQXMKMAu4l7n7bt28t2/8dvvxdV4/l4PL98/szCtdZ931X17OcYA1US2wUgb0hehc31y8vL++MdRB3I30VEpTzOB7g3z+MAJMLEW9stfM7xfB6QGWI77T5rrXMaeCg2p3sQ++PbNzgrwBX5SsGsWrQW4AVufpyHgt6oUrS8vIhHjDlBItq3nYi0Zk4s9h+bbszcnx0M11L0OM7X11c4Nd5usDzq+HhotoipiAYTAE8m+IsVbErBdLQ54aA3fRr4vrBNNqutjZ57e/h7r+fEiYmFycI9mNjCsXbToueRLU54TDNhMptFwY6j55E3IuBdw6ylMBGCaMwMPhb5dJWCooNXAFSxlIiBqu8A01kE/JkAXIPelpjHmBBfRQwKzpQLFoootYqGR4zRRfLYu9zV4qOBD5umpVwTW7gvXiXmVzj+5wu63sf0agx3YfUwMEpEGGsNfAcRYSY3w+9UymQC/Iz8D+WB5T/IDsANCtQuZidxM1phLkRkNlT05X7XjKoG8mErziH/6EVPIopgUfIZq5UBLeqSZJxnmjWYW8bwRoChzIhkIaK39/fb7XYe5/QJV6NAMGY/z+NkkfRmUcWWWFQGSrDKnLZtjZhLKa1uf/zx7fX1ddv3cD/OE8vktm2P93ckcogKfN8CbsNzatse74/XT682bXG0gzl/WSlJ78E/P893CFB7760lN7nWGiVsTiNUTrE5x5wvLy+AaFjEzF8/3d/f3iMiyItW97ZvO0zbn48nC4SmgyIJAzRz2lqTtZ79wKjLIqMPs1kc6iqAb8TMhRNkiwgWtjETewTK74Tw99xbuLkFAiYpPZvMnVQQgqYFSwhOwkOYp7DWEjmaoJfC9vvssAZWyuQpOFpHRK1tjM7u4YGy4jMROVqkeF72Utu2RfjWNrRdtTaswiji5f76PB8KwLIUNEoYpYsWUYh9aHqK+7SU/bab2dn77baLiHv8/PNP7+/vqpoHbTi40PjMwLojCCgHmoDn87lt26X/Os/z+Xi0bXu8P9rWxkhdQjqDUm21kVGrt2s1h668j6GqtRawUSLCfbZ21/sdrQMQOBUVVRF/HsdNbjAFcncVgSP08/mspaZfsZb77e7hf/zxx8vLC9bCoG8z8dlPIm6thce2bd+/f5d0ohe47kTEfrupyvv7o9aC1YsWNfdt3yhZYYZGxMxgjQceFDZLyOlk4nR4ztmfzKZZiaV0dXc0+Gjd6kqX7H14mKoE0ehz2rjf7kQUA9WQmejzp0/on22altoShXCgaogKyDOGCBwT/HAgzLVUd/OLaTbRhTCqP0s+eOGX36eIiGViBxGLsrr5NIOnCyhqlAtTgQ5GC0aBzHbGUHIBL0hPzHbMDbilLea1CPpVHAMQBlkeFSygnkiyvUUybQbvoPPawWbtIsz2FIbgT3Yn+K2KqsiFnQZFpE2WG1h5tdZ9v4kmCImTEgyXUgoLE9JhmXH2OCXEFA7gjhKHzi4zM0THGO5R+tlVi9s05jlwvQjoOWCQWmrRjIBI45oUCnItW1CCaFyAqeFQJYo4zyczm88vX79EeD8PtPBMMd3G6B7RxykioxuGNYJ63uzsh6r281yMkVaKutsYXVV6nwBAQICZc6oy4ScQnccJQZCqjulMNOZ0H2jSHo8HjkqfRhpIHRJhJn57e9OiYxxF9Xg+a2ssvDVs5xT1FLqYvKZE7hPuFNNsnicTbWvjHeFIkNSaEzroVQBmBVO5e4QkY86dmLHo5QjmhmfbbOLxcWcRKVpraUWLqIw5HOx+T3MPD/KwyMgXszED3hRBc+aCxxPWDBWVtnm4MwWRDTjWMiRO18woHO5eW50z8BoMG+yMQgPvoJf7i9k0c8kXIEQFMhFmWnlbsZSW2XMAzRu9i2rvIzmmHBE0xgz3MaZ77PueLWEpTMxCz+ej1lZLhS8QMwNlPo5DNOd3qEnQjcLgbAHiYmbPZxcRnByvL3dbuwQs02B9TERuJgXW7bO2KsyiFYzG8zxBScz65eBTYpexMtBJf/75J2I+jmNrmy2zuTmnGwoO4x9CN7BvG3B/IPjn2YtqKQX9Sr7BMH+GztYdefH45Gn5iaUL85hGkswTm45FnRlCxLw2JRhES4pD3QzjIHhu8LqOCBaq3NLGYJnJEIu52Zg4O4kIzjGI05AfZHprywUAB4tZ72OUUhdrPgEYEOVR+4hojgEgQVSAXNHFySF2j6Ji7tNmZc4tAguzQK3uywYRAhrKsxzqrdw8ewbWL1rGsljmVbDXaZHHWLb5/hGaJldQbm4cFhuV8guxCP8gA8pjwBPp4VSNOWUqDNKbE7AHae12v8GgE4oKvEogcV3Dy4KVcnSxmKu/VLDLYd6FhiZpRcL3214WiiRrZeSQobq7U/Sz77d92iSmMYaZFS02B2MhEw4B1+EuYwC4BLv5OA5QaB6PBxz4AIO6OzmZGe6WTecq6EnTLngleGA/fp7pTTbmqMmzFNWUUcDhGdxBKAnGMWDwku0hrImHh3ukr0j99u2frW0sQsGWPnzCFJ+/fBlzCMtxnq02Zppjjj7MDFAvEc0xgapjCRwUrTaAyHi8ns9nqzUjlEEl6c7MZAYJwhWrizqPclBrhVQB6BElTZcMFHUWZimizNq2um2t1qIqfbiZeVgueYIoyKeHB0fMaWNOnx4MlzoBBXPOWWrtZ6+5aFUPs26wYfCPgGV0vj4pImI+rdZy9p7KCXc0WUwE2eEYA5OfiMDUCEpg4La0mNSLkiBnP8DRRMgaE7Wt4eeUUm773scQldfXT8dxgNp4oS61bWB63NvteRxgH5RSfvn1L2P02+1m9mHIszxZzabd7y/P43Ecx6fXV6zLbM4IArNZBEmBBoACo4n1wSzQ93abNGapDYqbVhsTSUleb20V9fc4Di2qojYnqU4bULrgamCzClDrfr8f54FnoKhCNFBb7f28JrB+dlUlNzfXUsZKxBRmTUa8wZR34dFkfXL6u7mIpKVlENuKhxNGDgSeATNzZo+A+IDTZcHnTF6/EEfgVEtBkzCPPrFtHiPNJsNS1i6i54kiUGqpiHialllpc2REAXARMB2AVWqCMIzdGFEYGh9Jt/YgUhjNqozhF9U4UlEscYUxILZhTor8ieH+YQ4Rnr8+glZmX5rTwVuAr5imQGsPCTCv4wKgDb6vZci7YJcDAAoL/CD6EGCuQ2aBriuQEgTN5Z+I1qAU6I7KeXYitJM4krKFF1lKt8VS9YwSCmacQE50ySAIJw3MP5j50+dPf/2Xv5Ygej5ThWtuhYoLWe8UNPt0j8f7g1Yy2WqEJ9i4i0UQFK5bOY5RVMfb+5IimbmRyzEGXMYiHIaxtVUifn8ewlxnaNE55hiHisygfp5aFD8cnNTH46y1nM8uKnH0ogWTh6r20zwGTmibVtKMk0TK8TxFBQhVeMScNo34vN9eiGjbt8W7p7AIpufzqapzmpA8H8d+28eYnrbAUlRJeKKLFMEuEb7wqjrGVBEpShFzOjM5h8NvzpxFws1h6SbJTDBPpwfUfQ5yIjdodKd7GFl4ju9oXEorrW1atbTCTCj9y9/UzKa5BbEHDYvR5/PRw7woq2jOzixEweIRfBydiYPG6o9IRH26EVye0nxqDBNhFbGZ/wGgKbLUMUE2rbW2NZ7LW5uIULmYiZxsuSxQxJxWW61aRwz3XNW4e1i4RW2FCO4a4JPkMB6LsUNEzLO17f39/X6/ocRvbXs+n2bzeB6q2vvEYf98PsMPbJtV5OxHqxuzAMT3gbQpb616Cgzt7N3dzb0QzTn3/RYRb+/vylJq9fDn27OChF6Clq4SZETJF4/cg8IgeUE8zvvjXbW8v7+Xoq1t8Ox7Pp8R8f7+/vr66sv5fPSBhrTU+v7+Dsen8zhFZCybUizzx/OMReViZmUFGAjMo5baZ1ci9Pg27ewd7WeVijoy+uh9lKKcTYmAwQ46Zi2FRVpt7+/vtNxK3L2PsbUWRJx7rMCuslYdY+zb7uHYZ8C8IWZk2w57BhUhnbOLJv13kdPTCAsgCWaatTNozONqc4Uz8wbDtIgi2ABU1EtNhobm2v1SDu/Z1mN5TpRIOovQCgXyRXu72FO8iKFr68xEAX8WPO7wHFxFPqXsWFGgYqxlLweltE1E5hw4hNZpnfszVcSv8hjT3GxOXBb4XQeFkGAmkzVC4RANBH1fOBd8L8NhAwMT7/22//Lzz5++fG51L/3seJgEHt+zY9TNSdBzHKPgwLelwLknrLzctdxTJDnAgGQWZrjTqKq6vL6+wkejlUoLoNpqw4NrYwK869OYqWgZfWzb5u7n0VHor1pzHmfn5JuC9ayq5nEep7tHVLOJrQ9Ya+ZOMVS1n6Mo+jLzyDtNRCI6xlAVeE/2VACU5YhJt/vdpk0y5ArAFDddJyOnBFX1CO8DVx58LLrCnaeVogikBcYCbqWZm00VdeocHLgyHFAIuYu7lVqDAhvmUmtpZd82iI8cfk+0BlsWPJxubmM+H885hjAFlTGTbj9nlyWbgv3qGINXuxQxcD372aUoE0HGOeYcFPWH+AQEwxIRW87XoMyivtgcuJiYGBhUWorl2cDpeMLSz07M59nzkKZrLmaiuN9fzuPQtCB0Ycmpwv3t7fvWNqwNtraNOb58+fLPb99eP73+8ccfnz99Mg8R3lrz8K1sY45SKgbZ2srb9zeoGu/3e3jHpIgezWYGPo85t6TnS7hT0cfj/fX1daps2w5tyvfv3xF1q+gfJba2GTNUgWFRaqmImz5dVV7ud5SV++1+nh0n98vrS63V3M9+ouVvW2t1E5VPnz/NMSMCnqNv729uzo3xxVXkeTy/fv2Knu7xfNz2HZsnWJqXUjDqFVESikWpRI3DGkOLYtv8PI6ipezlPM8O2YeWoECuTtFy9rMfx+1+hyPk97fvZQlBXl+xTpsyAAEAAElEQVRfEVVUSjnOo9a6b9v9/hLhz+dh1pHx19oGmm8p5TyHqjPTvu9zAkyn3gdu/xgO5YG7W8R5Hsh3A8DFnMFKRDTmrDiZIshMJXV3iyTDYwyw78DaxJHggax26uNU0Yu1fO0t5IeVBiWvXymCLsIdPJmZUZ2dkr6fO1+cIghmXMcCdhF4WyErAfMC4Cc8f0Tkfr8j3f48TxguQPef1CTPlwj10D2YQwQ2Wc5MRXUuno6vrLG+2NL3zy9//eu/3O+3IGLhch5n21K/g+s2J4Z+AgF5qYoT98DZWFTdbY4REWKsIv2YtZRSNYLG6AShLHPvJ0Ep9zFhyeLApOJZZMkwzDxoxiil2BxgMoDpfB5nKYWZWq2YUlutTND3nuSBlAlhhs1r72O/3RJoMnPyWmq4U9DqvMPMwYgtpZjNmlQqjVjOYtOIufdOQUhaRBo2nglRURHH/pwlKKYBKORSKrjVeepOc/NUFDMXXYyAC6QLoqz/GSU25wDzDssGSCJrqVvdJM1u+UIdCTRwLMvd3CYG/H6ezGzmKuJOc8xSC2DOXEAhdsZt9rkYzQEUSKBwPs58tYg4QjVEq5nZDOK0vaTUYUl2ReixLJhpa3sKalkgmcSDHgH5a5EqYKBPMy2F0+gZmh4FC/Z2v2FlchxPZi61CKurevi+bZGSV4dy8PH+/nK/n72riJMgLW6MEctK19zU9X67//Of/7zdbxHBwudxCqxJhV9e7sLq7r2fPme419pov53n+fnTp2l222++ZO1720hIZIXe2BxzcDCW8O5epZoZbE4CrtbB7nb2QUzCgkZv2uy9qyjW2sTUxxlncj2J2Ene3r5H+BizFH29v3j4nPPT66vZxDJjX27YkBNjwbDvOxP10c/e3UzLCyFORGTMielkmhEI/jbNDdMtnqSIqK2ZYV51sDA8AsGceMdThW6zT2fh/XazaaWi5vq2NVDgxsxkMRwb29aO46ilfv/+vZTyfB73+83dW62iyjaJGHQMImptw6Nmubml6Y7zBjsSdDAU8G4B7B8Ax4sWotCCHEgYYwVHTDNAuKLillXYl/En6h6kgojLdgzbFB8/miIoltuxmFs4wRIhSGglxUsKAlhFmFilzDlExQ1U3cwJhwp132/YZqGjAtB/TcDo9yUdLz64/ej6RdJDDARiVRXyYZAWW6nl119+/fr1J9FcXYhKCYR7MPZRvmYYtK5KPHH6uQQhDp3FI04c1MzgYiJ+yNEBB9iAAvwE8SX5hC1NHYyTMqkALqDQzSK1pxQPtwxrSchSRVG2KXeJhl0rql4EBbv3ISromFRl9K6qiDpyH/gh59nhRlxbDYqwTIgkouM8oBlZhy0FLOM9YFjIuErzMq0lMJT62VXlUj/q4lbiw6OQ+UqRpZVGgGeL1t3NndUPyyLzxCjPMUQKlEFta3BAmv1crYSZGa1YIsSCd1jC2qRgURDW8Jr6cEPHaouHyiKt1gi6fLtU9SJ0YwTOUYbCzk5J1WXsoPoAl9FX4UBfQ7DlwS5kxARaqiq1NA/vY7hHrcUszHp4mDGgXpyIUtUjtv32eH8osr5KmWOMPuEogKBNS3+r6H2A+M4sFHaefdu2AYOmUlT0PBd7jbiPfn95qSW9rEmyXytaaJkmff78+fv3t6A4+4C7nC3CFZiCFgZT1jn6zAQrRbfU+9AiVSuLoA4SURCryuiDhVmkLJMvImJilUzTw+guomnMsVIrRES1btuupbx9/w4sqJZqbuc8kVsZ5qKC/aqwkkCexkRcSxmxKI5BgQAWYr9CZYMgOzKzy/cpIjjY3NgZYJd5r6UULSFh0wwZq6UwC2K5zuNAFa61TLOihUUt4wcmYGSyENWXl1dm8qeL6E9fv04zUN0AJHpMLAnmnExMku9mLMo+7AYSUaWrIJOFCaGqUM4KwpEORbxKN6nIGObsLGw2VbeLpO/YVaLhjku2GfzRKTu4m5R/fCBDF+8ThowgspWTAwsLm4ODReVaHUdEZPaR1lZV1N08jUIdUDM+rYg4uNoLCMOjjq0vYzdheMlzcT0tUDIt/PPnzz/9/PP9fgfEGiDyMZc+RlG15V7pKz3A3EQy0sHciqpkbHLWWxgtoRdmEZ+jFOScuS+TVYwLqho/5Jm5uy6rL2GOCZ0CID89xxhL3cfwkFJlj+PsF0POAwoRR9EEo1JCMVyB69IxIQ6ELgUTMzYiNnHVxpjAasy8D6HAnoOmzdFnhIuKikKDmn/iGgZxGUBowzUODxC68QFs3V0iGgIc0NGCrCER9zD/C+AS9TSSZcFFCySpKgLl7f3+sm9baxsj9ybPEVxL5NsgjNvOfiLQkSjO81xWVtEh72I6u+eARwSh38WRUNVhc2l2Ag3RmBMOd9ji+omwJIoIHBXnaWfvmABEFDHxeE5i+Z8A/jrOE5ZV7n6BthGhJPMi6rifYxIRUiofj0cpvm07SSFmBQpnXkqd3T1IEDXcGhH1MUWktDbdWRRkxz5O6P3PcxB1rG3wKk2b+7ZZBLO8Hwe8wYnl7fGccOwJs+cxZ5p8RETue4OOeeo0Zh59lJrOZdn7U4mVHfij3yelPRRhR6Wu7r5tm7mfj/dt20RUclXOfczCrKKP41ApUDv2MaVUQN5H77WW/fZiNpiF0bwyQ/RHJMTkQeYuordbO/uJeSXCabliXOLZWtucU1Y0lbDM2VULylAEpcPdtCC6ooGCySOEQ0WhP0H7DDzn2Z94F7Z943Q6/7AjHGPCIwsGhCAs4SidczKLwwI2XEOJMJSnng4LSF8JM7DNCM/YdF+rSC2KZtkJPN8cmpP9jDaOyGwycSklKxVc5NK9AYcLcAAsddfwS7zwFvxDwnYH4uSs3SrTJjkR8TQDpx6uCih9rdVWm4j00efInju5zykocyK8eSn9JUoPAiYyXgwQYRsTbxCiGyOobvXXX3/9+uWLamGRoBRGkRAFlfPsVnRRDtaXICKionCaDKIYyRrGvtrzJCW8/ywZaYZyFrQeFHfsrJVZVlJVMAtYAUDQcNi45V1fGqLcwKAvAzQxxsz5K6gUDSK4C2BkRuoyvIvrYqOvpjyLMTgG6BHBN8eDOI2JqLjn6Y0XxgVGCfDBF/XszSiHg4kgIWK6xpShljYDWeUjrwhMATMKMTymTc+UNPQXsp6x/B34h2DjaFEP/j/++39/229t24uqR0yzOS+70MCTAYLgefbvf35/f38vAkVCDo8oGdlAUXQPKYKO6BpZROQycE95TMai+gX4oAs7jjMoiiLqIDP2zAz6DyJinniXCGlKa76h5OxxRBzniWqYuT3MYwwERPhxRgQTf//+Zmb97GPAxJHdPZ0Y/IhwkQmaIIuN3pkZSeiiKX1QURYBsQfC7DFMVFkRB1TSqQdGiUE4v89+FilBhI5YS1nmYwxhahDZdJYQIinFLETYPBDY6ek3oOdxTEuf+sfjievDmbuXTdv7+yMiSqm9j4gOQANjMfaxzDzJqENsaCJy9hNVcvThS/cEwk/QJQvCP2ewgzhjRzUV9ezQ/Zrlygovo6bTgC+CKYKpoagHadK1lNE7ioNqmXOMPqHVwKhXW6ulwLoOyAGQCjNKkyoD10XxFSM6MxctMGvC2m/YyK40yMQ8DbIEJARe/OZJk5GOS3H5aRDaMmYlYQncxFXA3N1x35N8TLhEuHSCV5GZVdK4H48TXd7j/PHW4K2IIA9ngoolDwBiWoMCbOMCQ6oH+KxBTHAnI6bn+4OEoQoGMxOkfIcTO+I2p0VKmhUyWDCk0QJaBuaUMSfO0dvL/S9/+evtflP066jbELsMr62V/9P//D8Hoo15rekXWrqOfU9/K0v9lGQ3R+tUJCKCuQfcbIhJBWkBEjBogyOuSmq+VVDxfSWi5c9hEhKwsjxcFh4CFAxnNWBmDKq4SVdOg6eAiFkkwi8mcjJVoM64ojs5pxnsJ3A7r88D8iJOYLQG/IN7DK+/PFPjU4KBMx/OfxEA7DDKLLOONJeP/NDoOFIsnrU6Ajgjz4nyPocN0fLly+f768un109FC2IxPPXc+B6O98Td3efoo7ZWVJeVaerFhbnPITmQTtwpFmaS6TlxZ2/Oq17nvMlrREh6ort7fkkBMTEikAGLb1dKoUjRGeYAPGT5WiK8kJk5bNrkeVEXsiObhv4gLZLc+3jkTafMRgZoCY2MsPQ+Ynny4AWzaXB9ibUPXC1VwP8nncMXGoOcXjBTr9E7KMYYtTVhKF1SfhURc0wWUimsiVLiRM9jPx/sgEvXmrCjaCFmYpojHy0Q4YoCbwgRI4f20IsWZpCYuPchLGb+PE6YFbpHkAsLuMNaqsAsHmQhnGwEyxoV4eM4JxSqQPmnpVMh05yzaAFcKaqqBVQFPANSCqXoFO5D0SeWqx6OKi+4EZjDKAJ8KpSRc5yJmk6bNinlTv7+fiBqupZ6nMf1yPni3YO/vXBwQm90TVrXncULSOuxxOdk4aAYc1xkfrwL14DOeYt8mqkoM4U7rg5Dse/ZLTF/1ChaLXJkb56jfCrRfshuXAeGRRDc7kA5IQp3KqWk6P08oXdlJzQH+Jm2kGcKCk67aFD4QRsFqwcdOU6OmYkXolV//eXXT1++lFIwA6H3FxgoEMPzuPzlL7/C1O2qwnh7UTTRMwrTQJOchYojrZbSu0ZSwEeJk2BKYmJKMnIeMNdWnQhimUgQLJfMP6LhqDpZ/ZlZJJC3R9DR5bEqrItaDhp7LFEIT6CfuHYfXtHgODNxbmhzEFk1OMItkaXkriRug5u2tBvrHq1/tVCsjz+G8hm65h66MMOANSBnkfXAVHv9EIqYbkwaTFW13bZtv99ut69fv7Rtw+hjZsThjhQAvw4BCmp1+5/+p3/vThyEZG+VwkuXqEXmzAwmX3S0fOIXGTmRwLXgpQU4MsTuxJksEXadc9fMEHlgMwxNUUeXvmbliBElnQy5Fsx4bNZrrzDKL0VB9sBcBU+OCIoM/VB3g5Ma3BpUyxyrfV5fkAhJs+nfwkxmebzx8ppf+5hspvBrQD0Kd2K57SHw3oA/4Nrl8J2vAVOWhdl13XhZ8+efZTZiiggebMwH7mCPOGKnRrdSCqjM5DbGUMUKAa+uPdxFFW/OnOlzhb4YqAtuFjpuStjN84/LQ1ooLQ3D3c+zmx1wBVZVPNDTZgGlYk3JF/iOJgCbzzkm1AkgO+p15VcQGCp+MpXdPa+GiDA8XjCS9D5w6vfeL4oadvKRoKKAMsckw1JMg/fLbC63cFhKBEtiqp6GWut2ZN+KXWYm9OLkKOmBdjnm03pKiWg94Zx+PmizIvMgacwpi9FvGRUVwjKX9wKqE1rEVdNlv+1Y8MIs3WylmWb2BAWSzvCmgG+JGpIlJ28ffMBEabqDXmhun798/uXnX7d9K63iz8cwQRR4oYD0CFP527/9jSK0KBD89QUY8mSMU5pfL+3IPupfXAcgLo33MTiYFu4PSTdqvaVLKNSAJMvx5sLxUVfTEwSXLZWDtOLZCe8+rAdBHF5EWHb3MHdyrJSJcuYiypdhWhowiKbzH9YvKPRwfbhQufWsBEAhvPP4OpGapo/ueD0fxMTx0cwTNhk4JiFQwCmVvUkEDshpEz8cVxhPLQUZMnhtOkWt2//5f/lf9n1nCncfc4wx5rD1ZuWiIigszMNZlGJ6BHRhQabInyDpvaukE6SWzLhY36sASSyKYxXWsqtYonxDhMLNlz0q50kmAc8KugbN60GNVNxgLL/o1fmcQ8K9jhEid7/fb9eyhS4fjlxLOsAsWp2a2QS+Bw+mhUBSRErqZEUEX60fPkAkdJuXAGu0NGS/+pWF9+bddDczFQ0OISGiMQe+ca2VFny0ztGYNtBt4fq4OQmhVYTgCOy9lr/XzJyQawhi4g/NAQCfayCOBWeYmZaCSR0L51WyhYKcIkOeEyfAGX1FrEx0rxEuvvgLCUCLX9jgOkzWY58Gse40l37YzM6zL1dgucolRJ1whLZliILqJiI+DNF4UJLXWpl4jBmw2VmFlQiB9cYiNi0kMMfgpyGHB4gQ1nUOCQ60BR1ZXfgGnvr2lXKMF5d/WICZLYOH3Nd9BLysMePj3QdiTGBS4YnE9ClsCw6hfN7yd6HrV1V4zJsZXPcBbeW5woscEMTE4XnYrO0xU8S0gVlTRPqYTKTKpZa//PWv99u9bRut4DC8oL7QAvxws/nnn2/l/ft31TJmXxWMWmsifJ79/nKfc55nvwB0rEkj4rp2bduSjWN+v+0TQD9n0WemMSakmKCNR0agiDD3MXg1KelcCAhSFPUxPKA79fBxDsjqailjnNkXqNRSex/0g3GrSo4MwHyZybA9d2gCqHFbY0eGfzrHOA9UmdF72xremyzWy8PHVy4H9hyWMb9JBwaDESiz+8ceG74IGCR8LYhwzsMvOp+kq8mFybaIGUThJkX/fHs/e79tN7DNbCbRM9Yh524UYcOej+e//e//ZumQ7gEq7eJry0rCw1nLiyuB/QS6fOAqgB2hAsH7A25MQswLC4ZhC/43gV1hIMVIwUVRL8t1EuMaJBrXOSFagGAy0Won4bFT8kxgouW3vgZCCZQilgus+3HMzyeLiJgs/XjTnBlnP1p9cBWCiThwpElAMioeuabKF5tIiIwJzBNiEmG3QKesWqZN4cycCYrRhwhVLjgAsJHiJKwQmCcU3GrJEkNcufpqS4mATKItJXSUmCWu7359KfxiXl5SnLUpstn+H0dtNG35u9AIExHxBxR5YR0wZoBpGu4dsbvlEZsObvlqoMxBb3i10piwwx36Xs8F5iqdaf7Bc04FjTKBg7DUcPE1HeKpuO5yLDA2fviL1iyek18kaefC/ZjYHMnJYHwlKwplNNbRmKNsLosDjZQsMRddk+XqYsmMV/KMEPnSCWEZhoNWVS8zZ6g0MHwjexk9vqom3E9EP+Qy0fo8klRBhhk0+phpIMfy66dPP//0c9u3UiplS5M900zAPLBDep7Htz++/fO338v+sjFxMQkiG/Oi93358vk8j6Kqt73Weh5n2xsRw4Eu0piFamuo7LVWB5u1aD97a/U4+n7bJn4m8bSqqjZt25p7mNtumagVKxQFd5GvhXM4DoM556dPN/Adt227Qrswd4tEqWW1h8uGW+T19e7QYrjXUsF3ImbMobiLoG+a2evLzbE0k8/JVop8GvgHL2+IkM2stYbQ1AgS5fhw7mV41fEKCHVzknylL+fnHCmFRx+awzKnqfq0oFDROWzYZApieR5nLRxu/TwDxdKmiIzR55g2J9yn+zgej0drVXiDvZcWRv21OS0THYxZoOQqWjCMRwQUy0AtpIiIwpeOmYvqcZ4qcp79dr/ZtFprUJzHuW3bGANuZe4eDjMj9nDkxGJRAfs2YlaVOYwFAhZCYLKWYiABw6t5DGyfVNnXZ0A3h9dPRKZlF4LKCEplH6PV2vtAR7KWVRh46ThOdKMBL61F7mImdweHBLUb47aZkeRC6KI8tdbO51lKOXu/3++O+FJBDFZmq13kxdbaeZ77tvd+llIW7dXGnPD8wZqKguCOB6XhnBOnMtj9xEl2sHRZEDfb9x0bv0iDX0IOki8K2bWOAl4BfzF3R7SvmdECyvNgZjHLVxWFFIgWyB04MYEEeABzyJkVuiv0Cjhf5jTQxYmw6QotRXA8uMWiPFzkg4jA18dZnhcfx0wO4YSTG309WPOcoYl0lX6EhUTkuUjEIGEvDBOnAjOvqICEFK7zJSgIftrXzhbnIN6PC/b48fhhLCYT/M+LeVWh/+HKw4WUqPduyD6iYEJgUUJzKBpIVEaFiNQegxfjeSSHE3KWji7CJLzv+88//fzy6VVVYcjIRMKKE1dV0eR4RO/nn9///OPbt+M43K0oCxGPOYhDi9zuO1pLUYbx2XEe02bbKmhweEBZmAhMgDPSDI+ejycRbbKXqkGhwpR+baZFBRs2DjSwomo+WYon2QAdP9aYptqYPSjMJxoAFvIx3X2M7uZaGn7LHNMpfyOaLERuslAfJsxCMueYc5iZa9GiYw7GjHJ5dHME+/E8mHnbNycOizmtVPj5XJCxmA/H0O5GRAPByFTgCwhJeh+WJUMYWzhJANfLYqRh62hmWqSUgj0kClZlCuLwaHsRY5UCg7PWGkwpnsfzeTx777ChP4+j99778be//f14PrWUl/tdtbiZsQWRFg53rSylMPYCWYhDlJhZWeYYpSqWRZArszAXjShoCNreZp84Dre9uTsHta1GOESe6EwkpfvMzrqph5eCMEJSkmsfK2l+qQG6E7NuDZ27inhrQYFj+NrHXGDINVVIUUN2tAPDDcxkZj++n4miuvkvv/zcz15qsTkVHuZExJwMIsp8AsAyc8xt390NLrPoykHMuO27pOg9kIKAbSoLt7ZpkefjeZ5nhO1b/fT64m6tFaDqrdY+hnQOj31vc85tq3NMsxEB+ffs/SxFRZQlSkUGg9uMoKRoU/jZj7AoVSH1AlInQiyChqyf84KVMSAex2FzllLdHTJ7itBSEJEdmXEEgX2ptV3r1qtbx74o2TIjRBjU/uN5eEQtBYSf8+wiAq4aEykrgA4AaHjjMEFSaq+MWWqt5ziZyS0tKS1pOcmkZM4/GhSS6xbjmlw+Yxh6cnZfTHQ0ZHH55+SwYh8w/8Iti1QYgikr1ANBtOaHNV7APJ8I+HBVBbRL4MIIubtblCI5QRh2GFgF25zJiCtacj3DvFwGQKdc4zhltjLGUyIaY6BPNXMORpH5/OXz5y9f9/0GahxlShXZNCg0UYWY4vl4/v7bb4/ng0CXr7XMPtq2ff7y6uYU2HHF8/EO3PF2u316fZlj9t6rytbq6KOf575vFDT6iTDifdueNvdtm2YEFFilVC0qZ6ZD5B6XGJ5NrCK3fQcakqs2YhUZc6B4aSk+BtYSImLTWtv62ZkJZbTVNm1GSHgyO9fKl5BWShBGFxYpx3mqcGtKxKHwm2OiUBFW5jmZed+bmXH4efZta60pgAvvsygyHeM8zwgym0Z0v79QclinlkwaYaq9j1oLfu9wmPKD2ShENHwwUXjKpojphKE8Vybuo/s0IgmiWkkE4S1GEd///PM4n8z8eD6+f/8+xyCO4zjGOX777be3t+9zTCGqosIcbkCHGMv5IiLYUlLvpzOrca3FzUvh5exE5G5zgs5Utbk7YJzMDRceo7PwnHy73aCTwNYRwKJCkEkx+vQwZt7aRkRnP/vZIwJmyODeRJCZlVqLipnP3i8Y8Hg+0bbXkss9MwP3kYhYGFiWus5pMHTzUnLDYDmVgyKia2yPCB4xxollJLzAUNCz90gDn0UjZIIjExZVIjpGVh8Rcrda9fl4ehgTjTHut/txHMJBbuM8OWCxGswR5EFuNkWoj5hjRNh5nl++ftm3RkTvNo/j+fXLF9CsiaLVhgaXssl1Zv70+orDGA5CUkVV9xsCAzqLjHn2s2/7frvdxhhzzNvtBrSPiSP8aXa/7X3019e7e7y9fa+13G4bmLjP5xNYvBYZs88x39/fSylfvnwhit9++w1BBfttI6LjebZWH/GotcGwedo8n+9JzyNCnAbaXnff972W2m2exwkYUERrLX2MXNiGnccJqgqdoaX084SxI1ByImR1iaqMcUUtAeHJPA+4BpRSoIWG085V36/GHKBirLE+q38QZT57OPvHhuoHoAm4DAaIj50w5RjhK6cePYqZJdrGjDkAGxlszhRP7HKLSSMA/yEBMAIn1goWDMRCEPHl+HJ/ffn5l19eXl4RqppcmIjCFE5MVEBpJZ9jfPv27Y8/v9m0wDoWzeB//i//SUVKq+BfR8S2bfCh/uPPP1TL/X6bYy6nxlkKGNZKRKLg8M6KfAxPqpGK3G43Nx9zqCqaViZS1fv9ZdpEOdj3zS6CgUcfSGpV5PpCjyqquOsUuU8+ey+lMIWW8ng8YFeCEwKO4XNZDcNkKpZnOq+UavBkw6Mi4dbcbCJmCL8MhDl0DVhcgzcNCAvfqI8OqywwRuacyNqNCJBYYN0THlAnYE6HRHPtdxgVkODNDcdzlvAQKcxk3s2tlT2Iptlf/+Wv/+5f/9XM/v73387+/Pvf/kEcb9/f7vcbPGfCXXGgCVOkHbmIHsdTlVlJpeAtAiiKwTDxKAEyJiICRBK1J9akPG221jCJn8dZa51msOUotZ7HYenVU4gZxXf0gcyKhGiA0WXoW25cgVGgO+69+8oTx5+LBge4zZgjImD9iIYUeuBrawfQAMUiKMzczTL4UDgRZBb0Yu7Wx6il4s08+xlBIrxtG+q/MD+fz1ILrH7c7Oynirat9eOstZWtvP35BrJDKQUWlTCvjYj7y230if6gtZaG2O7wOgWH9f39QRSttXCSksEvonLbbn++fUdji/Vgre3bt2/7viN59DzPUvTt7b3Wcr/fRfT5fIrK+Xh++vyZmN7e3kH5BVMWezVRud/u7+/vgCM8vGoJou/fv/fev3796fl8us/b7Q5Nhk0bcwozQju2rf3xx5/gzgKv6Gefc5ai27YT0Xke7++Pfdsgcj6O02CbEY7B7vmESWru86/eG7huGqy2ZjbTNGEhM+EuRdHU45mZY1xczDnnBd8BLlvE8GyrxxiYbGKFTeINH2nntfTBnHD/6u7JP4h5SRC61ii0OJN4nBL8SY5kfjMMH/AkxgL/OE9hbtsWEbVAfZIra+jd4IWHOSAW1IZPkhZvTDZnEG+tvXx6/fr1p/1+49RGJEAKiBi8Iwqm8Ofz+Oc/f38+nkAXMQa5uYjy/+v//Z9V9XgeZz+3trVWa6tIGYapk3te2TmstioIjZmztcosz+cTKerY+k6b+7YDdIMPIno61FyQujT9rBN6yoWVu5ayaEI0xyyllAqxSQbMohWFtQNR4Id7InfOTKKads0Mdo313ouWtWDwUupc+XZJcAhi4cv8ZIGhaQTE/OHDjrp/9rPViptsbkXLmHOOqSq1NneHqTU+MzNjEb1tGyIHPAJgN9DAWgqtSQ3w8XEctVaEMBOblmKWnct5dCmCJE7grePsqvX+chNmEZrT5pzbvglzBI05qhYmMRvEXmslorP3qkVLHaODUAEnTqjtsQFy8z46JVFMai3MMm2mwYAIIBF3v/jysZijuKwgeKA9x8NDiyuV0lOiAEHQPShqyUUo6iMzYxJFfEISNoghPkqF9jSigG0RjvaBoFqKbUMkA8VKREIf2s+OyuvLMRhnibCAR7SIIgQTStQagLAZsBWU2jdzABH47SDh9IXUI0mbiSmoz3G73WwaxFQfvAaHxBKyowCBL0AESn6LoPuBB98coHMFrCzcDTYbV5e97/u3b98i8swgorGYGqraz04cW9tAgYVXNqziptm2bbls6MPctrahGh7nSUG3223arLX2PmDz1dpGFN+/f7/d7q1V5HiPOW7bTYocx4kZfZrVUt7e3koptTWwn84+3Cb4FKXUUtTMj+PY9/3xeCdKex9mOs9TUqSSPjGobiWjH3PpICpFylrVQyCJ4zY4TQF6LdUjxuj6MTSkk7Mv3lpgY5HAF63h74MEIIKNlF+0NE/7ZTjwLP5hTm6EetW2jYmmGVwFVcuqfhQUcxocyPEzE7tXjRTKMV60pLetON/9fvvp689fv36h9FfP55Y5/aw42box+vj9n7/9+cefbo6ooqsBxcfg//h//7/WWmsrzBLmWvQ8zyDaWq21PZ7PUlS1LBWljDFAYxpj9N5vtx3ywvfH+/1+n2PAtACW6K1WIkliA3HSJFqbY445SillRTMvtsISUjtyoApm0pqW8bzIDIFyhqYPXYMHzCYDbbuojt5FFOWy1QbODCDnOU1V5pil1jXiOTO3tj0eD4wUFzmML4F0EO4WfjFa4D4GMqGO52HhsKVjkdE7AjwXZRmdddIqaAUhocNdLkkzIliY0uUZvCmd0263PYnSx1lb2bb9eB7m0420qFumqNdaxxyKbTKWePDLLWJmmG9FCm4f2nNcw4uigyEJu9BY+0xMl2j25xylVID4mMbwvjER3DU0o4HSbDIi3Lxtbc7JK78bk5+ZjTEakjIvkxzmOUdrLYJQ7sNJJHu6q7fCz8cCTVWO41SR1pqoPh8P1ZKH9we3zy/WIP4sWQ5uic4xZdp7UTSFGCLnsFxiuwtz7wOhadnYqj4fj9KaT9v2zczOft5u91r08f5Ulbq143kQYumE3UKEz+OEvyM+Dwxo3ew4T2bGuhgwPTOL8PP5hDs8UQY5IepWS1mJ9sIsZ+/7tvUxME5t24ZMRzPrHS6/MuYEhAK3g/M8+9k/f/7MIs/HY9t3XOG2tcf7o7VWiv7+++9ayv1+V9U//vjjfr8z8x9//NlanWPutx0ju9mE1K/WAnaWCI8xH8/H7XangPrdkbSBNsvd5xy3/UZMcGritHXz3vu27UQB87HeT2YBrPp8PhV+Ryncy6UQLsgco6y+inJMzHSjnMOA2SSgxJF0cDBcASHnPtkWkR/N3Jzj43VI3l3GzefhRKl3UdUMunCPiD56RKiWteGXRX2mOWZt1aYB9DdDiLem2yCnJDh9ayJqLV+//vT160/b1gAigckSQchJBpHX5gyP79///OfvvyOJPVEvRKS5ixQWinD+j//pP+z7LspjDArSUrbWeu9jzvv9hk04jn2F654gVSp86S1RyGqpAMLAWOClusRrBnajiuJBxJyF7Ivkws+JUogijvUgJjUs93JzgPFTBCa3vuTpIEGDoTSngReoebTwddHxoFyMApUUoy5lWVhSR9A7FFiLJNk8pUbKWM3HIm4St61BwIKB+vOnzzCXdvNai6+84t77fttR622ZL7pZrRVakvM8dwyMIkTc+zOCoMnsx4nYLFWRor4cDr798ceXL58Re61FeOUppuVWEGRftdZpU7WMPkQ4byXa26Axui4sJShabfgbTAa6xjteQ3eqFik1GKBpoCFS1cXTRyBGEqKwvMUUj1UzVq9o2WAduG2bJ+EnailjTBF5f7zf9h1FfB3VsV5Lhv65aB2jj5nNsqd1Wn4kyXRVHMAK5vvWmnvkO6PpM5h03jRk197PUtrz+cAMh77k+gwAUnBo4fCotR7HAVCr1nqxsHH9QQZ9vD+Iad92IIfmhpnv06fP53ECZzvAvhOdhlBZCBIdWBxSjuHVjAWGr3P6eD6D6PF4vL6+IrkXhBkixBmmMRncapEy//7+/nw+n8fz65evSfo4DixvUYBQHI/zyRgIlbfaamtM9P547PuO3tzM5hz7tr+/P14/vVLQ2c/bvoP6+f37997H58+fez9FpNWGm36cx77vOU0WxIoNEJDQccP5B4h03iaHmmLW2iJozqFSVDNsGcf2ekQdoiV0CTifRNN3ErebF/Wcl0sE2gtZgrgPb31KbZeIYLvmK+hxwjuPGdsmZt5vt1YrhoAxRpqdLM+lNXOkEjv5CMwonmjzr5UDplv86S+vLz///Mvtdiu1YjBamHPq3sEpYorz7P/4x9+/f/9Oa5EQKbxIlxp8C2bh//X/89/c4zx729rbn9+1lqI659xvt/f3d2HebzsIwLf7ffQOBAb7cXO/77c+BjHhC2OUQzu27ZuqHseBVxfTenqy987LEQUuEZr2pMrwAnSA2oG2dHHdGGoRLVqQrQitINHW2jQLjyxAjF1QhW8BHuUxBjOpqCIFfoBsRyA/ACA6jkNEEDNgZrXVtXgBJ0HcjeHpmmiJuYcol1J/GDDTmX1O83BEZ3hKiq7/UB8jIrbWxpillktaYXNurTH8PpFkUorNlVChAkdydy9FmRXan3CvrYE2h9YbTg8AtSLCg1qraLpRQFtrvfdIY/SAhT3g8toasBrg+GPMVJOH48AbY6goNnj77RY5nqcrAy0lFJr9OTIvoZSCIWDOKZyhr/no936N1bVWVXGLOUfbNnfv42y1iejo/ewnEcEIcwXUsLuD4oJ1DhPV2vC0gCcy59i2rfdhNsHF5kWvBKF5jLHaxjxdWmvvj/daqnuUokCIS61zDFVBCB0yI5EGfBzPl5cXj+i911LHQDiE7/vNDKE6CMbCdlTPs+/7Hh7Lw3mKytaa1swaej6fIPDX1hCHZ2Z9jp+//mw2h00O0lLcrZTyeDxV5fH+RJI2M529w6/tOA5ALqOP2+2GquSWXKBYuZ61VVoWCN++fau17dt2nueXL1++v73db7fn81lK2batjzGQLcP0x7dvrbbn8/nzL7/s++6Z0MuP54OJ7ve7h4fT4/H+8vICqruIvr+/v768oPFvtWHBcx0DsRgvCUGLnMdZW82R6/koWnnZ5rgj5DLJoxnROie2FBiGMNVFpoalASoACUB/yQCOrMu8pL9hOep5hK5xOZYMSJYRhbsRC6C/fdvvL/fweB4HOC9IRrrdbrwE5Ci+Y45wL3q5wsmwgSKGjvMK5tta+/zl89evP++3HeZaixG3aFRgwZCYzW///Pb7t9/72XnJV9eCA540CnYiM7Eo/5f/+v8kJojx0GcFRe/jfr9hIsZjEZdgggnY7tYaljbrrAoEtGI83/a9n6ci7oDZ3VHmiAjwRG31PE9dJsN1xafgtUEoMUUgWjqCHs8HgnBhan+hFiCYY2cbsQa5ZbsGgBihvqD0RYbPEaZCtIQ4oq7jV9MNjS7/O12+bOfZW2toMPFb8OtFMvkPbRcWD8dxAgfs/cRsAQLM1rY5p4dxCCsDFw4KGFmPMfZ9E1GooJn4Ap0u5BogBhGXqkQ0+mRh0MDBl8ePaluDBfk5Olr+K34PXcCcA6grEmYARF7uBemEsdgRaLI0B+rANkjXwnxxz8k9vSLAsZljwn0eexQRmcugWEUikwxcICzKPgUycn8eBz4qmCeQUk/EtLlj2oVeDMI3DIhmueDdWjv6uWBdgrFBra33c9t3HFooGegDrsmstW3MjsWpZ0b4MmPEg7cMsdGEgr+0QFsBV4pZRGSupGXEQmWPxoyLhiYDKAdFaNHn+/Ps/fXlFUbW27ad5wlI4fl8wit43zZ3RJbzNAPCw8T7voEGWkvBEgh9FYC4bdtQIoXlPM98Q+H3EH4ZAc0xZHFJMThiHXK738cYmIHO47zd74C2KQIWQxDSt7YFZWiiue/bhg6vlHIcR8ZmzIkei4WV9fF8xHKCuao/4EeY1FJEqRWfcMyxOKzUR3rGea5mU7a9sHvGdsfM897lqAoK6Q+c/SWaQ4uGt+zaVK/7lSRUd4SIpdNMBKlIn0NFa60vr69ouhGfDldKfM7WNmCJ7tFHB2DkvkbbBWyOOZL17w4I6+tPP335/OXT508ioPpCRn655jAQ7HB/Pp//+O0fj/cHfZxk2W/CMHVVvhSIaa38X/7b/8M99tsmoufzYIEdYyZrY05EyUOngBUTfDywskPxArbQEGPWBzEBf0ywHsIoIpsTU5gs73ZajwstNEEy5DOQDA6lEqx0YdcuymYOmZWoIqXLzC5PBXzaaWnRDsABu4pYyBo4zvaD+Sgc1nBmAAvOqg11DEXvXRhFbZhZ2zZs2zWD3BRsATxAeKXBq8F527ZtjJGR8R4e3mplkeNx1C3VIq02ENQ4Yz1KPrb4hCAjFY3g43jUUrdtj3Do7M/jaG2jlO2Y6sX5oUXKZuCwuClEhHUi0CocrjjbdHntUdqwGPgn7o7JFwomLEKuHXvS39a0N7Lxd5C44gcQCWQKnK8lFxIKDviiMMmcs/c+5mDi2+2GbZ6qMokWASHNzYkZCwlaf529g5OOtxSF1bGFTfdAQvZerBLg7tu25aInAjlZx3HApPf5POCYhm0cEddaIgJ+QWhC8Wm1FJW1mFW2acCdmWjf93N0zGpadPZZW8WCCn+Pj2dz1raBC48ls5uf41TRbd8AE48x3L21qlKmDQCwOAn2bYulRAUbEn9TSz376R42Z6lFRa9k0wh6f39/ebkjxRfmlPjWqjkNn+eZjSrR6B0vaYJdrYI+S8z97EGOsab3HhTKEsRjdLySbr7tGzOfx7m0nG62AuvdiMhmbmtw+l4IQURydBDlhk4IGBHuPtazsiwmbWHU16Hrq2e/DgC6hEAg3oACSkyScGVEcnBxLNlyifhYPCzj/n3fb/dbrc3Neu9Lv8JmE/Y7bdvQFeEPynEzgpdYLzd2GWob7l5b++WXX15eXvb9pgUm4YyWEfUtzYI83P3bt3/+/vvvl9ZaRBxFSfKdhJnVOmVTWsH/4f/2f9n3/e3xvm8bEW3b7m6o+BjB0KChDRHRAqlwLkOCl1UObtIcc9sbHO+ezwMak23fKfNu0uAJVxYtAzRckAfjW4EMF+5aSqvt4h3hLMOLce3uclM3p3vs+wbj9bXfG6o65jyPI4Ig4cELqarnebgH+HCqGuZBBIoeHjVePZ0ud1ziNFWG8AxGm+gc8RCkVYbHmOP5fG7bjiCRCD/OEwS+P//4s7by+vrpMmJEnR1IT1xa4nVqMo7ux/MJwxxdJrHwg8O/nTZbrQBI0eg9Ho9SSoSfvddS0WXEytOAHtjMW61jDncXUfQUS5uTY1nSgdIEVi7iE04UQL3btvFqcvEs4kiAj7GI5Ep5pXtf9Fx08QnZq1iq7QPsINQmVX1/vI0xQELfWsMuAWJaXrRu5oyHZRGzqaxOoSI4Gnm5dIFfgS0ZqoOZl1rQf5lZ21o/B/jEsH6CChW0USYC1rd0Uq7wNCUQMPCeNwqyZbCIN+j9/bH03gQaDwmDlYhbg0VFP4eHiQg4kfvtVjIxys7zvN/viR2bH8fRx6i1QtmLez3GLKXkVoChjuJL6YoDBoWbiZ7HAUU3qvlxHPi0pdbeOzbVgMKxWkekIo550eyZeh8YhWutYBDh8FgaZh69w2hzjI5uMjIRDNiv1FJgbAVuT631PLsKw1IUjyJdzhNwj6CYc1DgVICTCsP6O+uSO5F42KUGv5r6q5Tj7V4HQ7b/EeFhwvmyXEJhgSXwEvoCyErXFjMW2bf906dP2Prgm4J+gqbZzGAQkl1XIjCMHQ/w5zns8hwDKfzl5eWvf/3rp8+fMFbSkqpRnncrS5zi/fH+j7//A3l5SAS6TrVrLwLwB6UGBVyLRDD/x//0H7AUwgqu1tp7731E+KfPn87jLLWQUx/AEwXYYi0lz4ZIQsL1hxVVc+9ZzmSM3lpjZlAymBlInGeiL2HX5+7Yo3798kVUz362UqUUsxkRIPDdb/dpk4nRMCJJbJoD7F78SBemUuqFowG9wc2LZL/KFW99dQQ/LrRFpPfetoaOmNcepmhBZ3ox9miBZnOYu6G3qMsXAQUCXh/TpkrBj4/wDJJVGX20fTuO46LuYHnu7rDgdvetbWgF0bmDlk6UD+LlJuZJrclNLLiM+HbAWNxj27fLIhiTgRlmhXAPd8cqvp8doxWGuYjAkh/8BHwqJLu21rANYuIMOvbMhwLEuc6eJFBGBKSzEbFtzc0RCAwdAx6PqzprUSYk8xlYtsksYCbm43nUWjBZhjtWhREEK46kM0mmPqBMl1qY4YOdB3mSApR9YlQvKiyqSHcEbx0PAC4s5xLvksjG6CPCb/sNDWwyDojmGLwC3H0adlqiMsYQVi1ZsEASc/dpRh7IShpjgN6Dgfs8T1Wx6bWVOU1F+pjbBmyWwNDD3cT/i79RVSw/VmNB4MXjLMSmTTRL/22/PY9j37Y+Opp9OP8sZQz2sYwvpaX8YG4Yqhkss8hdk1nwaKHIgpZzPA+QIDDNX7MXGL3Qw/fEPwUFBFtfEf7RlifhkWWAvNBwIsRiL90fnrGLogPOaIIMoNy4MTHmhlj722tQuE472MbEcoC4tsdzkVlf7vfaGl43MzvPc47BkucKiOzbvsUy3FVRX28l8FL8bQRBw1Rr/frT119/+Qu6fmbJBoFpTqNwFDqABN/++c/v37+jol7Papb8lC8wUy5c8bHxtOAMK58/f35/f2cmrJLAvRVmkvL+9kg+75wpACGirAV4FVXBtMuHL2yahRNzay0oSlHmDV+vJMMv7Qw5ifk45+k8T3cD52acB8G4EVE7QSKS+X/TkNhugPxJRZhURaXV5m6P55NYRRUYSHgMm8yEaYCJ67YByx5zIOc6ORtE06bGh3MIr5QSAOXALkspqKRX9DxOMjyCkHSDtApzXWI+z1NUt223nFrULOEmoiit2rStteM48aaFh5NTxPN5rDKdFGC8T0RRW5tjbPsWHuCtl1oQbLt6Z1YVYoH/HAeJKHMAQMepsG0bMUGNAeDiIlB7uARMg/N5AoeEGS51zgu4i4izn6OPtm0ecj2CxPn6EbNNS/bFsq5GsrzXkiENzOd51gIOK5z1silzsjFm0QLkARODM6tqa6uurTKBBh8PvaryOs4jAn/nHhTTPUrFrySsc5k4yAGIBxH82THOzzFUi9lc1R+qn0A3jeGj1U1EcvxJoehlWB2Lx4wWM1VjkXHQhgQCPG+SH8BeXu4563gABaUggCdzAgKy8Aw698ujkPl4PEWltnb1qu6O6F00mGYGnEeEnTyCWtsAZ2xbA0Lce7/gJrR3JKXUwiSauic6z5NJaiuiHBT5powhquAUzDkzSWnlGt7ud/x2VH9MDyICoMndjex23ykyqws3Nyh8LfxymAlSLUHkZOmqm9D8gmvgDZPIR6x2PjdqeZRgTyCEXSgFuZhZQDDPzCJk9sHspPVjOLcJwcSttZeXl61tWtSmEZZqTJJdYycWz8g8VoW965WrmGIUvEgTCUUk+337y1/++vLysvQiHkSy0KRSlELNJke8vb3/89s/j8eDiZkJLlulqmYOUiUiUSzGZSk7GY8xJwWKyxhja02KuKWcYM6hJWPqVBUWr+d5YnUTFCqKPTROWdAfOfIP4xVqCiCy1YZleqwYhxxOU8AJkFRK0ZeXl1hHNC9nN18ovGTCDOcKI4/l3OiiWXOP235D14ZgNWYC0x/PJXYg6MJqrSAM4KhI8zItUIpNs7N3QMBzBuDv9IRZ+QfuUWqhSPLoNfGN8RG12FpDMntkXIMx25yTmFut6C7GnK211moQHc9j2zesDbaNtVSgExdDHJdljNFKRWsM+uPoY9s2s4n3rfdu5mOeKgLFPBZu0yZ80DCo5rJkTNmk1brt23EcTCRJHHL3EBUEmMTyry7qxIRwdhGGboCZz/NkItWygfW/2qcIfz5zSfh8PNu2tVYpSFi4CBjiltGpOMAqPLkw8WIQSeSQAmMBr70ILWoHwUv8o72IOQYtPhIRqcAe2ZncxoQBBhakRYpIGXN42sUQMdda5gTZg91zUuQr7sPDFkvYw/tMgOjaZHoGgAhgigj//v1t21o2tliJs5CAQ+m1FvyeMQcxjYELyMx8u9177yj3TLTvN/Npcx7n8Xh/7LebYvfb2nGeYGegc4K6EL1n1Qri/8vLfU4YpFKsxD0zh7Fga+1+v5/9BMsQbAARsTnNhpnt+x5EFDPYwXfqY9RaYAriZs/HA4tDWbSu1JZfFj2XXolZWEgIynzA2ZKiVptLfo8LnkMhq7kRU5hD+I2HhFlgawoif/gye8jJIX84/WCp9oOBhEQEGeuSmyQjlK8qt4QC5MI652Dh236/3W61VdUCc4QxR19alohQKXhD4eWQaww8CnAdmK5FJox6RMzmX/76Lz/9/HMpMBFwMytpshAWUySf8NHH3//x98f7m6dNdDCLh7dWMc3UJbZAV+Gr9i4/J7xqQhGFgizMer45cwxAjUHkbufZayvCgnUUjuXvb99v+42Fa6swgGOm4zy2bdu3ffQBcAOb5HzQK3JQAxM2oFU3w5KqtlsTnXMQs08Lin3fDWG87uAPUI1a2yLq8FpqA+gUUmLi8Cit4Deupyejx3Jks9RriMjFInAPuI0nVsikUjw1U2Ju6OhpDQTH8YTOSESxqSfyc/EpG3hQC6J5Ph4iCu4Nzhsm0q1gI6eFcmKgGHNGBGjRo4+21TljzoFURaymw0nWtup5HkRUS1HBVyCwwTDNqEpA9RcELixFBDkTw6LDEoWMshxUvj+eWlRFQ6O1RkG0UJ0OEaMo1mRQ5BITK4+ZmeCSdmwW4ZTUqJQB++kivG/7nDNutG8bVmEEcq2IrBMam0lNgw0LDpxcraWidc5JTk6GUAe8uhmwlaaJAfqWsARfFq20Jm4XFeS6Sqgvyt00Ew4gV6AFAwv15dS/79tyF+dzntvWxpgZDkukoo/ns9Yq4tNs9Dx4MAICxplzfvnyJdyP87jf7td2pFvHSYBfHw69rnEEi9RS55xmE62L+xDJHHBRvd/u+34TkVorPR827cuXzyDwnMfZtrY2me5B53FELAcqCpBYVicu+BbSZNrkkUu+2ce2baVe4LVs6XfiIlJqAd0egGprVUtumHB/58y2TIQj3KeD3csItGLR9GkQFWQrKJo53CaouIPjx1M8lvKWU6FLac2WXABavwabIfEMFiSmZJxkJx/BJHgfr5mYlhLYbEZQUZ1uYc7Zz1GiCK3t+w5igpYy5yzADKch/hb8JfxM1SKMbRMg4oiI0ScLONNYLvLtfvvXf/evtVWtFYhmNrvrGwkoEhF/vv35j7//w8zwTIukCkyLYh8sLGv3Ex6hUkScmEopYF5geBXhcOL//r/+19HHtu+lKNgO2BWc57FtO1Mke8cm9uZzzi3ZL4y+GP6LuKyQ6kEWgNGBKDm22fXADhOef8vnB9S0UsscY9v2aTPWTvXt+9u2b1BsLQhlnWD4PDZhVYa1BjIzwX6DWIHTEqQE8KU+WmuUlsIwA8G7CmftqSLw8AEoZBlmK6oCxWZQxiiexzHGrLWAqwdhMLpX1BTOuY9VBVEEz+O5tQ28JvAdO9QDnIhK+gMTXSDptCmszIvW5sbMYyI5GkYi6I+499FahSFErZXXpMJX9AcR4Fe057f9bp6+SXPO98f768srlGsgn4AxhskJ1GlwrlFz4dgOQB7WHUFRtJojUD6O41ARlAPkiV5DKLqNMaGDayriKbSZ7q6ioNmcvTPRSrDiC53D5ilWyhj+dxqcb+VCfvEw1Ko4jPFgIGEck7WnP3bMObDThqAayAm6EAYodBxoOzAPrbBDnBMjoKoXtrU5AOYDoVnKRzCjxCXgYPhbcHLh0oMaNERs3bXonOZmkDj6EltA+bHv+/M4WqvH81DVs/fbftMCUbSWxVMSYTxLY4w5BrPIukRmfh5HqTX5AseJmwgja1lBaefZVXV9wSsdzGFZIaIOkS1IikT9PC+Jjyxu7tWGY7+Y2n44VYgOy1h4VU2JIqQukcovrJ2vvVoubFegE6bt9BKOVMzF4nEui4ds6XNDEJnud3FAM1GJPpIMEnFiArlo9K6lBMWXz1/WQjSjT3sf53H0foKGR0SYe8aYQIaX0jiuY8CWtXUt5adffv7151+1qJYSQaxwzcLKIzNOiOg8jr/929/OfkILl2x1LLEW35OZItJWHf9AVpwJXnykpynsTET4v/9v/w30DCYC88fdVQveQHwTN9daikjvA65w6LJxquhiCGD4xfLqY8+AXiwCFoZ4sNBrY/xsbev9jPXu5fvgmRt85Sv1ft5ud3MrUohpvQzJosXnxKmASwykFYi5L/ONuRJfkx7AHxJWbOoj8DNTNoxjD6C/LDtZRNhTrvtYS8GNhPomU40AjBDTIo9H+EI/hYiO48AsjBqNxXIm2614P6h1YAGGJtHDYSdAHKNP6G7g2MUieBtBV4DuATShWuuJn4D3s59w78ISYoy57xuSRXl1l/jInHzHREIh5pKPnVheh+uYiXAOzgkdRlrC6b+2Yj4BVYfHxYpBFUhrOU+j3fxvBGQNTFxq6b2HB8yLAE4udIVKJt7R0oHk4A8QYwGPtH7jSUFadPSx33ZQUABmXob+mGNG7yICDmVrjTOvHDphVDeZA7nHDo5cRIwBnnvCmW423cAgwN7ezI7j2WqLRSUS+YixG70T9Lpa1jVIW2D0xSwYUwQNli1PGxyueMhVy6WIBHPBF+kI6zMsij0Df/T6t3MOWK1g30sXpLuOXs7em0CpQI31jLgg/HrUTTwD+NYi8A0rF4hKy5AHpJcwJ84oOhAlFgcnTw5amxXsAi7js+vPcnfsdRN4zORtaDChl/6YJEC0hPYwHBBKBt0YsH8isDZjfQzwGGG9CbJpjm4q379/n2PaommArnoe55xzTmu15rJtdLz16DDM/cvXr7/89MvL6wsxaS34UuDRUKQHWniYzW/fvv3xxzeQHThfmpAVQ40mEq0QZSBoIG0FdORSCou4GdCLvEhORVh8ZRxevKg5BxEi6GCIxkT09vY+5jAzVoaksLX2/fv3bduA58Cnd993VC4pQhTH86y1EDGU0KBgYg073dhZdZr5tjWFcyG2bSgpMFRwL0VFdjObc4bGpQ/08JiOqwBom3OHg5sHhR5TBEz6RZKQDvONfdvHHLjlV8Gi1I7nnqhWxSkCEjKc4sfopZR933of4Q5tJ4ZxRH+A5GvLOsJBQIowN2GijF2TRTGm7K3YhHlMw0onR5yROrVpk1mEWIswMW8Ciw6Eg8NDkZndcxFNFBmSntGypZQS7o/H092ZZL/t7n677fimoqJa5py1FbzSoPBjsWxkmVvkBB3/nNNzlpSI8DnP84S3h7BO6601eBrZMBHhJaoqpVikW/0YA5a/APoyT5yZ3EHVh+/sHANiUdQ7ZBqzsHBxWwmuGXKbwCAt2h9Rqng0R9s+Ri+lqpb6UiMICAaRJ+NHNQnUzNwaLv7tdpP0ym1jTiLGQ+jmpWa7Q0RmPseQgtPXS9F+drzGTgZsEHMMBllULksKRzJ6gwi25maGXhjVnzNdTtFQrD7MSq2g4c05wdpyc9F00ELLMuespdZW0XOMMTjXKHjQ6eLyCRZYV64685gzUiibfy7jk1t4BK+A3DyAV08Ti2WH4c9TaZG/7uwn5D7o3ouqLFcuXy5sWH27JycbvXl+gizK69BZXB2+AsLWG8vwn0/zNUS0LrtZAmEUQpvEf7DWweOEhgwbJhZq23bbb7XVWmu4C7QmwjYd/TqQdwIrgdJWXITNDW0BVoMT9JlS/vrLr6+vr7f7DXRbZiENIppzYEEdbhT0fD7+8Y9/HMeTAoTszIm8FGG0TEOZ0xaUFjGdlrcVoJdSK1FA5YPoyQLqLjop9wBAD1gAuqdS2xxjWIeHe1AUKSYGjA9sH8oTRaSymb29fb/fX3y4tFZbXQopoghiOs8Tnp2llFpLa43S/ZHw/KGNPc9zuT8qIkpqVdxcd8O8spA1PHkSHChhcF7dt41Zwm29M8REa/mMnsiCoojG5QDuAVPS9P13w4XjK5eOWIuq3szmn39+16KfXj/9wJLCmJk6WLzHF98uPM6jgyeeDO3gPjrwEzAuRFTExxwiDcXdw8/HCRnUvu1E5TiOooWYns9na9u2tYhwcy1548C2vHAJ9yil7vuOfh+WLxHR+wmDiH6ex3m+vLyILM44qJ1uM+YPXdtaurrJ6uMQdPB4PPZ9x7ACujfuZuHi4UZ+ATXgNoSqzWluqQ/AYiPXY5fK0dYuPZ9mtDBjDKCRjgWgMAHdW80hxhGfhsFCeLF7VZycLG63O2JqFg5DolqrTAA+K6QT5c/dMX/4RVgUBebG64OZOTrZWoq52WnbviXYKopjSZWzzWc4YYiHI/opx5hVX2XZByb3cf3L1FJF4DKiC8yO0pNXjYYUH4aCjvO43W6tNiY+z9Mp5hgAwUDnj1xW4wKlaTZTlNoKPMjIAE665ON0wRcsXDhXcdCsXMoYOLOaea1Jf4DmA30GcqqdHWtqSbswzteZgNevSHfUPDg7rZzIAJ8dRSXhfl6XKln/OJ6zMl4E0I/LnABUItLu/nHfKRy4sJiZFlEtt9uttgZGO45ALQU/8zwPDy/JgEu4DyV+nNM8yE0UKxOHudD95f7rX/7y6fUVO5K8LG7Mqd7CAGRz/v7P3799+6e7CyXyCWQbyBJn+7UGcVnHA55PSdMDEYH7E/JYUbEBuKWnAh4xERZR8LtLzQS78zyZaYzx8vKSkBKx7Itht+jza9BT93h9/QSVADMXLb2nmCihbGLcdXfvfaQVojt7UpUBhkLwfbvd3R0oraoCUicilpzL0uMUgiymFIWF3/abouv0fNZLVbR17t62WlsNd5h8MdvFRcMTssZhZI+wKBOtlEHsfbm8vlYReX+8j95LKa3lynGpzx2+Q+HhbER0HZbubtPPeSJGRlm0KFE1d2KqtbKIzamqooWCEGHWatO0DndpkkeOI+74Ejoq9PVExCylFlluye7ORK3WqIWI05W6KMTMvZ/32w0v1HGceIxQ+v0jwiy7mH3bbDnJQMC533ZdypdrSTNX/iVOaVHBlhUECEh7EPAJb5n7/Y69H62oVUYIuBm+rEeoKFjRHgE4pYhipEv1VsSc0x2vbqrNU2M45/N4umMvx9Osz7xuqxWOBQtzhAPzxd+jz5BFt582gYbjyBHhCEaQVqU657yiDlKmHh7uY2D/FK22C65WxTeGLaVhr0bELKzBtHSukQv2FEaJaiCAWuU8Di0KdRUx7EIdgO/tdsPdj4h93yNo0mDmfds5Y5mRehljmDCTUCLrcwABxzSc+H6sjxIeQUIJjQJMgPItm/oI5L9dOCd9+KaoqGAaoIXJ+EeSIkKdgOpkSy5rF8qJSa1mfy170e6sFMl0dzd3ZqN0HAmEjxJh4uaypJHBC/OJ3DYzM5J0gRNoKfu+v7y+rtkigLfg8DCbj+dTmLUqJdOfaCUMY2BVkbmOrm3fXl5ff/3ll/vLC751qQrQzNyVk9IaEc/H429/+3vvB4AmZiqs7sa25GAZA0BM6V/JBHoqBRHnVLoW5os1Bz2aLGvFci3BUUlBnuHUVTExged3u90BLkMaBjFqSk5WCjOuT0oBbVIwoJ6FuzETK6vuGYuY1HLKkodPNsaTWYOx2FQWUEgJmxbYmclylMwjd30AEZnIimIppY45aJiIRiaREJ4Z+AhSkJmDjaJLoHRVUqgkaq1jTOJ08UWRQC9fpJhNEd5qC89wImzbRNT9cLM1upKIYm8mSHOGgAuxlLGGeqxvIiTByozBKqXAnlMETy3rC44xbIztYuagxAeRTwsCLA5Tw5yYnUJIUrzOfBlClFphAR9rxEG7geU5UwQFB0Op+8Gkjsi3WiQ8LAxYQfb4EZ4sdgfXD82mx0BbYO4si/YX6IzA1Uhq0MJ1YB6VGoKkx5ETxbZtloNKhszALRVZzWZ+LzcWJSYEL6vqfb8Ddj/O40KHHN4VLAlMs1iYsIRkVxRr5QirognYnXKBRBS8hptY4CGaR3evteUGMtK3y8yLFlAU+QduYja8iliPIFGUQYHUBtoIIuDjEY6+d05YkmCKMuHCnCSuvAvm+DBg64rsKKTYfJVSgx1MM7rQ+WWKh9EbQ3MsogddCDRIE1Ro8S0jYs7h5ppah1g10dEr4BqCkMOckxMnnZeZsxbxytP+WNgu3wFe+ZRrNspFXjqe/fDZhDkuyjjuwGIAJUqcGcZxrYgu5HAtULnV1tq23/Y1fl2Aey6WnufTzLTWRJEox4w5bZzQ2HNfy/Bt33/55Zeffv6JE73RBSswxEDuTu5nH//4+z/+/P6nSEYdOLlbaAH7ERpPyo4kUq/OIsT51SIdVWOZL0Akox5eak1BXDCLFmIqWrD5AWHrov2AKR813MKXDV5tlVkwurZazRx9cc7CS2PZzw73u1QPhJt57zYYiR80pxFRq5UEnFkYss/7/Y5LA+UBvmopVYT3LftKC9ZQUbZJ5lZBGxcWhhCDmaT3LoqhXoRFMs3HEYmLvgCOC0QIwqRkFrKwCKYWQvKDO627O4dJEeitpxm2Arfb7SKcjTG2TWEVgMcoLLm/qTmMwJl6gUvH8bzIDzYGJVEvtYl4r6Chx2hfSrE0zWYRnmPySjqN7GODVplGDg8qjnkqt4WFOEDCKbXABezsJ/C3omrmwgQWeHZlKG1E173zla9UhKcTMxeRiFSrxfLrTxIer7YlAng3E3lkBEJtLYhrKYHXEm5rqow+jhhNqMEYWVPFms6RKaiJi0J+v9/BXTl7h3BhjEFUoO0S4RjzgrP66MAYgGeH04zc/OMtxbfBeaQshA1ZkBQdvasqLIOZGXaB4cEZMcQiQJkGEyOmdA5jYXOMCApkG6c7vqYvvDHSzyuw84QDSt5olYU1MoqW+WSWMUarFEEzZkSAmwhC7bZtFHSOlD0Chk6cxI2JpWjvPVxy7LNFvJnga6yMeDctZfV8Vgt8c12EVHLNGLwW/tMQewDqmqbd0wTMAF2pm5dWlZmC5kr6pSVKz30muJ7XXjc+VnScoH/iaOuWec4uSFAByBFMay0c7pbtqX+si4g5nwoGML5t27btrVVdpiOrziZVJOXiIgieo8zyJWJ2NyebZrjUIvL1p59+/fWX5UTLzKxFbc5F+iJ3C/PffvsNlj6CjpCcnZmlNrFpUrQIEtkK2g4SVi2UwcWpbyBK0md84OoA+aRomW4RXkol5gJWGedSnltDcEpKWuaczMJssRrVCAPIlE6fRJ5zHmOyOI7jfr/fX17MjIFLCkdyrsGJBOtZzebFwwFsB3pcEM0M6d1hLYs9pLsXSUq1h/dzYGNhbuQhRSISoiblCFcupFg/QAG/jM9SPsYO/QXcQJmwoiQhovQpwg4nsVbi4NCqTMxFxpwwb9ja/TieomHTBPZe09Bt2EhZIAX1Mfd9B6skiLAFge0SzOLNDZTWyxcaRcjMIsC4We9hOI4ugcIw6Vq0KiwxS5p0utVSxpxEU5ZBZQSWYVSKkhYUiFJrKZXTmxPJDUMLaoQxCT5trY2IoAdA/cUs4uFFytVJobVeQC3zIvA5pTcLr+Uy9jFoNYbN3I6kuyGbwwIjkpyzrgZWlEmAU62M30KrEWFirq1iIXkiwpoIPB9w0iEPEJFaSh/DZzBzqTXiipfIWoB2W6QudlK61SM24AKFFlSiQTTnOI7jOI4I2m+722p+E5KO3CVwYeFz9AU/giPo164gZ+orypzXEb/uNRiiyBsID903Aw1ailPq890jfGIHnmgBL6AjcgGDYs2wBbWJbtGupMOF/AKMjTGP4wC+hNCnOTEp4oXiIsi/DZje5DOgAAnSoKIAQA8cbBnqks/OD2gPXSZOy0gnKORjFbxQmdVYJEbEhGpGJAv6J9xTWTYMlE2+4DLiXcMfLMylbloKYtZlWcx6RKuVJdEOIqHw8zyh7yFiN3Oso9yP59H7nDZF9eX19S+//vry8lJbw5OAaozhT1XDzC36efzt739/vL9zDpdJ6wJbkiJKUYBhKa8kqB/0albyCGRnFuzFhaWH45kHTcaT0VSQQlxUldJ7T21OcAolT5XgRJo0wpFOx6zLsiHwi3GggWBORNsGvEJUpG3beZ7mVBXM6CRcOuGKX/697maiatOgwrjf7iCuQTF4HP5BzcxUXgBdUbS6e7dRiOCvOadNs8f7O72A6uRmDrtjsPhzwckWRJxdvBPR1jZzy96fKE1PzUoptkTIAG7dA64sRNJHRtMgqlCSoqcRHiqr8WGaNHpPFDioj1O1YB0P6QBMtmspc1lvYtgE8nucB/q4IkVT6DuB6KG9miOdDBBgMS3YCS/YmgrRXA9UD4gzeDHCsGwfAxztljMH0ePxeH9/e3l5ud1uRGlHiqXZtIkDSUTgd4QCl41eVq+lulxwMGdKFxTyguSj0cdlKQhTa/Ml0WSyMTGymLlL6idYeGUEySRSEY+wMfF9z7ND0HCeXURKrR9e36WQGfbGZkbEEF6hJmpNJ+S0/WDyENgtRAT8Xno/8XgULX0MbLOxzUGuKl7y2+2G+UOagM/OK969lII4LQraWvPw8+xEpCKZvqdCi1nHiAdxx7cA8QYVASpk4dRCegSReXjMgWws1cLC7FmzmAmImbmZLWdfA0lMyUlYSlle68LM3LQigf15jHCHMdyNd3NHrgtwlGtKwBMOQSW2I3ORi/BUgJO5gBrUbgxyHxG4aLySZKwC4AtfwVPViAEA/TvwnwsTilgL3kRc3fwD3ydhRv4SGl809aLqluT66f6y37Z9A8Ehlq5IVTyC1zC0AAIZc1zORcTs5HPOuawAf/n1l59++nnfdrwClNhsuPuco8CGy/yf//z973//OzPJArEow73hNpb/9DrgctEmGpQG77TW4LVuqOgWEz1l9jQEI2G/Wm0zL0RUSvVwDCMR4cyqpffntVXDiQHUyd3RGM48KtImGwwEc4MqKvUO54kW3/zjlkeElsLCow+4xIgw14rJxcz2fT/6ZT7qIiLJHPXjeJZSam1vb28q0icMGyrWMkL8eH8Ek4ru+04cbl7gPE40RtdyExYqIAVK6kzCVRsxnf286nWADb/i4NGhpA8MBXH03kupOIeh2lfRS+5kadxf8NCbDVvj7R9//vlyv0fyzHTMjpDFWkut9fF89t5v+61o6eM8z5OIailpxuvx7M/b7Zb5JBGGvobFCfazBMgFDS8vU1yUFV9SCQ+CxzWcoXiBwqpKpPBn7mOoStHy8vKCqQvLuaKiohzka8flixBtkemSqDWqanN1K74UHom6Lh0Ac4H3+MyEsjHn2omZsAixEYF3C5nlBeVhqYiIb7z6+NZmYC4Jy7JvmyBl8UUPRMOHOzttMhHiHFYZ+YCSI22rofwY2T1RRMSYY3ET1PO9KoDu4HAAaZsyoo0SxsGsmSTapU0BwjYmEHAm4nDP1SXeOCl5huWiWlgoDE6AEMyELOaMFIVuIyh8OuXynMlla+nwKiIUCe+kPCUmCjGGFfQGk4iYaqmYmAXje2vUB94dCqmtulkwuTsyP3LOk0S6eS14RZCmAFUUkfzQuTMHJRKA9hwPABE5BQdM0xZZM7v4nONxw+hSga2fkJA8el6RCDcnXyVVIMAMTComongp7rcdTf11sKy2WGjRuvBnqOrnz1+QtwPgpJYyxzT3Of3zl88///Lry/3OwnAHkuVrDfy2tWZzvr+//e1vfzvPQ0XzyE/hESe/8pqaKeGEBGMFmj4WYRUAPo4aEBweU1jggXVxgRalQt1CihBxERXIX2Wl1WA1UWtBI7PE9AHSN/5trF/m5q1WSgXKwJwomaqo6OJrrbgx651P7rOqpi1keC2VmGCdGlmeCHilmc05Rp+lKFqMaZaCst6JCe7EygpaSy2FVxg0oK/hPuZAltOYY2s7C+GzqSqrnmnyA1MBWjYShZWm2aoGP2TFEVM+rAEjZUd5jhSPUGQeAPTZRNQakBre9g2N+VXTQ9zdIEfAY/d4PGCtIZKYrKpq0dweL5NRX3nW7g7XzJgBGreZF8mmCYxAoFJlAXeYVpnFc1Dh1EaupfEcg7nWqq0lQXvMTLyBOKuoQllqyy2OOemSHIsUkIWZReRSmQASxWFZtOSYjn6NGBgL0AMPL7WgZcabA2f51RkVEU7yqEuEBxHempww1gbFKWxCf5vTHsqTuzOFzZkv/IIiYX36I6qAPepqWiOR1iCEFOFAsmmlljESmYR7BxlHpnYIDhQ3kNMduBbaKQ2dZrxi7pPdQoREEboQbRIpWI26LV+TBV/MXP4IyAs9YKi1vPWztjLR0g3NMSUEO1JzkOgCwqcs0MzkrrD/W/t5S6gEobhZktHmQwLZe7flsYPJHm1i3mYiDw9bH2UduNd6NrUFFLKAZTxIi4/PF7cCfQbEATi2+EMGyPiRTGQL6F+OKakLSzuZC9JhKqW2rUEXwmkzlx7GeG7h+TPHxNbwtu3bvr3c78/jgCP9mPM4T1H5P/zrv95fX7ZtL6rIDpIUnfk0E2Ub5mb/+Mffv337RhTszEp4GMID8nu+XBGJsI+XpTghpmXwSXlKUFKEk/Dj60/E5p5zdQEMGViallJgRkgq4dhs6KUhZOZwL1q0fEzBgW5CsZIC+T89fy7dHTOpVtySxDfNPYxFfEAya4j6cjf3QPdda+NUDfsYoACzLnf+bdvOftaSx1LiVKWY21YbL1dncKCCPvT0Y0wicovW1GzWUkspHj5mjyUs5JV0RrFcs4mQI7a1BkASXDFwb5ETh+uoWoqWSZMyNpJB+8No6x7MMHm8GHV69q4iS8GbMz6+V7hv+yZpYZSMHTf34pG+bJpPM8oTsUVuhuH4CKdJ94lyHrkWQdslxGxjAqDzYBz8KDpCiocBckpAn2OaO/bhZO77voPlMM2Qw8S89rqqKOXhMedEAg8ObFWptf3wMif7JWGfjAlIQ5FswyMDdQHI4PrHAsWVJcD+96DIVC9ZW332mGa1AjngcB99+irZq8PCigeRyyoLu7s60AgCjwWHbpIoPICcIxT5+gtHu4eFQ7/qAy4UpYIKufaQsRAyyHeTBBULoc4hKV9hzHIxYWIhYtOmpZMHljGwhhaFgwJ2qgbdMWYOZqbkVmbbDGjr4nOjG4PlCYZ4WrsOZlKRyB1+ROShm7EHrWKncnltAeCyEbFU+iuORgF18lWu6Fq+onglvAFxMoKecbDljhA0irXnX8DR4vSkDC0ukgIz/4gKMcs6QSnRLWyaJGdlFlHVoqW1hkyR8zhFBALGaRZETmQrGNVWrirfdd+2rr205mbfvn1D5vmXL1+/fP687iYzM8JY8B8VtmHHefzb//5vx/GgJCwBdkOBWaQJ/vi/soKqJMnWGAwYCiWc4kppWCsimPlAaEVHtfqYpBGLCImUqx9nEhAc4SiZ3SU4YemSkdNU7uIpVqzBxA+ptSojDsUiLgIonbDDjJCg0ooYE4u7vb+/C+K2Kc4xRc3dM59W9TgO7GxbrVhtgazCRO4xYpJRmGspY45aKoC8OUZf4kxZrPBa675tUhSAPmpTKSpSg1D9k6OCqZaZ5zRzU7FaW4ShRvN6+Jip1ALBBMjUCKiCGRZwmOSWr0kVN3OM4ZpJMkibZMLifZiZmcHIt6iaYpqLUkoIFkuXLjSgHXOPUiQs6aeAA8iSNxnhFAzVRQ4KhizDjKAJ9z4mzvvhA/Mvr1DA/CEUWuqcMyharc/nE8R2XBCg6mlYuCY8gGe3/YZtFQ42XGG8w+AC4LRe4z+xsE8HfWJl+OWbjNMFw2gtiDWesHFG0VfWtZjFMkki3KYFgquAazOB71C4MCWRqRQV5mCOsPPsOEVo4VoMWX98DA04P9zh0KLuH3YFySPAiKMSSYdjX5Dg0l5pHhcijpHCAq8YdDwsfJ6ncFrdAUrFmygiTbc1eahHMGeaG64ndlHDHP7eKNsAH4BKWRjOIWJWyoQ+SoA+/xe9GpSuqJ7m091bbWuRgyM/oCTKxW/61EL4yrWmEQt6OFA/Yp2ZPzAy82iHfg2vdmQLl6MtxgZMGlcdvyAgpFPgFxct6HaTJrQmDgyCWGBTXM4lqVUmolIKuBiiStiSLxx1/ZxQljFmMMWY53nOOSLiPI5+ngjN9fWg/vrLr7XVQCPfFKCCm3lGz7ub/f7777///juFY1CkDLGhS7l1jUVSNMLlQ1HBeaaoJBiWNrfXQ8jEIawYlDFuYp3jHiJKxKx5tmGMKHMYBUlhYZ0xVUWWBetcLCUId1US67waJV2iU+IcBj8OdOZta3MYohkTSJ14u4aIHMfRWoNd9VwJdhDLgzkK9wIRqU2IYsyJs8TD920vpbg6Re4weO30SCjCg6DhEsSzTJuSBrBz2iyprcAmzbOzSJwrSRclywEctYLgqoFKl/cBcyb1PjwTXwk726vZwXM2zdKlT/Vj7k1nWvTLaVo5xySO08zNtJTETxkL0ECGe9s24G/YJmFaDA+kJ0YA5RSizDHH6YHjEG0DZLPhoeklgr6cxhw43bMtcAdjFSx7N7tCxm/77pkxIGYzzD0hfSxRJBacdInXwE0iiQTKOIeM1W7yRU2BXAO1w39o+lJhQDFHL8jKyIqQxmoR4eHKip0wRTgHa8GKnhO+J4iu4Zo3ycAv8HSGobbs8NYrd7lFkrvBNzZdDhPtBO97emK7MucsWkDETRs4ZuRM/XDziUXA7NKVsgeGAi88TYRtAoMis1lbQweGDbyIJHEL0iFKYnirFSNELE496qwWpUnYQEBD6+HmMMDAcZBAE7i2BFVmuuswVqZgr0l2G8REqkJQtUdmTeNw8v+hS2VsgxNAS+nDdQYAFMqVEsP23BdedC2uFraMm3VdeV/6gKXlogt5RA2Fn1j+OCFKp2hiklJES6m11lLhgZ8Ci2vNsBYOOIj62QPomwdMz7Ztcwtzm2altk+fv+z3G6jAqgWdHwyX8BXe39//9rd/62dPHRtDv8atNtiasihKNl0uW6zXFiBHBErcBV+DUa6JVQuzTCA8mCKFGJLyVaUBA9RWRMUtoMPGGj5GDGGhJR1kKEGwPDEfc4akKceqjBFLU+7O/ew47csHUzg8rmCmIDL4msFn8euXL3DRqbXB/wNkklJqKRXMehzScEWurZaiKgp5Ae4POHayjpzLYgxRnKUUyA44IyNYmFutZtZnj45QFL62RZgbgFyh68K7SsQRPkdGYkU4Ty6lTJswxiFamTOZGJWnQz49gHEjyfX0w/oUjKTE1t3co26NPD5K5zAISZIABy1S0nPpMlzEs4K9NNFKNKXAos/DxeUCCiLCV7xwITY352BhTNpJAKdkc6ML88j5w1cO4sxctojIIXrZkAFMh/tYyoO1qJDAzyfHWPpo7d0tuZ7uLFKKop8CUaeUcjwPQO24121rWHL2PpQEDQomelUFpwhH0ejD1WG5WmpNyHgR5vBmuhugRWgM5zRUSVSELC0JRJPZXOzYuE76tcmCEjsl0BQU7nPM5N8yJwwFKMyCkm6vq2sNSrOX5Gxg4MBuEDubMSYcWIUFOxIVBQnVCfbxlZgUyp5Vv9YeFO25Y2JDa4xX1cN9WgGPZeUv4rktqhHEwnPMgjRErOLJfRoLk+dKk0jgoqyqbhNaTpi2ph+UygLxnEOIE5xZKzZivrib2DE4/F1WN6DuSwdwjQJ4Z693za+Bm3CaRqJXeTgwZQwOU2iprW1tq8BhmZmuPFTEmWDBbm42XRynLEChfp4s8v37259//Ak7h9rqT19/3vZNVZ2SSsdCns0fjTF+/x2+DqiuTBnWjeq1IEXM1yJBpEuVJXhzQVxVpcAEOdNDYZGsGB5oKrB4yBqy0GocxiICe9KAdsemLQ4ctdZw8IowJuJAXTAmEliATJ8v9xczE1FYThLxefZagLrkqA410NaaakGsM9rhWiuOV7wVZOmfx0ROMfu43XZzH2P0fqqWukMyzrUWNxfB+j7h7EudeI5RtMA+l3KfU9zGJEsyzJz77ZbZLLkhwgiQLXPMvI69D1rbd4AGeI45zZDRrgoxGbh9QJoXb0gwkifiz5pWxulFyizELlJQH1ePgpEzYMkp0zib9EAzqOvOoU04j4NFalU3x6uOwz8CxvEIwsxtEuoFBxNfSsVcITDF4/HUZSoJSQt+vaPjwRwQtmhChFedSacZwIpt22i5kGLj5ynrJxW18CqVKGBpt1DBiMWmuEi3FGnCDu92m0ZrNkjfWubzOKLBDyP66LC8Dg8g9eaeBiQJ5QVqvahUapjZ1xY90BkxMQnbHB6JSkmBi7JZWMwU9VAgUButjzLxxW6IpU5QVRa9TjWbyX+ideQMLNtKCXfzSUStNlo549mwE9UKi3yNcF8WbO6OVz272gXXhBExw3iHmG1OJoJXkrBYTFSFfCZpsZrWYcbMtFb1H4AH/GKII9ewaZNZW4XlCbbKOCfSOswdGq4Llr8WaZEarlzmrcuZa7csXivHkYnAZYBNu7tBPYAbFREisJjO0RbeD0mzXmEeGC94XU/64CEsjwmMooo+M0O5c3agCEqIL4wjop8dOSJjTrAcRaSfJ7G4++hPxHh8/fr165evxOFmI6KUanOAzEIUTPT2/e0fv/32/vaWYDOmQmWPNBEIJvSROJLx/hIjY0+DwsPQ+zKzCt5xDDIsUI4QgTwdzgj2gZhDWUnYw3VFbuCZIA8KKkS03/bzOCNgBkcgpGvRWipGVCLa9gY0tko9zxON59aaR5TC9/ttTisifXRYWW1ti7XWFxWbEzR/YuYIjJbCjIyttQnAlBQLuml4tZDaA7ASmn7JYF4t1+sKVY6LLPNCJsay12xu246Cwixjjloy/6v3fp4nDltKbRG5WyrrFjo55ly7eFA4gDEFIPUIYoYuVyMChHphcXF3H93A6qOVD05EZielw5WAyuJpLEMkkmwHojlm733bNjyr53mazzEmcJg553mekAtMm+MxbvsOId7EFFVLH/1HxRavAKwAE84RrEVn7+kks2BEIbGwggMVZiFEqQMEHdu9lLK1Vkt5PB/Clvh+KXLxNJjnOWh5Cs1pvGr94hNkGYoInBzyQ0ONjUEtDbHSnklhaeMOj6laaik6xkzx2nki8QrDHBPcW3MdDdAOYgVwdYJcXDLCcMAaemL0Rhiq/dBmAqUws1o3XEagYfu2tdbcvFRIjilfM4ZXSgJ0DPNad1EtZUP5O/sporZMe2SFOmQY0jRUKFy9XB+TzGkhgUMAkb/Zt9Uy+iilmpkKttYehqTicR0egQ6DwodfbDQRQeJNuF8xfG6WPz9V6JMZJ186cqPpxguLzhcYN68N3Oq80z9L0kvcQAS4JF3YgtICwjAMoTLqSgECnIWnBc+SZAJMVm+8odnfuK9pJostrQ0f6sa+7xCF4PDADgm0KayIMAebm80kOuMkcPF0nLTpHrfb/vnz58+fv25786RF8JxZHs1m7/3333//888/MV+iD5ag5VsMeBActlAp6Pc9nEFXYCYO1bSOyXQpoVKViCOoqYqIuRWtYR0XolQkovuY6AYYNxE1Fh5l7h4e/L/9f//71bOXWmzatm+gmvDS/uVBv+KwW9vmGDBJviLIS/p8TeYPO2JMhQCRLiO2dUrT6AP7E8TzZqvLch6Hqmba4hIQtFqP45TkBWWDEEn4mWAmQBJVSxUWFC9cYuibtn0Hk6eWAjMvrARaa7gJgG4DOH4uJ4UldwngFWMHCKlXUagqYIUoYGPj1BER5tQY6zJzRjxZEI059m2b00ARyWoiUkvKTZHMdZ6nrxRGFiJK9TX86YJojIGDlok9kgk+p3l4XeYknF6A5fF8tLbFsntqWxtjhMfZr+lNzIyYbdq0QemiRVtrzIxFTqwILTND3411OtouqN7NJwU7XGYXJXx56mUeHIxmQfJxj1IVQyS6mK1tAHI11/ge5L33VhvWjPgASADGvsqWjdqCcSYYAaVUVcFzb27HcaA7AdDHIio65wgKhRv5nIgu2LYNtFeQmHFoEcQNNpOpCcRhxTV/9MXLAZ/Xs5HFTSC+DbRjbpEmgz6Z8/yz1X8kcLw2VWNO1TT5Y7gblULrJMaEURPPCWEeKwTblmMH5gDLaJqEhVhYSHo/bW2J4PEnLL4SSXilHZiZ5UMrANkuiAl9LZpuXz9qDQFpKg4uaSyo/mNeWF5eaxa8qGLZMGR2qecBgIi06+Ks5v3/78dSJNhPFwUWLwKsPYM81ioAKe1J83VfE9ugyLCXWH8R0XmeHmkDfn95+fL5y6fPn4DM2JweMccAQFRLfX+8//nHt9EHUYgqE4vyZTZOa42XejTOh+T6twv4QtoXUSo8ECChcI2GIHG6weHjYufX1vDY4BJte0MygXsyjwH1l1rLUksWCqp7LaXgRGiw/REPDym5ofKI3s85rbUqIqVkFQBioEtBDpAHV5CIMDH5slQEhQa0M8YCjAgLSXfbtoa1HrCwWup5njanZG+YOQkINSSifd8ReDJ6R6iITQPu1GoVVSgeKaWw9jwPfDBmaa2ZuUeKI4kI/JcIQkarWTATZLccBDPnNFowgITeWstTbTnrTbdaMJShew53j7W5EkbcXU73wiJF8aBjz2nTjAxdANrn8ziEJWoAee/HrLXWWs/zKKUGxehj25pHtFZ9bXR77z5Na0G4MTKhtm3rY4y3d9AebrfbGN0jbIylVIpaap58zEBXVLA1HSqKfbinj1tQEGz9sRny7Ami1IroFfB/LGj2TkvkgSdbREpVJmpLlQoHi0XjA3ZUbMbtdpvDQHQBBmpmcJjKCjgGRcCcDoTF1rbUNnqoiJvfbrfwZbhI5HOGOmaXGRNHZi16oQq4y7yORopMAVqSpRQ5Y76AUBU1aMWteFBwxFx5OLmKX56REaTppORmxqWsvR9RyLW7M7fcd+I1MV+sCmgJpzDX/x9b/9Jj2dZsCUL2mmvt7e5x4kScx70XCbKyjyhRqBrVqQZCSCUE/xXRoYVEgy6qDiDIqoQiC9UryXMiwt33WnNOM6MxbC6PC8R39d344uXb18Om2RjDxqgXjXOVUoxrET56bvsG2ArNLBMHVHzCTs4ixmX6VqYjXA8ujg0sqfSzQ71NxBQ05lAwzDW9Ao6gunNVxq+WvEpo0oWaLra2zlGilTQA9A8tqlSmPM2Y5JNRSSJNlXGK8z9jgIFeclWusnqu6t/atm0Gwkx0zMkqkBHXU1FuS3DhYveAtzwKAkKfIiIyb/f7r7/++unTp33f8bwlPEiCps8fP17fH28ZWVtB9IFThYdZWxM5dhjXJgeaCfx50erfspRsOB8AbDKzioZi4JDINDUR5ImRqaWlMFeFZMIeciaJKUeKrtaE2Y6zUxJiiImon32MAcc3FHEO5jqfyCoxrozrKiaJ2FrDWRpeXqkRSYVYAuznxAIqaFJfvpUR8InENBczgoDhCdqZJOr9hJQgEANNnpe/fCYeTUiMVI0QhSOo7LPPQaNzIezTPVozmhcSHasNUtvaeZ6Px7tZu4HJ+dg3KS1gJvl5ytpKh9qBmCcqUcTZT9TH6eO23+bKJgMx7tcLDd8rxPQQE+gBYpzeY1QW9px9a3I8HqqmqnO6EW/bHhnvj/db7Pu+AysJLyk0JFsIpRGWrTW93ac7OheR8rnLTMLz7S7Cwgo3sWovgzzdpPEqChAFzukZNHwQs5SdiUTmrLlhRolBdX0jA095fOyBU2TQrOy5XFQjJni8qNDHi8DDOYh5euB7JCKH8Nx0cS2sZpevGTMDE4vIOQeIuPAYPicTGv/u/Tx7ZmIo7r3cSaEsKHotgzNbaz6niAIvkpXcWWgG6/RpahkxfUSmipQFSOZcJHytg6EuZ160EDG7T2EJoNXMi8kn+PbQIi4jPvgMVFD8wCSHQcQvtSTWESH3YvUYtU7RO5BxbD1Epk9nqv6OiNWUmY5jpmVGUsGhYWohBXUCiH88jms58eq8wVig3aakyFokLmVUVbcPsObnnhqSKEhD+VLd1NQVxKzlp43fGZWPTURRTFXkx7+Haf7ja5WOSKzZ/X5HUltEcAo2KhIuwVnAJqDjfnYMJR55eXPNOfG+fPn1169ff7vdb2pYmSJKHnNYM+YMj+PxPvpH1HPdSSYiKsUXE8yyiQhJo9dHhWJfTdGX1DHJzKWxTmZhFRLSVKZkxdSiRMh0UniaBZG1JlrbgsQ4bFiUMXDAatF8+u22nb2DvgfaQwk3NNzWxMzOay1rX7FNOWdkAGcHVFcTWdAVSUoEK384XIK/4umZmXNOYiafIqIMizsxsT56+CSqsTopA1sqIteEGBGMbIQkBBTH9KK8KSNzzAGHE2ZpW8Mr0QBVYqAWgYqRKBGuYKqfPv2SmdgnmiNmzksbjjOAk8WuxWyGWDMj0A7vbRfV4/EwNSJuaiQViIGHwKdHBtK+1AyFBBATMCg0SiLsTk/3u19G/2rI4FYVlPJt24l4jAq6golp9DFziipgyqvhgqUEgteJ6fH+nnUyyxh4vZeyq/Bci/Cz933bVMUJG9GpJhkQLwaZddjmHIeqttbGnBhjoR7uvW/7DhwPDkgZadook5l6HyzsSzjEzJmI+U3K9JnlJi/iM1gAdE73qhDh0ZqBgptztG1jYsRjZeScAwA99EKUSVRm0VHFsSJklwwmCRiLMhQ5JNyPI5NEAWiUX3VesdqIhVGZo+4+EWHFpEoMV9SimQklDEspJYKEufdz324RFYyKFhU7K1QSgJoYmOsRimXTADN2rrdJgTJd5XJBCagymLYRsq34R1iEZjnZqQgnI2Zn27Z934goqDYWcZUwizPzvu9jDJQwnPThMLi9hDwFcEGCyOVex6W6uJhYoDTxk2SHq19cBHUWBgu4LzzLRY5A9lDlo2FxpOo/akstg67jRVRM277vEAReuBereAnwGAdVZkb48tXPMadPJyEPd6/9yvv9/vXrVzT+OA+hDWHifdsex6Of/ceP75Fp8B8HEUUptITjYIHX/8hy92NoYbH3jo6fkpAxMHyUN1Q1H8AzwcS4qowJN08QDCLEAcUBHg84n2FAISqP/SSkh9nT022M2azJci7DTZilitFMv8CNiGvR1Jll2xozm9nog4lh3KZr+F1peai0QpFRL0lZjUMQEBElWcnEFbOwkQMNOIvAR0czmchEmPXaCIUWFH+LVQkGD0XgyNYagF1wjnipeJ0BeJgwQDAzBzvSuonO82SpgSMZDDa6Zw139HM+PQLbWPCPYzjNMWfbG5WNKBGxU2TEDIdUyFSBbLCPzFS10RG0ib8Ao0Tt/d19N9PlkRdQtR7Hcb/fheX7j+/3+330E8vln15e/u1/92+Jct/2/XbD/eq982DIcGHQ9B7v29Yi4unpCdJ1FM2mOt37OSKcmIoYRK63V5LtovVoSYzCVxN6IeDbtvUxJMLdVwr0zhBvsESGEXsGBSzhIBksyBjYsaREBI7mOSYH+QxidqcoBqLwhPPsojCOF58OERHxDHcWYth+jY6vu5mVOJ2FJEeftVUX1feJVBAHHB1UBHPGBQhI4WPs0FaxCov7jIwLBeJlsGOmkamqYw7YgJsZTYLCMJlVLSKmOxUB7pXBiz54id+ZhbDWtDYJYNCYkQxaKgIaUxRRZczbFBFQD+NdQ2wmCAB8PCIrK7dIYiEKJuQ0eCxFP0QTKuo+sXNw23cY9BaFyARZM85GKv0NxFnBFQZQm70wHC3AhhhDyyXwx8IFXZKkywXhA1kNvL4LLiodEf4XTpdVqWrOgMJNzfZ9N1XPwIYKUXWN14qmMHvRPDnnLPuA9HHMzFSRiPj1y6+ff/n1+eVZ0EbAY4MZLopnH+/vb9+/fz+OI2GXsJb/RVTAo7ACfiGujXSB+ZsQEwsJmjmFQYuWJ6uJAQUK/mD1YNYEXGtMF+ZK9mUJRih8AlOt3pqrEgsU2I4Rng32iIC6mjXcLObl4JgkwmO4Lq983E6frkYN9oRLD4ftcMiqsAJe4CnqXQWm2zWdKQtrmQVGhPvENhEOR1VB/5CEusznebqamtIKu/C8WgBG8iDUPhHpPvZtB0ifBD7WxsRuesOc4zN8eiaR0hxzs5ZBCLjnwNBU6Wul7jAl5tG7R5hqHwMoG24qFA+P49xakzJPDxRKaL3NLLj0GLiLaFvUoEorKMPd5xy32702JIXhCYqr9PT89P72DlyPiPb93ppFhDV7fn6ufO3Mt/e3Zm3fdwA+VobmpOH7ts/pb+/vt32n5enkEUAkSs6RGRmmxpUmlldXiifkas9VZN/3x3Gg50WzqdvOzL/88nn1y4gRTjQjigUoeHOqETmO8xJTXpK+SUC9Z3g/O+g7s5rufaGrkA/NWTmR5JlJWyviuh/Htm1mxiI+OuqUtaammBojyMzmGCIN/qaQdb+9vV2mI8DQrHAJUlNi8ekzavzCfAwt0IJukpkhcp3eeQFBKASog44thAxJMbXjPFtrdaMvsHAWqMOIR07iBXYxcWSgqgKCr30D3KplhXBhrUBLRKBm1iUbh4ESQ0mCsQN6RPSpC6WsxKRyZwsXFZi1Fbi3xmiM7JjkUOvxYWKRzxeywSuHt36Ozv+Sh3GCSMfKccAgVpSI8U/xRf+W8cMHI329Vs3atm1iijZc17M954xyRuLI1FxmF8yRMfqAwHROR9Pdtu3rl69PT09IhqkzQzky55h4Yb9/+/b9+/dlI6bMSBZhXIdSCecHCVRtcTIS7i4ShZlZIYxlYka9wgglK/xciJeTHVP940XHMcK4iEwRohemjbnsvrkMNyMJbUdaLIsukCG88jbxBEB8BjePgOxnOi8AZPSBcbXPg4ju93vmJEpVgf8V0Ki///4rPD59+qVthtNFVqgCZXrAzVfM2iwcubJE6uHOjBGsJCTYh8EUlEQo78LYQ2HKhC8mvu7ok5hGn7XnQSmQ0EWHIs1nEJOpUiQTn6gy0uY8mZgohw/cPCz7jb6KjhglQ90AxUtmUoaqNm1jTNyOxCKPtcyMjHNMVNAx57a1HBiBSyXliJxdbnruHuHn6Jjnl8V0nucpqs1MVR/HsW0Nr8HoQ0ReXl7A8sUjMvM4DjQRwMR1OSmpyqf95TgOVeuj55yCno7TzNQ0+gALhzVpOGOD0UJN59IxV7OptT1na/mzlugyE+qItWnDQG+48Df2OfpAXoqi/15fUSlpViqs3m9PqCzHcVLCh2MqC6v088Q31czgJ5rJj8cBK1bhNoYzy3k83B2cP1NpW7Hoo6Is2vsDMCbu3bbt729vzNJaA6l5jh5Z9uCoXGo65gB9Naenn5AARUQEnhxpbZszwE47knAKIBK4wJo2rOqoYJFKF5hCkQnVFJxYIFLA8QBlMUoJzudCxtFsJ1xlhNYerEI7jx4OUkLKWZZtl56yOjNZwmUiEhaooahkl6vNp3U3odklzPPolwDC1DoV/kFTm+6Z4bPAMXx4FG1Pp/UN1kEwgwWmWxlBKnoZJYPdzQKaOMoBjS/FoKqg+sN/Hxct4ZWWCdqmRofMMaYDmRgoFwMiH2aESvLzy8vXr7+9vDyBUkIfHWVjGRlxPB5//f3XeRzwGMaTjkNL1KCAkrVSWpjegj1Fa8FzFe5l8Q8KBZwc0QzfbVuEieBfCyI1qTFRGEMFMcxXss42bcDtWXL56wmFg5hUE6O1ugLRzpwO081Fgbr7crwhQgpKZqHJOIofx3Ge53kcZs19jj4wGWjLvW1J+fXrV+xwsTCM7zGJcOXXSEWSwZfRbM5gYuAeOAOEuZ8Dh1DMkZEwfcTBH5wZfPaRSeWiR4yXCru26DpU7ZLuNVOS2lGc3YUJvsoYpnJBuiJW0B1h2KAZK7QETo1YeUWrkqVj0yutnq5wJIYsp9kG3BkaElN1T58Di+PE5fY7xsTJn5HTJxR+AIiFpTXzCI643W4BpzDVzEQmOxEd5znmvNn+66+/9t4THgbU1MRnIAz5/f1dVFszFkIMAwIDVa2fp4jc7/fe+/F+3G63bdvdp7urGvBShAMDfkmpxRrChoc7fGCenu7n2cc4ISLEG9O21s++qWZtWpY+LxbbUfcLKiBYWTGBqsmo/xs5iPKYY5nXJzOfZwefum839xyzqyq61KjNkwgP5J0xoTNYrGnMq6gxsdeifyVYfDRxIeHFF6qoLhtEIoII5yP2gCueCOJ6yKxVpN5GlsyyvYIeydMXkpNzTkhgaYU5rzIqzFyYOlf8CF4lIoK7KnrPJL5aaRGptQzijAguVhB6WUiTfXpgTFwrdboicyOCP0aHD8w9rhhFdNeZCzmASA+/cdmcYSBAt1p0IFAHJmKRvG4uIK6sXXpUwKRrniAAhpfoDuMLfZBY5OGbbdu2tbaZGZafuegIYrACaY/jMMNQlzMWqEUZ4cQMheV2279++frLL5/3faueXTQz5hiY0Mbo3799//btW8SMQBouXyy9tVZP7pJFVX+PFKYIKXKEI5Mj2raNMZrYtrXHcWANa9t397jtO5TfUFjg2VBiYppjtG3LDNHaCVdrgjuFffKlJIyMrW3use1bero7p/D/8n/1n0SEle9V4oxCycCAJSAG1lUOd49grNqWT0tkkZDs09u2zTmatcj0OWf41jZ8wxQQOSUtxUtEQJuYRPu+19OTeZynCormxPmNBbHeu7CyUIWte6DpY5bpCRADnw2YozWLGcubOWvMEhFhd59jZhJ884LSbEP7Ca13Jlkzpqw2xONC0LACDf0lhsTMRJmjZcC55HGL78EKe1aYWjVWUsWLqRaFIrNtSFYIhQ1ABg6Ybdsh98Qotw5g6EYcAjIDw4NmKhPgzxgj194/LQsKvMOq2sdQkU+fPsFnSZd5b0SIynl090nE+OseCA8xsL5E5D4RpgGEAwMcCC5009jbgI42L2ANK9PVQ5EIw9Evk8a4civr5YftMC4+RDvMbNaYCdYIc0wps9yyjEck9XZrMT8YwlVKcM1Loxme99stM/s5sn4/sUaHC7KGno+IbWhDKfnyVFhjENNq2QDBAAagRFyfzDnQDgJhwLtjy2sPdwclSdbKSL26y8FNuPY/aowmKj00HDiI6FINUeXfYcOGaqqodQfUqemlb6mOEq3vT4dNzd8QJuDouvL7ENZIGXVG1giCQDE8Zj9jegmF7rqeOFavYQImKDgaaTG4+I5RXqiOlLJ7KgwC3OlaPlBVUTGzrW3WGuZ+YR7uyhyLZLJmytJ7H3NGBGCM6c7Ex3FgKUetPT0//fbl68vLCxBa7KzRCjiac7y/v3/7++/jOCq4ZmH7HwvgXIxrNYXYhaJUMwBWoBVxmEHAc56Hatu2jRkr4pU+b2aUZci29gQgrqtdd/ep2kQEYRjXTbwGDqok5JLkJdHxeJiZGayvIlYrsbbARdGl0grQwac0s5wTHBGE2FhWxC08ex9z9LPvt9v9doMKm7mIVs/QBCLpmblSWaJtDd41x9EjItKbtTn98f5A4SYRnxOryKrBImfvyEMHmGPaHJlcKqP3MeaYg4ikC9ZBqjvwyCiBGubZyMw5wZAc/V2UY5bbHTPLgccc/hs5I3ByEFFGqs2fhG2M8gTOFhwgJYly+MrDKjaMMruIMh6apNYkiHy6eyRT5oBQb4SbGRg5xwZsbQXBdDdF2Jr6dEz36T6KjyFokM/jtNZut9taxCNackLIgRi5m+44JCjp8Xi01likNRvDmxkjpyFzht+2PQ03dGJT1D1sqSZq6xhDq08kswPDxVLYChRjEaGIMXtW0t7OLO/vh9RaO0fS4o18a8bCEXmeBxGHhxokPRbh06eyhiexjwkF0VQRSjoeLixIkxdZsYvpiTgKU7O273r2TpmMqOSkPnrO0XvHtgrxFBJuSL4VETkeh5mqGCX1PhG5Jh/CdkDJ8DZIJuhdmGo9EDknJdQhQqAb0co1h6KmcBXmBcIFSv9c21K4mJkLeKHCK6rVRbUnyogeHf8o+mvsQsbywrxmr+uTZxnlk88Za+emMPrF0PISogDSR8/r7viKTmV7yYukrVmEJan2EJkZEczgA6LqfcHCmKsqXyuLUKGsSYPWOceFXCHLSERk33YA1h+bVSIK2IeSKM/zTJfTR50oa684wgeeHqbWti9fvv7666/b1rZtr6tKObEWM8f0+eP7jx+vP0bvYIlw+9FN4vyrY6DmBkBC9XFWp1LXUZb/CgZwLjdSESV0b61tq3x5odll8CfEpKY+vdlGwirCbKLCy865ZJfYCMkEP8SZjIwNCHiy9lMigvZ9Q7uBQQOsgFoJEMGXE1Frm6/hHVDGyMzwl5fn19dX2FXCaR3LV+dx3O53OENBGI51OBCnwkLC7tNMMzXJOOn19W3b9372DHp/e7AwwSKGmQkTACepMEekC9UQw3wOL4c1Eaog9JTLMB1JSRnlo80sIgELCk8UixVEjPjWaRXgHlROZ7VsokCFLu9Pp4jMMUQ4xnL39DW/ElfOMFRuFESsxCx89FmvYrqYeUzYNWLhCHKUGreT+hhb21qTbWu+AFaRlivV+vKWiIx9v0UEYmkxn6mKs5i1bWtYi5U1kyeRqpjdIV3IjzVFbtaYKYm2bTvOs4BgYlHd932Mue1bHpmcsnamgFn1PswUyDs6nXP2fd99+uhHs6Zm0/376w9sw4soB2WSTxcWMSOhoBj9A9+I5MhExBoe8Y7DXgU8UEEcgdiAMrcKolGGnRdEzn304wwlCNuG1GgYuBRZMwsl+TwnvkTOFBGPOMebKlNKn17GZiJRPKpEBs5X6AiueoS3RgsOzQg3tSxXTmXmOSaW3aCSuhTiwgJ04irEV2ddgGeunMhIXuJj97JgW07vfo0OERG5fMgWplQ9OY1YWUPXH66KKQX9//yLtFCpgobWn1mTRxIVHLH+YsVIoKVbxxbhP7Gc532tnWOaqvkMSjs4mUH9I2Rsgj2vfcN+eC4E8XqzALhd5hNjTviYsUhEj8jz7Cz86dPL77//8fT0dLvfZQXa1L1gnnMej8f379/e3l7RECijl7vkpQwF7aUvgsu6qoZUV04rdARnLTHjzCIm03KXIiElwxunalAAC2ya6vAoCZawsH2IawGtF5hYT3tCVIbPb60xEZ43U+X/5H/xP9/3bfSRXBaSIowU0NvtDnlDrAUfnEjbtvWzQyXSWjv7idbmtu+QFRLx9FoLaq29v7/XX1eLjGbWtk2ExxhzOmyFbvtuzV5/vJo12I+EU2SO3o/j7DOSk4jcUxWp6xBClOP/dtt0mWjPOccc8K0FApc/ZYgDLKP1JoUX68XE011MABdVXGXFkSJkvdTfeEyrfVhiCVnhU3y9EdcD+jGvQsRHRMlYBRCKSMjs8FxeCyARcbvVUv7ttiMnAPViHa6yzrbKdAQaFpHEtG8b+ihkNWed+fD2+fhQfYwbVv9rME+PCbyemYDJoNMX1cfjgWVs7P1NdyRniUjCz7N8J3OhAc7Mo3dMeK21Oaao9tmVNWJOdx+zj3EcYD3mwgTw7IpaE2URWvsogm0D8Ad1TaFZLEFhHbb4BpPyui/XuxG1+HO9/JEe1rQYyyRgHZQJWTD40mtETgohI2KPqSJjDmY14emhImrw/mMxRcYneq7WtNnGS8CqlaBS/qCAs3kFT/IiYGMF8+IbuGiSGgIAbRGLCMAcwF8L+SX6OcmgGFrUkeU/ukBR/MQRxocnIWMtYzMvc71q/lejDlEsrdehhg7OCbED0c+fM5e4qA4t0Gj1yZb1c8FNeKEkatkicx0Oa3SoQQQ/1LS1Bn+bItKyfHMRbyNIJTIV4t4HC0VEPzse6TEc+89ta58/f/769bfb7QaIdQGG8ISKOcaP1x8/vn2fc1LZWfJ1GOPHwmcgjsoidEmwgEJMWMNWVa/grHICR2IMgDDUaF5rT21rsCTSWi7Q6zKW7dhS6kNtqNDU4pqr5BIN4+bihIc4UEFHvL6+4V+HlEK1wW7i9ccPUb3fbmT2+vpKmffbPdx7H8d5NGvBngRPLiPizswsZz8vgghJC9VIMUVEsyYiY0xVLh+hSDWFP9fzy3Pv4/nl+Xg8rN3GmLf7/XZ/5tZYxOGoBZgS+gEPdFVgSBaQGJAzoopdMyWu+KVjI1paJyaqN4ewmIO6+f7+KF6+IpWYhGL9FWZGuctqUKS8R0BVlVSpVA7QRIjyAjApI3AAENGYDrEsEbU600Wbwsh60T7atsZJojrncJ/Mlgl9juMR3rYNaLiKnmefPrfWGsYXFAsWcIdjjozY9n3bNhhrl63eHL2PZpQZItLHoEwPpcx5nKICuhc1YleDBubxeGzbBsV6EibTagYhvDvPc2t7Hx2d+ba1fnRhbrYpGaXlbtMftN71yIC+tx8PM0WzyCLE3MdMogmg9iIq17m7igsDY71ezlhd8+oGVs9JKSxzTh4i2I/1CeMBFK6BNiQDpt+YD0w3xnslRGJEMtPFpLX9fr/dbhvojdbskgOoCTYKI8JrNq1scTAusgxf62Ao7rpOC7kC77joE1oNuKwywSvaEN8pCOraYs16ZokIhxlfgU7CESWro9UXFIFcG+aEdbA1vFL9g4X5XMxttfxMH7DPoprSw/knG0F3ZwpahwDaeSHsD5RYqaizLHwfJ/3FRK6TiLWSvHYsb2btxwkLp39EoQWSSGAUMWsF7Tz76D7GiIz709M//vmPn375hHg13AiKGqpG+Nv7+7e//+79hOEu9AUomLi6mNVQ0JeRA7aO1idKIi5/rToiRCBsBe8NvFdFrVlAjUIsyplkYrrVn1ybhrUoQEScGlRukshAogupAwdlhf7jkcNUC2GOoWHZtpZJTy9392jW9NmooqMoiUbvT09PRHT2fo6+b/unl0/WLCPPft5ut+Vzycy0tQ38IbBypBX6nPvt1vsofp+JWZqtudjneZxXp9bPrgrzy4Cp6Xa73Z9fhjurULInbOASf1fKz5/mGBjt8fgE3HSvvNB6ujIRcEo1claHEjHHzCyFsr6/ixlgH7iDqeAVEeIUEmLCbtcFzBN99Ef1Jv3U8uO9xf8IqqwulqAkYQXPmZz71oSxqZatqVYID+IDY9t3DHfVGwqrqYS4uLiYWRlHq4SHSRtz8lIQjz6mTMiuRYRY5hh4PaBAPc6DmbF+nElSjyiemEQ/20fv5xDmbds9Js6TZpaRrRmpxuozfU5AJaa6bVtpGTMfjwcLm7WYjkiNp6ennfnpl19DKIOTkKaJAiCZkT5FLdHnQGoC1cWSDFTdr1aaeZ0WF5LOa4thddMokZU2mjD5qxuNdoDCpy4GD7wRM8omM4nCWiojZqipcMw+9q21ZugLldl9opFS1URnEYHFkcjSwpWAAqmQK2IPT0ZKlBA+c/YODRhUXrq0BmBZ84olcE+qPQOQzECiaOUv5jp1cExnZE6gImWCG7UpFoukpTW+rv+3OnG6cpJL9UnXaaQC4zZeY0aGa2Zuqy1w9zkd8jlUI1ENVPtSj3ImiWAfou5YQV6BwYWZpW1NzXDQQoBATA6JIBz3WIl5wgwmErq1OT0pH++PPsYcLiJ//vHnl69f933ftq2sELDRkpkzeu9///3X29ub+wwPXRueWpt/iiEYiLFI7XQheUxERCUpwKFCAlHdDBUriwuIVa/r+AoGaFO/WMKh5RNMxGqXuAuIT9nzKbIn15p0flh5ElULNVCFWmsKXExVt61N98fjqJvMPMc8HkdSPj+/3G93RGpk5vP9+TxPREfPAb0aH8eBUeVxnND/mMilHYZY5fH+oGVg0kdnYshIiLJtG9xv5yBRYUqfsd02Fs3MGfm07c+fP3mSB1ysQZZeM2kix+5GIiLTxxLz+SKvAgVUpNb0F8aaF6dESXukp4fH7D1FSOFeqa1uEi2YJQGebnxNA7UiV1PgWkW5gCCqc6G+Gq93Bs9aevR5CpOKtGbEJKTgaqVwPp0DNqszYIugJaKFDqpJIyvjdaqvLia0wwNuzj5GZEoQvKt8zuV9hwnDirp0B4UDmyNlCOoVcpda/CEmouM8bvv+9v6OYoRkNy4tHTGRmhnaE5E5R3Ixe/vtlum9d1F5enkmkunBuv3y6yfZGjGkLJVazQRP4xlgOBhwB3gp5UWcctXmYLrAT5R7WUM6bksF3+OqRoHNTFKFDcurc8nts7S8BCGfu2ckNnZguUqUhQLFpPD+eBdOQViECqX4jKiOh+ccKMFwIYTVytV0M9MYU9YM4O4R2Gd0ntDVMDyCsEOXnhj16NIhENqgZWC1ICOCxhSszWqHRSRrSTGQ3AJh2zUuazVwTBnEcr1DeG49iu8FqkZEMyZeOvR8LAL6NhfoDzYFAISKcmNmcoIkyqO02rnuV8A6rNgzvj4+0VoaUpHb7WbW0CPDDwPOImx2nGe9lYAPA2qP4R7T5xzjHH30+fLy8scff3x6+bTfbnA5RVRcZnKSu//4/v379+9nPxBzbyoX+VK3SkjF8MypKS2b9yRadC4zqbCkoP6XacPWmnuszLuFHqllXhAZEvQIgS7X4iXQJxVxQr9TzpscKPI8PZSZVa+WN9LxpTPCVKf7vm2ws0auPL++vm3bhqXT8zz7OT7/+gv2Yno/gZ9gpWj6FIVPt0H3YmZQu6sotk8BweNu1TxIiZn0dr+tUyFaQ6qtWmvH44AjxdY294mOAj6jfXRrtt9vfaYRl7xxTYQznLMUaeg2muzoxev5wxbiZTOOebAEOdWfV8fKggo9+3b0MXyqiC7ybb2cwoZ7mx9neLWsCxuq4rUq05rbKBZGjvKE3pzotu3TR8TUsqH3cLKmlDGnjz6s2b5v6HGYmTLcIzlRDnB3SqXHjC7mPDtexbY1RDmiMc+EK97cWrvte2bOOR+Px+22vzw/J8Ial68qUW606SJ4sKpq1mAN3awlEfzDkQUGvcHFK2K20Mzbfns8Hnwxik7NbNv34cHE1tr+/PLlj9+djZaWcRFqJZKRxeXkh4oX5uHV2fL1g8Cf12plwQZFUn40smsYYBJaWpd/NhGCIcxr7kBzCvgxAjusMOiIDCWP0b9ncMyYc/oUKNbLx0kXtUG0ZLiqOmcRbLEGhZj+6Of9fqfq0Qx6XE8HWIdzagwXePgEXCJogSqkIsNLjoXMjJieCxkTLurwkudd74hoTSh4RIUBGwa01LkiYqAJxoNdE8DqzXHF8FLgUavOauFpUj4ZEe7QGeqmSVahK/XXOYkYoY/KcqXjMiVReohyJN1aw1YjRM9YS+ZK1ykHuvAcPIRljgH8OTPP3h+Pd6R5//7H73/8/ufT85OqmJpHEiUa5Ig4z+Ovv/46Ho8xJwUw0pqccOxhQsa3B9bVtPFKx4QWI4ng0MAqAtht7X9ATmK2RdRnTtBUycS0NUOGVyyBE8BtjPhJcS0DYvm87uPaZwYQgu4Q7VDb7PE4iLL3cb/f6tIlWWaI2MunlznG6NH7UJFff/0sqqM/3P1+v/c+RLi1Fh7JNOd4e3t/enoyU0cCgIiwfPv2zZod57nv2wp1acSESb9tbYyREdaa7OhhCWlrq9yQqSRFM6OkmZ71smUSme7oxMpwt7St0ShEFNaBtDqUVSzietGhuKBVqoFMrj8HaDAQbofVs7a1ORseWWvGZbLECz5m0Z/LSYGsa/m7UuhqvquBGcHcF1iN41qYWVkklMhRyDLEGpvp7D1zwIbIh4sGghbmXPnRK9JPqDx+BY5pA+pDRKEhN/ynhGHKaodXDsT96VZHYwYluU9464va5ZKNEn/Buxnx9v4Kv9xtaxh222bH4yTOOX30/vz8gtcElcjd923rs1uzOWa4c1JyDp/P++3l85cezGqo5bncCJCUlIX6oo24uMn6IT+ZEjOTsJZY5Keym9cpgr9ek0L9Fv5wFXpKZkHDTlS+Cu6ejoEgNCkihg+cphEzfdLoP759A+ZjwkmJeV5FHduxjJreYgVh7vuWGUQWEWaSmdq0bRbQraqGT1DEZpbhlKFmIqjsRiuDCNNlna9JTS0kKBNb4hmF5eXSsKPJLawgy14GRVMWrSLM2hoYEUzti3LQXKIch8QtiT68ZUqUcU0llDhGMQiWAPTKhoTGU1UBTILqFJWKw1r0AC/8GizUftugH/MIrL15Qu87azGbr1DJa5DI43H20XvvzPLy8vL1y9dfv3xRU4jux5g1sBKNMX68fn/9/qP3jhNl+U6KVngUQa8pK9KyyFVZ3R4lC0G9ICLMUOaUvzJuU37E0yPRkgLOz2u+5wx332/7dGcWRoZEpqlECjNjS9TUTK2PgYWtJLrCnfDvA0zD5vwMxLNrRMwx27bZnE4zGtlxns3ap6f7eZ7fX3/cbzesTpznuW87MR3HgcXL1qxZq4FcRAp+yq+/fe29q6io0lbf6nmct9tNRdFpNmsJGC5z3zcsHs8ZY0yGwq+PSXy/3dinCrf9nn2YbW3b1ppOycGCkjiDEjHwmblYJKqHcllcYHzF45SUQAhj7UqCDCTYZRART4KYUhUMDpj0qv2Ctl1Yi8dDGSnp1RKC4VdAQdW7z7TKzmpaQfoRqUq4FOyBXomJMvf95u5n7713E2WRGBPGq0kpwrMPMVHRVB2jw/kPz89xFihnzd7f3s2UvRwcmSkjPFKt0o99uJpOn8dxNrNt247jEFV2P09EtsGe2iNOMzuPE0X8drvhw6ABdK/NWqzGTJ84eJi5n902e388mtnxeDdrPl1U29Zihtp2f/6kycSKmZ/W2ZlE5adSBMrV6HPW3FP0JmoLrY6UCb0UL2KVp3vp83jlxBIRpSBoLDPWupO77/IMhLrmgYhFPHm6R4RBgzVnpOec2U81C59CJMnTBxVImdNnjBARWAdm5pxJlKqGf78eEuagRICSkGytoRtVA0VU/G2WPImIKoryPE8c/zhoa/ZyV1JA0pFBFatJInocD2RvQNSEPSoR8WIgVtg6rDl8aGV5QiBbBm1JBc+v4SaznOkYJ80HNIVTwvFOVuuGd7SOgUxhZoSJysc8XbTNmuPKAcW0tTKrhzwaa+fwhd32/TyOfedMOc+TiJuZ+zwe55xjzKlmn3/55dcvXz+9vCDGA/OQKqIovZ/n39/+fn+8+4CzAHy5iculER+I4PSM5yogLGQStVwpnlzlWKnWyAXeO4Qb6oF+kYSVFSy3FnstBZ+XyROrVhVydxUTUYoQlZkTEU/QF8EDGOMsHKVATS8trKZS0+bTs9h9iggD9JRrj/n79++Zue837MjEQn50/UA4tbUWGXOMp6cnZr7aQxFh4TE6PKRgUDPmMFNlfX56xq0Xge4ewD/cE43Xujn0fOd5sphYJFHbzJpRcl6cKhUkGVQZjZQFglZBX2hmfjyDuU6H2kDNn35QkjCW/lXNrG2iXddi0io7P/1cClYodJmgBb9MPz4ACUgTMnMR9OhsQmAilyGVZR+ZEXBkY0aDrNZ0Zvc+PDk8kt6Ps6kxc2tNzFgYUQDbdptjQEiQlFvbIf5hltv9jvMQtYCzXq3wuN1uEWFKMJX99PKC7bynpzvAvbY1n15Zu7CdyWxbw3dnZlnuTclMyIlT1W3Xffs05+C29dHnmCwiJNos3LdtS6JmmklzjDldzWy/gbkgYpKFJ68xigu+qeu8Bju+ti4/AB4mvrbv6+fV9O9LLEDrPEb7z2KUlOljwuwsRQO7FPTBTMKUxgmQooenZyZN95gxZ4iYbWOckEziRnMyuC40ZEwpyKmPgAw6M80MrfqcA5YMlBPrEZBRmbUxBgun1+O8WYPRekb2VaBZZCXqaJbpUFHBWUA8prdCk3hdQ6SDZ+GotRJEyLctxU6lDlCdaXmpS67sKlGF/huwLS3kp756UlAwMfiGq/HKYhbwx1hEQC3gv68Ki6J522FzmyxSIX0rcNin937yEmz33gPx8URv7+9jjDGGu9/u999//+PzL7/c7nccHhEZCHXIGHP++P7927dvvZ/Yslw9B4lci12icO9Z/K2wCOul7wCws6hguHzW80rEta4uwrXqX+vB67HmiAT2JqSqH5lokQnjGaLMEjontt1mBJY6FEtkTrQUzwsVT2VR0zmHqY2cRmSqjgOAiFqrRMDMbG27eNFta2NMYrIVVOTukKxl5rZvG47iKIcsWpcAgxJM4c2stSZ1VwIWBX2MbdvubaONeh/g01A1930HfyAmqurT0QuoNSLyJAYtUnuWvHQbaP9xBuRiAev/0cppqv9FTlRiN6pF8wxPJQqv/GEWVTOpnZ0F+EEJyMwsH+aH/IHzc4E99eeZy+8QH4tFi4DMJCJhGINBAJqUUaa76HtZxMNn7dNTpqplyDp3yvJeSed0gMUwiwY3aGbuk1l87cFaa+h0mFk9ksnHRFkfPt1p2/c5J65/RuC1QXIycsbNTDjv97u7jzk9/PuPb6Zt2xo+LxY7IkKYt20bY4owOIzRh8/5/PRCzNbs8TjUeMzaDWfVbb8BBGWqxIwUDmFisnWlV6X/APTp4yBeBwTjBKnCUVBRvYTXeHAdA0zMIyI9iXTblZlnuLtnxn7TLJ40P1QDFDGmRgRcQGZ4TJ8DOpV6hzHpK7MoBZzDiXliT/CynyMvc2aczRiLTfVxHOAzM3PMWaS6Vsw61Ckwilm48NbHIKoAVFWhbGDdYu1zRUSJTIiExVpL6HyYLnSIf5J7SlEjVEA2fpFZRMAfENxtsfnFBKcljAIM2owus4o6CQJnyT/ru+rFXDt96+cVFo+UJ91vu1nb9x3M/5wDq/UQJmSMwpQonXzOubXN55w+sV0CcdeXr19///2Pl5eX0mVlbYOztN7P8zi/ff/7/e39CqiQtcqbNZ9VTWdlTqay/aBl74xTEJqFFAa3lKpa5kAAdai2UK1Zjllzg3CmiknMwDGyBh/gmBI+8IvglrO8FVYmcyYTba1xLRx/DL8At1FO5nRhPc9TRWTpPo6z2/3pzsRjDFlBRbDDzQiM/z8HZtICMbcNPjARMb3osmRmWZ8JlaU1sCIUDo8dmWOo6XN7tqZHP9BVwD+5937GedtvOJlNW2BYDxJTMQE0QjCCg0BY0MNQZkkzpQr+pe68Sn8WeITF40xOLRAyM5OwCq1qWFFeNn4opyjpV/GXa9WuyowI9AYoNtfBQB+4RRIx2Jki2XBOJLNyZKgQZyBtHvSlET3OVyba1moYkYuK6d6a9dHHmBHJFKZIJ6YxuzWFJd/0jrS5bdteX1+Z6Ha/czV69cFGBKRjTQ2zHRxIPeL5+am17YqRgToK8+kY08yY12qlCJbU+tnVbNv3oiLGzIzeg4i2tvkEwDunz01su+2qluwZIiLb/Wb3PSKJlUmZhJlSyJWJ2GrQkn921l6nwfXzGg3op19g/vkAqJrP/+wnSQ1DobtHjHMkxS8vn/rsooIHBMMizE4iIhWq9vSINJeJaAHsptQQUv5FKSI1GataxhyzQiDwdpRdOYswb/sGlgl1hFlg94YQIbS6KF7XI420kzEm+mZeBC8LoyKDGPt5CiYi9ErYehFRVc7kjCQR8qymMeVqqMFDxtVF4XnOlX66TMszywU2YpHqec3d12uQeY1TgG8xsJeN9s83ijJTVdu23fZb2zZecD9eIg9v1vqj82qx6x+O9HDEucBvbtv233///dcvX263XUQhjVVWmCj0fv54/f73X9/GOOnaNg9McYzMS1UNCjjwoHTmxbWu1pmR3Y3ywCIsQbCHosUg4ltNiDuZGD7VzJyaKspWJ3FV8sxMOCvrpSUTYUaKZAHIpay1VkO56HXlMYnWOzPmpExrRpXlMMaY7m6XkA42kPu+E1EzPY6jPIeYtfz6oRwQKXfDcJ/ned5v9/v9NmZpzFH9cQCgrKjymNOatrbt++3yCJvTI32MySK7tWvOeDwex+j7zcb0+22TptaaiJJIJHMKY8e73n8smKeQ0nrEBT+5TgCqXaHMRLeFR/Png4KJ0pMoQqUsSEVFWJe4vvA6CHNFhDXTcQpg2Y8Yr1bdiNKu4IFeyzp4E4CfLr0e1L5ETMotfJaKxgNoM6II4L2T4TOmDeVkrHsgJuw4Ty2zfN62jXg7jxPPZe/n8/NzZpbxg8gV+fn88rxqR2BrFy/htrUJH71wqH1uL7tHxgpBRtTl7XZr23aeR0RcTxE0gsBfXl5evn3//nR/MrN926FByYzpcbtt56OLijAHsW1b27c5ndWEG5MiH0xESNh+1vjQNW2X6pzX/17VPv//zQr086GBh2RhRuLeVQTBJv/q//afsdDXr78bfLZ5wYUYAcI9gj0jU9wVbrUmMjNj8mJ0KHJ2B5yYKc0MZT0inrdndx9jHI+HbY2Zm1kyQWWIfmFrG0YQFokxiHLf9j56w+KMoTKQmdX+p7BIvTvHcRBhhTuJsDmcIuvRpGSW4zxUl6alam29GquBSfgcsJQDEiWx1oov5tGrbZdVf3EfhHUp7C72rebuj7a/5P38gcle+OTahsMXut/v274jDqTERR7MdJ5dVfd9xymFjzE8MSr1Po7jyEhW+fz5V2R43e43nMQGp6OYOfPxeP/rr7/eH+/pOF+repoa7oVo7fXCs6GeKoEWU/DnC3KsLkXAG4uypLEItjBWXabMZGHP4PJ1EJxz093UfMLQrLT8xQhrpCczJ6cW0MJL+ksiggTva3MbfEZGyoUFMRWrP2dkvr8/VISYGjZ4lyzB0bAjKAoORGh+a6GGALYHIwVswE813x/v0IlnJuaDcp2cTkqA+xFid55HOUsz93NQprUGBe4l3fHwbdtVp5q2tovaCGrbZrY5Mwf8FYNShDlFgkpqxaUZQU+Ra0igEg19LARANYGmOmA4XEeBwgZuMg9rG8vDGliAD74evAozC2vVEZGr58eXWyqxqwWtNw9j9vpQyUmAPFUFU2WGi2gJM4T79DkDG7+RmZOEmNPSmRUe2nOOICLTpmb9PNx9jHkNYbfbTdXeXt+ICI/Swn8ZNJEwjzH76KYGF1JGqF7G6MOsYVfj7B2fCuJlogySiJhjULKKzvA5Znjs+y7YM/CIiG3bzuOg272wGeygqSRJ21pWM8qsKtaaNWJl3gSqZqnaxlH7NaW7XpdaWK7z9sKCCKM2rUnhpwkA5xPzQoIWIZ+k19T9H/yH/xM0jgBPorzYMjPKl9kdBzOZS4Soc0hIJmLjVGKkErfWoOTBZwuqrS40JPu+RwTM8vBYQqKGObtsVhcBrWaRce1so6M0s8iAw27WUnRGmfERrZVUID9ojNcElK1tzERZsTy0XojFVNW1AboT4cxWCNgHdcIi7HPy1dcHhlQKmmsnlEuzsSBOFo4ZUUb8dC3FZP7Uk61D3lrb9/12u6lZhJcuSApWGn2ExiEHZSKMF/cGCwG99zldTb9++fLHH3/en+4gOdB9EjE2UV5//Pjx48d5nuBaCl5Yq5T1WGGvj8hERXXmTAoR42W1SWsBxczGnMIwJUOsEKloEEWkiEGKA4EJLSwBpywTK2QdXKABvDiBv6noemA5k/DYiPA6jAQZWTNd1kY8jJNE1jRQd4wLhZ9zuz+1rVVI5uiDVeAJ4xG997ZtpkYQmS4JILNA/IMH8Xbb8YDe7jcIILGsxMxqCtrKTOH5h+90jCmi24YkD2FhpOOOMbCxdtvveBPmBOvkOXlinVNEVSmyiWUENNwpHEIcOBLz0n3WIZAVlY13Jhcl4tD154e9bZbZSRK+kCkhnw1UkdCSa6Jm4pV25mRWqV/n2sqhwIxWDNDqPIvVJAJrBmpRlgkMcy02mG4+nCIy4O/BRGlw/KAQqlBJoDXNmpjOMSLTEpsZOubY2ibMYbCtrrO8tc194hjvZ7emsAlq227WWDgim8GayjDDEeUYcyFZXEfOnGZGmfu+n2ff9/3Hjx9mdr/dVRVOFSpG6a218zwis58dOZRzDhNJj0jPcLsZpWTQ08uLbruhrWdjVpKslAsVyWV4UK3MUvZc13eNXHxV+9X8r/5/LQdg4v6YACiJVFqUUDgmheNMUxaChzAKdAoQZzP2HHNSYIXHyTnIsxnclJVJIa9hicx+nm1D+HhGxv1+RwPbWnt7e99hm5iClQ4Tne6UNOaUJeuEu+9PHGOFG4ConDPMtNYVkNOLoyuDlwsvEWV82GFBuY3hgKh82SLCrF1Mb9aAyisLBFtVq8vJhBcT80r4qfKUHi6sOHLiJ6O6Ojy4Kn5pj5bNNe5mah2BIoIAdzWTIrfnNRbAeuRxPODwGBFjzMgUkeM4eh/E9Pz89I//9E+fPn2C6pGIROXsh4qN0Y/H8e3bt7e3V1yr1qACyEJ0VOujUgm7MeKzsBHcWQz1hJdAmVeEoofvW0GjUbF33MyQ3KIKz5vZxNRKA2ZqGdSaAvDJTE9n+AGYYkMbvWRWsIcyFEeRWQZ/oiqtWV42/jh1V+3LSDN9fzyAYyKbC7fYmFnNiGs/JTKRd/z6+vr0dMf3duUJLC1w2TwwM3zB3o83YSmtiHB43G83j0AnBalJ/gTwZcAzLrHOs22bT8/MMTr+uoiwfuResigxq7UluSSihFWGMJHWG66k1fIXBFQKkMzltCtEGUp1cON55SRiq70CSnYKT1PYKTmLqVkSpTAL56qGtV1NHJQpwSwhKT8TwCnV/6DNKZxNIXNi4eFHSAjRvm0RkzmxCmNNKXhmNmu5xVWLCTuWKkLCLFSb9iEiPv3o577tRBRBfYz7/QnB4ajXufQSx+OEvE9CrPz3OzN7d64soYD8Gfe3tSbCmTR8zpimtu/7ObqaTndr7TiO/XaDzVyfMyt2kVh4ONw3ecwOkZRCQrorq/ZzPkankDMykrXtKZKRDV07EqCkPGGwaFJ6qwv6L+zhmsw/qIB1HPx0KPB6qYspWOgcnHIyjMpeP9zwp6DKuhBrD5eQyHSewmopHuGTTHKScmuiKiLkyyQng0Xb1qqZFJKU3rsWENdv+42lIl9as0yZ01l4zgH3Jwz4MD5ihL8TUxmKEZbhVUVFnVxS6do/JxLWMTp6SRHOIseIVhwNfRyQScRmggcDdV/L9DA9Al1gDR8i8BgQ6PqzMKh6wIIg+MF7WvK4YAg9gSG7T1TbKvo4RaKWmNR0a9v96altDXcwKXs/IYXKioXgSG7WUEhEpI+Bxh9OtL99/e3PP//cbzsMwPE+ElGzdhzH9+/fv3/7Nuag/BALAFvn9aBcGzNqxnl9J0RBIrU8u7S7bGKxAsRv+1NEsChkWehx8f1GJglH5rZtrRmzjBXajIN59EFMyF5ejQuBcqi1shzMkknhYQJhz1wxEmtKJmEmGI2gKwLkCMvOHRanEcS1sWbujpxeeAuHh7OL6tPTfdv2t/e3iGhWiTNwNbFmSO5mkWZ2nicwx/v97j6PoxPnMV1VgQjB+EGXBoqIIFoH7ohDlowBgODMjMg5ZyaLMQUD4CaczMkpQYQ8HcnVksh6mOjCdGq4LaC/xnyoYouRIUkplqCEo0HCXjgyWDATURLw/pDuEgLBoWxjZtbCIJTBAgn0f5kJ3Rsz00/Lfug1GjfKMFExkeTwgUE+I5K1NfYFOyDGQE2ttYg4HqeZsrCXyzcxAmaTmdk9iOT97Z3WeeM+ogAfIU5hTYqzdyAJ+A7Q5ubK28OqcxJ5xBgx5sBGmDVrrc05e+8gKpnJjxNhn+H+/v647Tsm6OmP83GqynTfFzAlLO/HJBZOCskY9PCw1tq+AdoWYZLFofDlSCSrtZeyu12kYXHa8hMDe73xC/Yhvn6e64VfzpTVLChWwKgyeBIdssLCAvlZIenhKAeeEsHuTOQUGpaqwCLJI+e0DZCbplDMWWcOxkdhJa3oOi0/uLo4UtZVRJQwDqo2g3s/933PEnSmqOhyPz37ebFNK0HvGpiKTSSiORFAD2uWFbleYQWUZeJWgSHMWDHlNU+U5B8+WjgQgdhcE8Nqu+jS2/EltftJhyowwMpKpoUQqWYO4W3bXl4+tZWqje8IsSrQiaDhZQoxxdbYefYlVKOnp+fffvvt8+fPt9sd9Ryr5fD7RGj7++MR7pSkVprsxd8SC2cSzlSq1lN4rb8lZ+2Elkf3x24XvInV1MxmuUhhiVfX+mGaCSXWpyOzLC6K+IS8cXU6tAhwPLMRCYOMFasXACbWnJFEKZep3MrGiYzwCSg4KPZ9lwry5sxMxxaF2DVU1uwDUElxJPjW9uN4iMiYrkQq4kQ+o6jUiDmnNUMNh9BzjNOs4TwvTBOZRyXIiTnLcuQ8D/egpG1vPkNNTS+yW/uY1nailGbMKmKkOj1EFZi6MCeYFKxaZB0eJUC+WJJ6IAt5ZKIUwmp/ZEgaLRPKjOTUFKJAPjurNGJirKSjNWIhmJlwdUnKyiUKAlVQ5Slrw7T2ANFzJkPmnCwmk5mcOKtgSS4NBhGzU76+viKuqGamLHZ/jDnLypzDPTMKqR8DEzGYXoCU1trj/S0j932ntZWNDhQCJx8TQkB8Zeg+iVJVEZEhi+My1fM8sXY0pyND9Xa7H8fh3twd+grPmN3xGkTm7F3N3o8jFzFooqxJHHWXRNv9hmdmRrJCXC1Ka9cySZYs7/8X8Kk3pswX65DGmJbrDPj40zUd8gLkkpijeKJratbyvanqVtatwZEcnJFsIhk+mUgomSxzJkyiPE3FbPOYEQnHPWgK53ASDidtlbKQRDkd21ujO/GVwoRiS/AAQGWVy7pu8VuAc8EtQ14gIhlJAqkMwzoYqB3Uh/iLdVpAfeOBkprITM0AMQKJKQ4RLheTRV6hTyIOWAwRLcp3hfRiE4IygtabBW1nRCbi7UDkodqgNRbmp6enX375DAZtjkq2ysyzn9xLUhgZ7u7TS1tIhFMhM798+fLbb799/vVXW9RmZrQVY/ft27cfP34MuOkt0pGXxXQFufyEKaI+rlmTicmzFgnz8uNbEYdqgqRC4kpSqSu3qvkiynGMDWZO8rpxSYStRqmtMS5Hh8sGQ64xVsuGRzOvP3/pap0WSgZCZMxOSdu+3e2O0+KDnmEmSo9pKNNmxuD6Od1DNdeEwp8+fZpz3vYdToS8Kjt2E6o2eUjKGMNM7/enSp8Iz4q0HapKqRle25iZTNSsbRuuPGcr6UDJIZj3fYd9SXlgW0sVSVYzymDYHBbaz8SsWQwbHm1IYZOWdi3rsuK3lc3TayN7VYVEKzRTGgn0cWjrcx0AzBcbLAumWDJgbHJkTQLMSU4ktBhiYqyIkocrpaSEQAZHZobeMWISKwQ1Mfnvv7+9/nhd/SAp5J6UFz6DV4uVW2sEf1flDGKhfva2baN3iHrBtyPOU4TNyuNBpDo+rCbhecNzaYqtop9XnQUMBDGNMVpr7vE4Tp+e+Yb+MZFa99G+TbOW5O/v78D6Ho/jtm9+xuM4VHWzxrdnrEQKiylxra+KECsJ6eJe1iepIYwu80X+Z+g/Mfh3uXxRuMg8kCh09QXrgPD0JMatqzlQKDNxRSIyhcPZuXxKISLG/jmlhoa4hmhQElNMD+EkGj45gpKNmSG9X0JFSP5BFONDqimwIBEB4HLR3RkJ8SgO9cy8rBKQ0MeVGUmE8IayXktZD3w1qriGzNjF0ZXJCowogoTZk2GXn5no8GiZbhVHXPh9tVO+aDb8+9fLlnldYmiokpg8fL2hjF9hIKgZ1trT09Pz07OZErGXgRJByDge3azB9jyRzCWcEX304/XMzLZt/4N/8e99enluG2CfiMDKix7n8Xh//P3t7+M4KBM4BK1mUBfcz0RJKcQgVGUFNtSqOTMxmZhTQKpVjTxl+Ny2LZPW113Ji5lqluVInywcCQ5PtraxfKhFMIS01jIHklPRuuHrXpooxhpm1O9WHHoU+JOL4iqd3phB0WwTRZrTRyIm1zJBrW7Y6BNnu4pgY5Ox9ZIjM4+ji2h4iOpFB6kpDhO8WnEJDIgyafqUEBFGk4JmGcVlAJlSEjNmaq2tvGaKnLgRatqslf+Me2sqNZNYqBoni9CHsf9q2hhLhLSKPp7KIoOrpSqMP5JIUjQ1M8tYFJ8dHqNQz6kRq6gJq5EIS4oI65qiODlTSBlhobFOdyZi5UayTMhW/0nMUeSiRgZTEknMUL0ECMSW0yvyTIhv93tUGEkgUwIx04HgDtWkxDhfBg8wpBOOCP1Fg4ISkpIMD6gpoLS7GIxVA+ve4czzOUWFgMwuRAIPYmYiuQHk5JxTTfHv1EGSAeN7vDMrSBnjlDALVmM8RiRt993UeLt//fULxpEkEpNi10mEhQTki6xetA5ULF6s1r5OgBq3mZCXVLMXNFcMN8ZiCa4SxcSStvTotVUCgWREMqVLZDB8L4OZQ6LYF2HOFPIQFhPdksUdwXI0Y0amGnRzgX5NmHsOtNgMfR+zMbu7SikdrwawyrhILpvfOSZlSjmJFsZ5gekYAlC7r7FeFV+NIrK1EvPgjQFzi06WiWHWQgvKqRgsIsoEJ0Fl6pnLMamOWDxCNamtH3jks3DV4g5VFJNA4S5U0mHbtk8vn56enzLLkRsFD0mNonp/eu7nGfCjFcnM8xyPx2P6ZOLffvvtj9//vD3d9n3P4nLFTOecj/e3H68/vv39N+YQPPUeLqKtNYwtapBsKDH+Ysu87DmLHpBl8GVcsKSwpqQ7q0hrW/XXyOjGQwrfKkbP9NOJIuLkaK10JT3g50RDK0L56vcFWlBMUotFr/MrKGGBR+uRdvfeS32ztx0Uy8LZiKEuJULZcSIWtv2+zelzTmCCTTWYM0uYfLvd3t/fUZdV4CLkCz9ldPfbvhU8Igw/jczc9o2ZrDVcydFHhLetUZKIzjlH79asxp/MCG8GPzg7zqPZNsY5PJjFDMJTHUTWLIPQYaPiFeWLX8CLv35tnUlZxRFtdtFhECFX014kASdnphFTikqBgAymnMuio2RbwsLOgV+j+iXFY8RlF6FEi4gsfkKYBSlBY5wiSpYqcDBiBjANfxuPyPj8+fNvX35npoy4nP1N7ThOKGTwrnLh4/Ezd9T7UBVAQ6UEX27shUfha4lEBoj3jLQGBNMIdzMcxAmEeuBPtNnoXUWnO940rItXc4cdB6r8vxkTbCERB0nBuHMUHvD2JmxT7D/amomyia+DAupaIUqQwSW+Qk9UBO8iiLlaJCoqeImkGXMZw5sFLVe9Q0nEhImFkJCKoTTBj2kCSuJIl0hnYmEJ7H2GZF1rEV6PgCRheQE51TSHs8BuPY7jYBFmrxmcyVo7z9PVC0/xYFjfBHk4EtykcuFTRClrj5fReDlPn8wS4fOcstTJKM9U8D1R5uX6CZgTnR1w6ljZAEmpLHUHmemSDxIxEwyC8qcz/hq18LRdzX7k//d7F+WnXV8amkMWmXMCahfR+9P9+flFPoxdywJznLBKTUo30zGKJul9nGcFyO23259//vnr51/vT/ea87ToaO/x+vr6199/ncfx04CSwrptdrHl16Aftayneomyl2YXhXjRIWymSTTnFGU13bc9KdegIOURRKSt0WIXGeqABS713tHF40LiyI3Atl2sx5muVqDILiZmIYIe7No5FVUZAwGpEdBkMiHOLDxl+eskkYlglfHjKPJhY0wUZaLEWpC7RyQcwSKimT2O40mfIkNYVA0rRbjNMIe4cCT0g0ykonMOXx5BqxdIdwcDnOvLqWjbtt7DwyE6JCZcPYRTR4aZqWqfU1nImDOZoARdQY+r5yeCtIAvRqquF47PvM6LzOVTjSOo+sQg5uAQznKBhxsQtrWohHBSKLMYKa2g5HIEWb0YZD+lHxDmJI5cFq7Em+0ZriHCKSYcCCSjpi1i8qa9n2/vb4/3xxidPDOv4I4kCtTGWDQaCp9KLYAwUkHwZ6LoO6AEWT7V19iLJr3QkqvLKMR2qQ/po3wWAF3xk5kiMvpQqwyQ8IAH0VxWkWpqqnNOkIrQC7nHOE8y0Xajdnu+30yB+jCJrWkqVTILzqG1WFH/nYX84YrXDea6+bUPzxdzjD+S6yimXC8YhkAhJrjOiknWg+D49pmRO10QiWSqKFM6Sl8mhxsnSab3mHMzNhZ3mjGP44BCht2xWc3MZtb7EJYf33/cn+6rCaUI76MT0xwD8keQnMnpHhmDke/BPOec7q0JEZe/Ai8rFLi/zXKJgDUYLwN2TK7FMi0/3YikyyYVLwUWfdf2gHvogs5o8Wh4o8FLMQIMsjYn9KdoASjLmUhUh0+8krVMS/T86eXzL59xK91XGgERUYrqnFNV+hh9DEiM5vTjOLCg/vnXX3///ffPnz+DMV4EKVFGP/tf/+7//fb+Djs/s1oRRR8BWpeXc4OqoKzX1aNMeN0zz9qMY5YSBYEaivDh42Y3qcDSwutVK5RRxFS091NElkNN+nQISSFpWf5akllxniI65lQ1PN6yZDxzTsB0WE1fha1uxZyOJVzo+gEn5FrGYxYPbINnoMtUHT5hvsnM5u77ts+1xoLDuc5MERPZ9tt0h7VeZGytZZIZETMIrsgYfaqW3auwjDFQuBFhsXQOYQ05asmcW9vU7P39vc+B7e3WWmQKWh5mVfEgFlY1U2PiZshoskxHHnsxSSBXuV7yLCfeOvavk+FqTupQFSbo4up38D4TBScLr3ByATuCPUDhFAQBokZZomqJKCOkiYglORbqU+8kcCAJpL9Mgj7HGZkmLBk5weCZSTixUiRl+jgPxDdiEVq1JZW0IDNSGcisykV9izR0LnrZtaM6Zz29pUGKCFNzDyg1eDm3qGrk9YBlEYNMEYX+42GSylwVEfaIaxcOB70wxg7Y16So+AiCmo1YN3t7f28qyTKSjuC9NUYHRYAIhSRVSIWXOxDRavavmYqL713XmdZEQJd/yxqJigEKYaEsMj4v94Eawpa3ICYkonKZZYxXybmaC2bmJJHkZAqoloJwTeLsYxC705jzdrtlZGva+4iIbdsfj4cw3rK8Pz1FBDP13iFAx2YlGtJi0SrsKCnzfr+POUytx2Aix7Yak6i6T9hGUXV8SasD8Tmn+23fE9G2y1IUsCmIh0zN/PCWcQe8lTOGsDILtv+IOVdCMhPPqMyPUrqRZMGA6dCMr36TliU4npmI2Lb29etvMDAgwlYBE1OEl0ZxKZega0rPx/E4jmO6b6398cefX3/7ut/2fdvdI5M8poqex/nj9cf379/nGIBZtmYegTUegJNXUgfOZthi6CKuRMrrjZg5kZF+UcEizE1tEqmGWdv2DYmHdRkAWlJ1fsuqXWDVJSINxbN9CD1XL87MPMYUKsUjlDxo6nGoxCphaz7L8+yRwUT7tovApY6gXIaZcTU4MBFZXAKIhDmHbY1JbN/343HiwQKoOsa43W5zzve39/v93kcXVhIys+M4iXj0/vz8PHrf9/3vv7+9PL/c77fH4zBTlJJt3yOCPJ+ensOjv7/t201Vj+PAAjfQxTGGiu62v769JeW+7/f97j7VLCPVbBznbncmftr2TaSfXbeNWDg5JYDqCigExh40eu3V5otcurRV4D/GABwTWhK0D6SM2Ga6aBNRE2zhuomiCxA1ViLSYCIJZiGxZOyQQIn4k4ZljW6gpEkzM0w3UXFHzFOaNhHwpzkjWBnRw8Jspl9/+0ILImQiog97Qblm9iRaOjYkwwCfCXfEdir8XNfQVn9dhJKneyVdZIVpiChz+cmgiXYvb9vRh4eboeshcPvMrCK9D7BnVOEkvDLLYozRrGVkUqgoRbStPW22NXPm1O0t2LaNVWlTmmRS7IkKM7y+V8r8qvMJNaUAsV8HQy5rJimglIkTq3Oy7gKhaceBXy8gZSYnKU6V5KhlbUGZ9GIUZHEJzAU31uAlIiTKavCA91lSHSspnkdy8QBjqEofUNDqcRxmWFNiIGcOPY3XO08LqRciVuljIKVgTS5wCxBsckRmUHKye1lbzzFbsyQys+mOwt+aYQIT5mRllmaoL+WwFZdHSZLxFhnnOE0NTdVai86C42n9p+ZJqkFzpdvD5DkjqgViz4yXl5fnl0/4J6/8temOAJMLO+KlN5lzPt4f7k5Cv37+9c9/+PN+v9+fnrR8F8BO0evr6/cf399f3wB82WWSKiXikLJorue5oH1hVjaz9e5YEjRMjuXTXCMltJHAXW67gYOE5gc1BBPV9bai4uFiLsyHmTM9NphYlCyn/q6oRArkXQVlsFKWBw9cGzKjXKsyiHLf9hKwMmPaw3tNy5sZr7wIN2u9d2wmEpOIUpKqGiXf7/ctdp/TzO732/v7Y875/Pz86dOnb9+++/R93/d9d/etbUl0v93eXl9FddPtzz//fHt7O85TWFrbsF4BA5mMnMPV9MuvXx6Ph3vcb3diGsMpU4QzaNt3Inp5fhmjZ+Rxvm/bTpHnGPenzVqDMocyhMlwra9NzrIhYeIQVqpBeJU41OIr7m+V/7J+KJwDyG8NAJEpSSIqkQq8PlPV1BSnJwgeAQRUUhVmvsiaAhsq3pr5wi6qdiUwd2UmVmVRZfLRaz4QYjIPp4xMmjEJflTElBQxmYXhY8p6EdZQzDCzR1cx5qwge0qYvHrOTIcjH1cWOXtkVgxWREwiVuNyA/OhpknJlISdAIpMnkgXwtaoTzPbt23M4R5kVtwV5RiQuFFGuoeobG0DWSOpynp6l0lJOX14MG+2bzeM2czCrQRWJaWq+YlW/a5+CQcrfgObFuiNquX3rAGMrkMjL9gHMBhuUFJyUnARRDVGkDghwqWAJZJgwkFIQlj5TndnSVKmYGIhMY8Yw2mErjjixiQix3EGhY4JcF/FzvOgJGvNp/cYC1HhomoiymcRWt7VyonweZwRC78i8pUpf9nxomICcJfapxlwDhgDuS6bu/ucqkpzCovD9QH57xCSlkV+uc5ttgUI8WX7ePURtUu8JHZR3eVcqGRpzKi26sKs3W77l1+/otuCd8j0mcmUzrw2lrOkB7338zyP84iI1rYvX7/88ccf+76bmYpiGk7K8zzf396+//hxnidaK6vMDAUcD1BdVHRF8sJ/PiJKHS+ymcVanGZmbW1BF9VgxYorMLXIULWluM8FRJKCJ1TJJGz88aLl8PbNOdUsL1AaxwOyaOLDCklYaBGI+pH1VFnKxHzb93pcpNRZqoZvM8NR9LK2DQjgjZRi1RTRFJnWzFjJh0cEgt/e3t5BQL2+vXHZXMpxnr0PhC/79JmBKwjHYGK6bTu85apTE0azr6ZjYFBlMx0+3GPfNmY++xke2VOWMJbC1Qw1+Xbf397ekki1WdPNmrC03ZhxGSkJZD0RUUaWT1xd1Kr+dY2LKv1AsxOnBrTMl8rN04Q5kyNQ70WFRSJJoempgl41SNCDSjECixiuM0mIiLVuD+5kEnQIUss+LMwqxGruXYVVLvEHdPlTRbNw1WRiM2Em94kvjP1PouJC1WyMoaqbAfp3eE+zVmiOqoDUZUScEWWkKXQRk8KaWWQgGbfQHs70JCKDAZlHMyVOd6cIVoFTElYx4I+rypmAOSUcl8uI43EMUxaVtjUWaUwqzMEJxTEjkMDVWpGZYDy5nHfRsFSlge9eaTELlKpKT0x5CXM/jv0yQqMq+omuAQ0AUSz5Z9DFgWB25PoTJLAUZ0JkBH4uypw8XZKFgNVkhtm1tEZzztEHMavBmK8DIgezepFn7r7vW1LGslUorNxKT4Xw7UzJzDEGwb3c1JehNDOP3iMTxwAzzznmmMs7jAhwFlHvvWwaiBDWDT3MdF82ErAerX1eOBpRlqgfaY4FJKrV2krhKOEOd/ZSKEyfBHu4pSL78uuXp+dnD6ek3gcTn70DdxIRdwBQjl/58f3HQE5h5svzy59//sPnXz/vt93U5nSPadbC/TzPb9/+/v79+5xTWBBaFYjvIhaV1Z7A661McmrXN+clKhPmFPFwMyuhLeg1QVCXsoA/STOVKCEMC1PWHiWzKPp0xGPMWUIfzCiRdeQg+kvLRgJq88zwKDVCJtqAMvyBM+BlbNa2DYviajKnYyzDwRxlJhG0TpcPXCspMkR027YC4vpwDvuv/sv/WiDwWTky+7bh5DczLFmgo+/9BEA5xhDmUyTWcsFpRlTbZFXvmMNjzFmq87g+Ez0eD2Ge7oblSXdVa2bDg4hHDDNLSsQ3i57d89cvv55n3365Dcf7m0zEJol8ANGgC2vhRVPhp7mYv4sppOv9YiJJwrLn2iGCUwrBBNrUMAhI9fMACqiwh6szTeJCjf/Zwb46yhJRg0TAEYQgDWVh1Wabx2AKFQlmAthDSVQ+jsIuiBRlUlM8I3MGHts1MqaK+JzMioaFmOccmdSaQZ6otcGYc0zIgcNdm5ZakpNRQTIjKuNXVnQPEYlYaQQyRGT6pMTu0oTyGsip4uQAr87kPghJxcmeMyIjhgiPPoMkUlIN+BqZsmpV8OrwBYJO8Gy4R6j7sDO8euG63MTEpFxusAvkzaAShyVcA5MK/RMOd6CIEJbi0EB4tLI6OTNUvqwinink6RXJ6dOFSUWdp0cCfuznUCaWynCfcxJzpABi9emp1ThDekeUIjImPkYCq2GV9EhYbxLNMSHBwNMPIX8Uw1oRK3gxoUZHkw7N1bJBqRBaZgJRj+NHlc/zxK8cx2GwncEkQY77EBGgI+PSG1ASUe8nxtuIiKRKT15jRNbGRtk83O9Pz8/Pt9tdFMIz0QgoSIgI8gEAQRnRez+Oo88Bf+Lffv/9999+2/Z923dY0sJa5/H+/ng8vn//1sfA0IPKU/RP9dJSv7DWIAi7u0mivKJxc/UH1FpDj5mEdXVSFqxJMSsGGpyyyw0J0ohYWyOVY4MFPdwOfGXsTuG3oHKoqgg2grQR45LSkjl4ZKZPn6MPEd73W1mFExEz2gjConIGUligG2Wiemh1SQAoJVVV3MNMkRmemebhQenn1Nq7C/AVzPQ4Dpg+M/5RIcx0HqGCNEFsr+S+b+hoYEaIcwyPpnvCFOjyAUXoSiYN7kAUzew8CXQKOhoUb6yjWItPvz7/d//d99un+743j+hzMgeTotmjqsPo/QvqWYdBKf3kgnqAFVfnSKtOQfiSTGn77nNkZD+GsWxqTCokzGpinAR9ushlVXMBjKSivKoSsV2tXAEanMosakCchFJFxnmo6IwUhuu7jpjCChcIFVkIlqfTUhlJkAsLJcMlAgdOUKoZJbPw9KB0NaPMMRwtcXpi0ySTIqdZYxGfyQzzoeLMM7GZKZkUc4LjTUoRTyrrdqSHM3NMJ2YWRZLzmKOv6AisEam11Zsns/iYrCHWSuqj4gxPFlKB7SLj+ZHMusZUet2L8S1yd233VeFfU8CF9tRPaEkADTkuFBkmLTI4kpI4eW025fV8lMI4mJhEyYHKclKQilI49OrJONdZkb3nTpR9TCYiIRODTUg/u9zYmlFWGjA+UnjC/dBMsUOka+vi6uOIad93HABEpCq994QddBV6XpL94nvwEq3XM64WOzPnmLx9yLV7r2D63s8kcq3uu4wxIH9FUQMUSEQX8lNFtRynIfxhIjy6eDGVREyenp8/PX9SU2LCsZfp+OvAT4Rl5sxMn/M4zuM4zvMQkV+/fPn69evnz5/3246bjkIUHmj8f/x4RYbdZq32jvG6Yf362qJCN7OQSvwZFjFlfF8F4kb5gFLRD4F8XVwExTEgAlGfMrQWaHl1TudiaNfDyNgnLIknbmutdwPmAjuF6xDgQlDf2SPmHLSM8u5P92u2o8wgKj4ji3dRNRQxj0DDDZuLGvUSslGa7nNM5rbwPbJ/+S//PcdBBJG4iDB5xMIoJwZD8Mt4UiFUmqOr6eizbVi8dnzDQLzhRiRSaTD4NpIQaxURziL97GYGq7+ID8iWUS+J3o8zM59fPh/vj6f7bqqeGWMwEXPQDBa5HD/5utW5qNfMixBGFb3iAZfOJYEmgEwnwhgTo89+HP/Zv/q/NmFluW2bqJIoC3KChUVWvBszLe8aWecQGi1a3lKFgYapmErt9xGzUM55v23/wf/43yc3Io8kMeWQJJpLkEDJJLz2UnnmbGImhkkCW0I4f+YazzVBjpXlAPbIipqEckbFCYAmi2RZR4lgiCYiqaAJSCHL9HxFwhbBzuVSQkwcHmd0IoraNS/AnrgeDAbnz8pEM8LPYSwqNonUWgMRHWHNVBgSAFrwDt5iaHeB7VT1X4K4usQXzQOJ2PoVfBoBepbgawohpRL7L2UjnhWuhZCVAkz1X3WulEUuY7sY0joWzuDMl5eXjaEvo8hoan30tiEkhzMTcTrb1s7eAXlDfzLLWhk8U8kHAKnzAnnwJmI/wN3N4Bis0ycmmKIiV4eLEx3IDNXiKBw6kS2VY4yIFIbik8BOh/uYE0wAlpb7GHUkgzKa033WlBmeCcixxBcBeg8gK6WafvnyFS4OuHXQCkLaSCCoR+05jzGg8vSI2+3+5cuXr799fX5+TspmDcZ8SekjXl9f//rrrzE62kfhwrhFTZRxELLwctNHfSwYqoLdiaoiU3LWpNUdxvKA7zmJxKTw5J/WXQMBX9XHgyejigtctEe4J4VPX8sBzMFqNkHn1pYlRlIuBQqztVrRJ4IoQ/bbTpe5twcW+q5H4qdntnTb+N5L6oqCyCB1wAOBK2I8PMRkrTUJnxOcjxGTzwmNqgpzMxYhJ1X1OVUtqTxL274tfRTFSrinVe/wjuE8vTTIgMiTSy3bmmHhW1XNeMxJhbqhy3BT8Rmt6euPH//9f/Ev/5//r3/zr/7zf/3p04tn1mGeF+a/aPiF/9CaBNav/wzLrLnhai4xcIQz1pVZ//q3/42PMyOb2qQQERITkxgCdEVQygXVRVhESNb4hk8Fz4KSJJJwJ57KGWTNYjpJciaRi+omlYnk6evl7Pg8ykrMzJqV/GlZemkJ9NqctUda2pCIsm0I9+twdIhH50RTQUYayZHRR6+HhtlUM3L4UIGcWU1tjMFEntFsJ+H0OeckK87CPZglfFKF3wqEELgUeHdgmK5YlxAhtulzRgbNQdG2DcalkcnVOa6DDYIfuBoQUVnAFuCzOv5V7Ln2/ZJpGXAtXggTPSW8boSizxkzBP56uEwQHNUTk8yUQYRIcICzknjgRBheNwzOI4goaiFQlNhFELHH0ycM/iLRY3CkP44+fUN7XuV7ksdcylpWLqcHiGFQC3AAqKp3x9mcCYn9hOFleu1k1PONkq0SAV0AEZWDEApKvS0ZnotSrwgU2WRznxHJpm3fnvIZaD6ogfM8zvMEVrNmlEKBrqEL+pnbbf/06fO2NSKe7sY05khKE1M1tBclTs08e3/98WOMwSKfXj79/sfvX79+LazbrJ/dTJFA9fe3v9/f32N6EkLbmVdQ1+r7heuBgRuLor4jRGypk6F0h/yYeWHlIvJ+PD69fMrLGFU1iay1grTArKkyO+aN8IkvJ2W5URT6vt3OPAldbyb2NlikBqklEakJv+augDf+tm/bvpWqhxIWTwlHuepfi+1XU1X1wK4AeiW0AUEkqgVnJacIvNzqhRHh6WGjdxY1VRxlzKTbJqq+4n+31pzF3fGHmHnMGZAPkqipsMBx1Ex5GRhRva71M1OD2Wx4uM9iYJgzMqim0fVXykamioWRsPzf//W/+vTp07/51/+P/9N/+n/89fPn7bariWilOEkS/aT+pI/uU36q/3R1/8Qci7xa5wGIF2Lm6fH8/Nwf74hsFQUIgC9XHT2rMHYQ8pJu4FGDwhzfOGwUiZKqh2bFSel4eeA0ALsV6PaTSM1pcHKMiTudnBQkyqAikRszcoqUY/D0yZEqrCrTJ6InmMWnA6YztQFKUJWSUJuIOBJmMm01LBkRlLJvtz4KGWBmLAkq9FQezNS2BpEPIAAVGxnoDZl5znAPYUGvqk3SafjEietzAoEzNaek9E9Pt88v9yPJKShdgWPMoSJF/NbzXMGFmVWF4S5HVCq9XHe0NRujr6eBcyWQ4NE/k5Pp331/O0b3oKdPzz6TlYvIxLkR9dwATc8Kh0m4Gjisj7Mc1CnDR4+3tzk6RZAmkWytzTkLgya+/jrOS7wXsOmmSA/3GSNma81Ez/NcWHyZf63GhnEYTJ+mpmZ+9jFHa209l/hCsXrAunK5JNILmqCqu/VucHhmOk7s0vAsCA2IzfQJvi0z+xhjzlyrs4t+YagcKckjtn17eX55fn6GHoawWr+c0Xrv5TWS5DHn9NfXH4/jyMxt23//4/fffv/9tt/gC4TyYk0fb+/vj8f3H9/HGOGhSFZhoiQRLuO8UsZCxkbLvwCALUkqA7svaqACr/C9tm3jMcccn14+MbO1Nse0pgWKcvG9oPUA0wOWTCJTmz4Fu2MiTZWZ4ZVCRMDcmalt2yInSVjEqlvCUfT2+o6D4en+ZK2JcIUvZZoq81qWJmIm0Y/AZ6rocsnI6UO3PQEKFWpJGWFmCbYgUlTDkTeapk2J2afHDILcovjkNDNVOc4Dy/nuQcw+Jqrt9Bk99ts+fRIeKSqHAzxxzAzZMhGzGQ7/Zm2zDY/pjizZ3kVZrUVFJtUDzxng7r59++vvHz+2tv37/6P/4f/l//yf/sf/0X9oraV4fjy/iStIRbeulv6j9pfyO6vpWRwuFeNF1yDBTCkemef5X/4X/wV5mpq2Tc3QVZrpepuUjT/C4HBQVH5JXooVbMwmpbC457YZZrEZYU3SA9S0oASJsNmJiXM4jHSiQjPgMErTOxOpmDBPnzA3COylJuggcEeokpqZw5cVc0pQgIMqiQJCqSK8yHLO9HCICpgYSBTjbnhMKauy2u6G1UGfIyMRIEqUZsuWlZKVIdfB2gJxENOYg5TOEclMKa9/ffvf/m/+1z3i/RwTgoeyGs2IJAkKgFG5rNPwARZa/ROoh8cd0DwR5k6S6yaLMAt5Ht5/+6d/8R//z/6n/+W/+a/2p6cgLBvQAu9K+F2MQNXM5RcCqujDTzMpIsnzOHAYRviMIUIR7sScIawRHpn7tgNk9IjeR9vaHJO57BvNdM4Bu/yRpffHhFn2EjMSlCnR9JlneISqLc9wZ0hNhBEigZZyfVskiX6oNvDzenuWUhNdf2aqCLKDsMaF7VPikvaCTmBRjJycXJtWVG4Nz8/Pt9vt5dMnDJGIb0SEUcEF+MJEc463t/fH4326Z9KXL1/+/OOPl08v++22lmqIKH3Ox+Px/cf397e3TCjigKpwoStLl0el9axjCbp+omQtp0tMyMxsbcuoDd71EDGJiBqRSMn/JZFERWnWIhxee3XWCgnJnNNUx+jbti1vn5otej/Rjs+KmC3X69IxS3llT5/Hccwx24YAPswYlSlPRWJhGwYDQT3M3U8RAWDgEVZBiXCgqT4JXxEvJnDIGVdaT1JmSUdxsbA2nUlAGCErVNWMjHA4kZFqIOmitTkHc33c+/12nh0Vp5kR0xzetu3oj9t2S4TkUeJfw0UfvQNLGefAzqohmcA9I4UJuiht27bdvv/1l1D++Pvv5/stORiWolJmLtXAU23UVNXPpIJ3C2XDYXS1ijUnlbpBSuebxER7axnxfH9u2yZNw7Oo9fLVqcdmIfBMCSa2ojZqLSzSfYKuYQLnI0nBOLGTiGhTiznbJj5GGR4TT5+rsKEgFX4BiJ+SJixFRUB8adnDVsmjWMhLoOuv6RJU59qKIGaac0BjqiKoIwUscomL0Z4U5LSu18WhjNGLE2NANfjzJWSsGoMQEuKSW10tD7OweMTbj+//h//9/84jzZq1xuXRiPe6ZBVYVaO1o4QfePTXyMuLcSHPBQPScoTlEpl4JBP3jD//e//i+f7069evf/7TPzkVCH6VxTWOfpTQagMTchd8P4h1iwjPiEE0eg93Ey5MDGVJBAeqabV7c07kV4/ea+yONNPe++12w0uH6q8q8ANnETM9jrPCCiLUDL4uutvjcdgyciehOWezRkzHeUDGhncKxK9IGfaxULgz02Jxr9j3INIrAR5Fn5jCA2QDsk+IiUWoQqRyZqqQqr58enl6flldrbj7vu9jjqohZjhRESnx+vraz+7h99v969evX75+eX5+WctxtQ52nueP1x/vb++QtEEDgyvLRLqUP8sriVGF8ZzIcluri3NlEqiqKl2W8ZAtRcYyNGRnMyUWSgb3x8zt2gyoKGbJyH3ffEbbxFpjkYxQqaU2VT17hwOSCKsZE8XFAHv00c+zu89t3z5//hVdSB8db6epZDGXKFkXoQXGAKNqCksyXlWt47wIqVhVo8Q4omXcfY0OomKYMkqqqiv/ITyCzew8zwjf91tSQp0mIhRsDSB+w1Rckm4Rd2+tEROmtsx8eXqBcrmqZgQR996T0tSawbTImaX3M4IxWQsLZaowC6vJtpl7//rLLz47xTTjCCdKcmJGs1oHHv301q+jjS7UeP1aoiQlZ2X0UhLBX4h9horuzW57U+N9U2sbUS19VQle4zbxOq+vjJHLp5SIjUqlB8sjaTULU4ZwUhCRECmTMN2fnqATb5u5z9e3t+N4UBVKVlWcCipLrJh1WmPk5yLWqvJezz1+XUUiUk0BBDEzfGY8HBtArbUxpxCpWeRHVcWkjD4C0i/GJjqesyQVgbkVaiU+bRIBWy6vUCIKmA5RZvY5gkNIRFWIfQabuKfcbpm+3fYb0s/RPZUaut5zlCRaIGbJ6qez1A4RUeo12eU1BYI+5jTJOR24+Axr9vT8AqEM7BbWTEHIqY3ri9UuL+w0OTkZ1kpYfJ0z9bWaOw5Ax8K1W8ZEHs4VlJjNGuTGahoOb5UcYz49PRHi4RCkkTQR+EOkxHNMJmIhIx1LjZNE59mpAhorXEy1rHjA35gqEQMPpFq3QueOKR2Ha0K06h5E7O7YKM5MT3dP7IhcroJoNeYcyEOVJCJW08+/fvnll1+AEmcmPA2JvV4BU3AJY4zXt9fzOD08Mj9//vzly5fff//9dr/j1YUxQUS8vv749v17PztExqv3qpMV5R4nJfoSEamiT8TYv2XBMF4ZJ34mHFVFPMJU0fPBRblZI6HRR2tNVAkmsMzM4jGx9svLOY6IklNFZzoqHhCz1pqwzBxEdtt3Zuln3/aW5YBd/mn97EnZmr28PBcYxbzAx5RFFRSSUe3NEmKh2SJxcpj+VsHhWpNczzvzulwXxAflK96AjDRMIkyMMFKcGWXUDP8v1jE67Ngej0OEVRULn2Y254gIbQ2NpTXDwSKi4TMzHOanPonYrC3bURLhOYeZhsflfYGXHoInWJd6xHg8Hm8n/8Ui2szMlDi0QP8MCmZZJkDV4uPdkJ+afSboadDKcrWH8P8iRodoqtCdx3Jien19/W//m/+WmEUMhY/x7CxxWJG+UpwbcFBVFhFluQJPVcWHC5uoxJhBPrpb031vcz4QTxHpIrxv2zi7zwl3iZgB6kVNVRnGILBVgfFGnRCiLDS6LGkg6/IyzMzb7S7CiGe43+9rPCIm8ij+ysyiGMI64dD3Vz4qlGQfI1R5SqMZ2W838KI+prUGjQDqqDATpYoxS4bDI4hFnJ2CE6sBM1jrgT7PczOljOU9Tvg2azMUIh5hIuq9tw39h7PAn336nO6z7RszafkbjvBIJjMbY5JQeMR0IQqffXQ14bQaETMj42oeqJiHQhA/jgksE6Kbysx0Pws1xwfFwK0mnCzC5+grZCpHeFBISmsNARsoHCJcIYUi53lIWV8wcbmzFwme1YrkQmyamWeCk0NxgVrGWuvnySJw5QPkKSJQr2OBCEcBAKSIxHiBg4RJ5wptzaA+xpyTklCgikkGxg0bsma/ff3jdr+B0UXdPM/jOlVVFSZuvfd+9sdxjN5vt/uff/7x9evX1rbb/Z7pSZX/8fr24/u3772f8B6vIPtV47iWLuuHqgJ7ALVAq0piNKCo1KDLrg6OZLLCnTJT6xUIVdiuGy9eVxATL7VajBcEMy6UC5BsPj09icjy9QsE62KHa9vb2iyjx/E+xsBg9On5E2o9LlGEt9ZUzZqtR0ipUt4WToWKggHRNCcwyVi7fYVzZckHse36cdEwOjDXKJKZxsTa7GpvrBpAoXRW3cyO80AXFZlmlQoAJhOiFNgr4YgGK31NzpHJjo/N9eUplzy3/GQwlqratm2RMWMwqZlyRCbpZjOSQnXTzCCR4zxv921pfrMwyo8rU7V+tf/MawxYKP91FNQzUodBafdWdEjE518+jaenz1++NDUSLlgQkRHrbV96CxC9ZciolaxCCSU8lvuDmGCe3JMzRpKQSm5mWzPwLtaMMkQoI+5P96fnJ4pcs8fSigkLS2RUkg4U7lJzsc8pyyCFSghIZjawohHJqnOOap8451J2ASWDEAUFd71A5SeFsSaWGK6eMNgIx8eogZ4jV+0ER7roARw5HpTKDb0cwFrHai3F86dnn54ZwweWkEVlYXsOPwISIeLbfe/nYAE1JaiG0L1A2RIJvsHxFPQxfAYrC6eaqokIAS5wzws5LOkA1USJUSKLX+FMrTdLsDhAdUBoetKcaSzCJEyePj0oOYm3tnmGKHsZ5IWoUJKagXtX1Uy+NPvbtnkEgaxbiHM5C+GaRrLAIDrQ4DVTYYnMZpZEJGV3A4OmMQea5ozQ0sDEGtQiozagwz3DI0XYfDqB3UiOnBHpZbCxGFFiOGezyNPTy+evXww5iD4pqLTEBOFouIcpReR5nm+vr0c/m7WvX3/7448/Pn162fZdSk+tSXke548fP17fXs/zpFxdLWUSCYmZ1dVTvcZuQZhEkjCL6oU+Fq5R25xZ0GsrSAfdUllrEHOtCphgOZ2JCRLOwg+ZJKlWtfF+wfLODPYKgsQbVm7SIABbTSdNn+/vD6DWzy8vQrxWi+Gti0FEuRwGBKA/ZrWy2sXjWET6peTB1sxCrrOURZEh9IEBsLCQjDHAnKnZnE6UZs2o/OOybRthMX2MDhVz+RdgpKDzeCBGHKmBrTVmGn2wcLCIqs8Jig+PaWttaxv0as1MVIKCnDMzPInjAmYiyZhABhAh+2IwcAwXD6egp/2JWe5Pd0WQPWkQJQMs0iRSXqK0JOasRvUnyHgRI5wZQh9/lpiSQlgzPCkpJJx6zxDVvRmJUMCgFJ011yIeXYgHE8Xai0GpLqiOMyADzWRW7Oa13aa73oSYVMhjjDmWCTnaYXl9fXOfrW1wHQB6U3KuCG0qBHZ3LN4pxpwfiNwHGMWiGgu9yUyaZdiSnCxJ2HwWUL5YPmVViSzVSn3jzugNBfa2mQgPYU5Vi/SljYOLC9ZThShVNaK2UbQeVshy8LaSY+NopQPO4ejtQEKix4Pu3uGuo1a+Dp5CTEE+g3iFr3JOn63Q3mQha+rTDaq2jJgUmUHCwZeeQ6i8C7IiAoo6yoUfAR8H5SssWG3lJEpJTaGcwb7KJWpkeAC5jRnPtxsnjzHhv1T4mzBIRcqMcKRcIbMFot7gFOE5IyFjp0UpqRIkqyJM5OXRzxBQQoZPLChATAx/U5/TWptwqitJQQHEWRZwFHOZj7L4nNOjgL7I8FqUQfnLJA9X07a1Ty+//PL5s5gQUbn/UmTkjKEi08OnJ+Xb23Gc53ke0/3p/vTb77//9tvXp6eniDBrzNXEHsfx7du3x+MdPkiAvYlp2zYignbWFA53Fd21WKVagtWKEossAleK9YpklXTH7hHaOHyJemWEYcbZ+8kG69CAmDDJeW0WaxmDUSyv0PAw2OSAvMSZFILzxt2P44Hc5tv9fr/dkCamOLEkuBJ+Ek3TnG6tvFRxi+p4wKMIG2CgIxXYRwyGNZNVuXhKFeb1VRICTLQaPmFyTiqmpgZ8MImUNIJUlWFLJg0jG68W7/npOTMfx2NOBc6IZc4IBDRifGazljlZZbpfAgZGugiRqSWHzyieQJpZQ8M1x+ClmhNBYjMDosHFPc8O3SemcEGiLiUhH3h1J9XsJzFpXrRhrnOeKbGtVyPVWlpJ9H4w/U/W7ejz6ekJLvYs8AZiuMoCs9NrvGDwSXUCZK2V1jlRR3ZmMovKjJNQ/JUEtDGxmWFTydRu+52ZzTZmJpbwqYqNblvqImEiMZ6ThIWJVVQa+hVs3sEN0S7QvHD/kvTonCBPWIUjnIUFEvzlr2Bijs3krCUGZgFVo0tYU7aQGaAi8DLkR/QoZZKZekDPnsQSHNJUJ+BajfScycmihdgL85hFgony8CD3cloSZUEq3sTgFe4wPzDRMat9joizd8ZqaC9Hs/Ps4Q6pSHpkBgvh/c313MBYCL/FSOmWFQJZTSItAhxxcBdCm4XexpQM5RBOM+x2yW3bIawUFSaFtJqqmatM0musx2My5ri6PFWNKC+gcFezLAOARMAntIYgGzLgnZkAywfk/0kEC/4kIXWaIOSxiYFJLoGJAALzDBqjDwiyjQ2oURLFDNECOc1023eEsRAmiQU5XjtKx3lo0+k+Rn99fRujZ9Ivn3/5x3/4h19//SIqanYzg5vAGOP79+/fvv0NN9mSwGM/QZbQR0TNUNTQmxMRc6VORUS5eEuZxEAD3edgmLSrPj3tySFiPqdtVk6K7Egaj4ikbG3DVRNVrnUvdOipy6gOW+zo6AG+9T6aGemakpky6f3xfh6nNXt6ejJrmMkyqRJm1jbf9KKpF5wlRKRWODOO6RrHaQkeVmVbWH2b7qhpZSYq1XFlEmovJYlYyhSRZg3aOoOEKDPdFa2EmUX4CAcm49O3bYvw6TTHhIm8GUfkT6leNN1Vatm6tXY+DgzAokJBkYHJGsvCRDTnaNbA43mEqYlwTB9zGJOZUS6FQUZkzOmP40DLhnktmQVTqTCTlPaFa3M1L4iHP65ZxYitP8dJdBECaEMRjlo2HeLDt31rTTJSW2MRERVW2GTx2jS/IGOI9uo/+JlA/eIeTkRtaxEa4U2aCAnz8XDmjJiiHDndp/v8+/u/y/QxcjPjZqDToAJA55il9SRPJyJxVZUyLmCC9hT2XyDB3Ke7swiRqYqagh6EeDnL5DdxPT09mTwmTg4oxjHbEOWYs2QIIvAZRo+wvGVk4f+FqkVGEqmJMGMaEFj0RIntgemj2pZ7oWApz9D9+fKW0JQlP1Vinj5ZhYW8MJrqQBu3OQcwScDQyqImvQ8W4qQZKWQUdXwutCeB4WVmUhD27a8ZMcsYh4iJnUiYIjk5hFI4I+akjKRw9yipIwtLH2PfzCPmqNwPAGVobUHn4IHxwF6PxZy4dF4rx0Qi4zwv0AOvxCzLBySCLVAu0G8knL8iAuZ9zVpmjDk8HDlLgDCxPbqOQJoR0yeaISxqOdqDJauHhQ8xPz0/f/78ubVNBIrs4i3P41A1d++9U9Lo4/F4f3885hiq9g//8A//+E//aGb7vqMBG3NS5I/XH9++fcMJgSZQxCLDlnHCYndZ1TwcxCbiQYpcZWltrfsKcxYqkqVwIRWrDoBUmOy2L6JYgffgLHb3ZgYjEBU108zUbXP35TvLjHKn8IiTSTMiTFVNJQUz1uPxfhynCJvZ89Mz5G113lOaGReKgwUKMjPE12DFBNacRARxPC0VE/9UcEQEAmJi9gUVMpEDGePsfWyt8WKt930HYFmePc4RYXMEJTXbkmjOuW1NRK/tJBHNZXvnc06fjRszn+fZ2qaqY04m4qis6j56s42J1IzckwkUcfhksTE7ZxHrTVskdnzgr0vIotnb7hS9dxNJyjndPVUj+oxJ8BW/kNpCckBmwbuqMP7LIpgzE54cF2qf5fKYlFxrKuXpEkShTTOobdLP47ZvmWB1WdsGWbGo8Nq8J0q+8vOKDlikQDJQPEoKFklTEVX12IhSIZ4Mj4czOzP5RKs8Vei//a//K+NNmg6fwoYVB+ySftg10kqcqAUITso+OtC2TJpjiooPx8wIWUXEJNLpc46JBfqoMbtGS2ahzEkDvf9SjAllXhwaui1dHLvD1AwcSpSDAnznAwkraEwoI1NZM8PdWRSFzMmFea1XxQfzxpzhTBQ+kW2ZEUxppnPMur6Rj/dDTT183/ZNmscMCoYjDfmafoAIsZTJWgbRcNikBF3SdDT7nMtSner60Or2I5Mi0olYiRIKYsE/M5k5ZjAHoFxmLPKQD1h0FEXsEVvbiNLdge8VFIbk9Dmvk3j6ULXC/dRwhKNdK3AswtQys/cOQSS+i9Ly4cnOwC5VRKhIKeQikqBDT2KAVzLdl0zQKUsVEokV5WCV8GDW/Xb/5fPnl+cXLENA5RsRnpOS1IrcntPH6O9v73POpPz8y6//9E//+PT8fL/fmcUdVHlOn3/99dfb2xv4ZDPM1ammxpVvhXkbmIAu3c7K3lICTS7ESMkGZmAGHBJvOmurlewIYvgLLrUek7IyXyDn4hHrzaonYy3W4UfoMn4YY6DNwvPvHo/HO7S8Ly8vuCNwdSW4dcGMPQp2UxWKuORqGNwRAlx6HoXirkodcM6ot7YUSpmlXy+RawHQBDUNmG1aBB4zQ9AvHMFsremYNObYtq21djxOeG0TwbchWRhxQ6q6WcOw2vYdep7N2hgjM1pr4aGso/fbfsvLK4olEdnjECNCZ0LMqly4EMFKiBhiFTVFwp07+g4myj5mH95aC6wXFRtZgFFSwAMShwIEKzVZX9oVIvT8XD0dUy4X+GV5mMRQM/bzcb9taqKmtm8ZZK2pGSgaqvyscg2jmjCAV/KS0FDxAcBhhaZPtcZXQA8FhRDx6LMhvYuCOHvvPqdtLTwoJdiVZFXYZBIksWBMyQiR5tNv+/72/oZ4vPAgSqj6AAXK4n6Ja4DYNsNuxxyDk7DkhesyxiiTdEydmWBTLoIBQHBkeu94WRgm3Vgexj13z4zeRzA1bcLsGVr5wHg2SEyLJExnIjGdfbbbNoerCDE3a7DnVdExB7Rn0AgSYbBls/Y431XFfWpZvoun49FS09lHqQ4UlaOMEgvyoMjEEEP8wV6jY8iSAPFaHkw8NUIkM6fjPQQY4372Dr/YMWYGsZbaYfrEIxEesnodvB1E5dMgIvkR3yEgTpkp0BRmMktrDTEsc8zTh7BAcDHmYJFKziqNCkUEbEhwCK3viiJTmGAfm5kxEytaWNHHQICSh+Ie7rbpdKKgtm23++3Ll69tQ1/pE4xFuVYE4Hj3CZHP6GPM0ax9/e3rn3/+w/1+N7OiN5kj48e3799fv/fzhJFwawZpLNDWZm01VGWrDLxlBo6KDzt+vuydI4s4EtGyQM9tU9gkQMAjWFIvE9BqYkRFUoI9l8Afpguicu0tXSAnXvPC+klxed3jPN+P4xTm+9PTbb8BGBxjXiAWQRsNG+2lUk6UWmaG8BSH+yU6r4Zy0YqLt2FZ/l5cGpD1hC4jFkr2jEg1oSykCA8S+mch9THt7J1SSeSEmhuDJyeMSvDkMEFjLsk8R88kcRcMvj49PNzFytZfVc5xYq0GsEtMB0VuTTLSKYUFBOayGq204iUuyvCAMzBegNZUhfd9M1M4BIhIhCN4PYtP1kLy1xrQum5MTGuZos5zrGplGaLRwiu4qBXi9OiP8+Xlk5qpthRWM1EtarXuEM4SWSfA0oOiJq7BIjPhpLvLzspGjZJEmcKTWa2NMb0ZJQtLBPnM8xjKuwi3hli4BQVwKXyytDrJRKN3VT3Ow1rDVlFmRrj7x+sB4AWvN851QLboN9GMTJ8SwkukxcxjLRaAZPPpYho17AfOdeaEWQrMYVSVs8y2fLqoCtPZj802Yh5zMImIzDkrLjJShJVbhKfHtm3n2YFfi+pMYi6NGV1UduT/h6t/W5JtS7IEoaGqcy4zc/d9OfdzIjIzMiMruyqrRKBaqGqBN0C6qZJ8bxAQQWg+gf4OQKT5gX7gFxAQ+o2mGgGkkS6q854ZGXEiI07Eue6ru5mtNVWVh6FzuSc7Syr22du3u5mtOfUydIyhFMoCcB9IX1rnquFQjxG9kc+O/YIRodYkGcmlPEJyduWSCUnQ+40TNhbIAMiH4r1Dfe5FX+A1pnG+D4+MlJJT1Zb1iMgcY4Ng6Ys1i0iON1trHjm/hNPyIN+8aaM+Q1RSk2X+uq2ZZK1EDQmQlIltY+i0QuEr21F4IvKqsm2DfxsRawQS5JJFxDbGGKOoAXP6LSLbutGHh2tnOO998fKlmUbGdb02a7VrzCk9dhE5Pzw8PJyH+7pe13W9e/bss08//ejjj4/HIxNbpJu29Xp59ebVu3fvSLrnMlsIIqIvneGu94ZJfmNTyPvFzSdlzCPSVDOTlKpp7TBFOQArNjUK0WWv7znI8jLcxcRVOFypDBSsEAABiUMVhwlgOjdAirr7+fxwva6nm9OzZ8+IVIlBIGMgyx+T4iEKRSdLUUoMxIdlu7sDBHOVeiWbHcpmSSJ7OT/BBxEFlbPFd+KPY9MiM8XULEFJrEhRbdvYDoc2fGvWr9ermvber9drIg24bmtELr2rNdY7QaFWpqZmpkI8AlrzK1EZ4WYtEb11aqAJVke6JwLRrMWUifDQV5xnRSay+dCyDgBUxuojrtsIVYwxRLnOMNVMswEZGNXYQjQf+emPN7Wq/soAkcXUpsPa/Dv+D6w15aLJiOvlcne6ERHtnUagmEtyIKlCPkbxSUq2L8q5suRTETIROvS+sGQ0tUzP4aYtg9M+pdI/Aus2jkeMsc0kBlIC+ERnnWgRrmaEDkUgkdu2Lb2r0il68K+01sWJULrhBQr11gm7MaGNbXVQbqPbIIeE+k8ayQknRcgUs5wzP/fCkIjniCZYvSogWNdr6+24HETU3bs1QLaxPmFfWIQvrSWiLW2McTocr+uaIUxizdq6bnTT5fQyPSApqmMbAJbeWSWTkwYAW3LIzMwWfDGJERnpZjoyfIyIAWSGexCnqoRBeI0UL7JHilxQ1088I8VjgoeZUMU6ti1iJJrU0rlKLrRxVYmI4UNqmGkcezJ3EiwiT9qsbdtWQPYcFw8f1fAlah4OEKetYMEWLaZCDABAq2cUy5YZi88sEhgxIsIH3T0955YIKiqMCzGkOAXPX7x4/vz5shxo/euDZSa2baOPKbU+63W7rtfr9Xq5XJbl8PnnX3z++WfH4+l4OpXUwGxd19evvru/v79eLxF5OBxQW1Q5452t56PVuiZbzLpee09fgbSALxohsH5RtTY9E6dbVw2H5/XZQdQZOoh4FJTDO04tHKcQ/BzkCSeVHfbl8vDwcG7N7u5uD8thek4URXA6tuoM8RrkCwgBsITUtt4JLmV1hvMXn7tOARqBa3lCTUbp4RUSXIDoY8yTQzBc90yQmYnQlFKrCNrXv/1GtXmMZp3zAQ7B6TA1xrZeC17MzNa6qpzPZ3JAmYHWsQnkeDxcryuX0IoIgvRVURFPN5NwhyID1hQprTVr7Xq9okpUTyRzehWbCqrXIwKi13Vs23WMauchApCaJlrDOq2MPyP+Tsip0cs8NcSF6jhBK06z/CnbXhWRd+/ehchHn33WtGtbJpBH+oFWGTGryLqJcwaxJ4SsV2ai9H+iYkVVlGqq1pfacE+/lhgRuK5bZLj7JS51oE0jkpIRvgyOW2W+Kc76eeDWsdEV0UwjNqgajJ/DcFcVUUPECL+u16atmYVEAViVgAUToGMPNejmBsnMbZoN8Ehk5nW99tZEZGzDGtkE8MzD4QjB5Xplh35dh6pu65q1psYFo/d+vpzZFoSHNl+3i4o1ab314YOluVkLH60ZMlVlePTeWcizHBtjo8EWEtfrasXhI4HLwkMSdBBh3R2eYuIe7skrF5xME+tFdQPTH44IoiDhlENFgkb8mel53bbLZVWFwdmKmllmDh8mGDFMG5Wr4T78KhBuZdm2jaUD26wElwogo8ZLkxFIAeZqWuIAzsYJ3ojonFagcLaZG2gKxH/CmxBsPWjoFFkJgKbo4hq76Ziy4mH0v7t7FunbXGLTW79uKz3FiFter9fzw/m6rc3aixcvPvjgw48++ujm9oY8Asav8/n65s2bt+/e+vDWWu9qZpxj08OnWQMewyLpjAQeGehNjUwYJfA7sVBTS627ySTAi1GYZBlBcXMbt0BII8aAzEjthidhAVkKD0FR7+cWk9LljIG39+98+OF4+PDDD4E9uiAzRAuxqmhdPSUrdcyFabNMf4LwzMalvnD+4RwXk1OuVQp6RFnCPTW0L/KS8AHKfFFVBs1fNUcRac+fv+TEmRXf4Xhw93XbDsthjMGaZYIAtJHz5y+e873xZydo/WioddCuamPbrBmhRubApbfL9TrG6Etv1rYxIqIvC4CxrQWyTztyUcbY1NR128KH9YVxJIuvOev7rMk4oJjy4GoHIHjUU0zsn548ZexX0oBMp1mCqkaCMsk3b95qayYmas2akiCL1NICyJMcK4S1y12Eryr511zklZC01iGUCRWCBJHW+rpuZpZzfvr+/v3xeNi27XK+WLPj8TiuGxS99b706/VKTS/HWWNEAsMhQCRt0yMzVLpz22C4uDO4015tHjkMH+QIXa6X5XAAkmCCiKSAKwapEaGscWxUaei2DR9V19BVnN0e0XmyoZlx6RaVmdu2LcsyxravHOBWim3bsIEuK+TDnM8PmRmS7kPUuBv1ul7NBhKqKVbCfU6b6VS4jSqux/AxtvQaZlprChnbFh6iNJZjh5ACQPHEF6u6RgCZkhPznzbwieTqgAzJqW2Q8JCI3LaxrpI5ts1zwAOirTdJbGNwyYTIhkIDJDJ9DAjp2xwhhDXjDffhdXZVtcZmVdEDCC2TnDl6gXuU+j2D75qaZZqO5NwSDAAplfmkxvuEbnyW53yfKkZJgah8+MGHx5sTt3kzxaiIR24+iPus69Xdz+fztm3u0dRevHj+6aefvXz5QlTpoUYlx5s3b969f79eL6LaW6tdxxGHw5GQagJmpkZLO3USE1WVoi0UfCFzMU4OUA5JaJtyLPocZOYuF2bUZsAtTGcG3wRi+HzCKfsUmABElq15Ff68GpEP54fr9drMnj1/tvTFZ52E0oeIcMBu+5PaQ8V06WLh9rjURVSF9kGFVDOQiDzOOQAUAZt3WbPMaGd7N6uhXXXMSTIhUD5YbcbcJqChfbY/+vf+vevlGnPkzagUu4IgshAojoLnNeNZoVN8ItXMh/uIw+kgJSWvzbOcLKlZt8YFwgA8SrnD6gMC44a4CH7cvAmqmlEDuu9ev6aih70x59MGnZgtck6EkyUcOZ65o2BzMABVyF5eQdj/K/NsIoXsdY/rZX39w6uIXNTMjAkggTIeEYl0gxKCLC/5suojBIQsIyeIUDPSoKmiKN9MgaI1lcyxjcyBhEIu95fz/TmPWJY+PNfrpiqHfgyP8/mKuY8JSB8bZmtp1tbrJT3ZtG45eLV774QawkObjm00CguNFTf3MONyWfdMP8q3o5ES5xGXy0pyggiu1ysD97IsUs4t4+H+ofc+hkdLFiPL4bBer2zi6cMeHpJyczwBsq7rw/2Dqrl7tHSP1vr9w/t9piIgjz6tNx8hMB8pKhkhKT6Co2ykjHXltFkECg2ya1jcxpRqS1V/gVSV8xpIUZpj7b1fzsEKgCIHTBSh4FdoiifXr0iSiAkYEoil2RdffH6SlNyksCL4SFVDjvrplV1Ib66iKvfpQo0latgeEcU1SGLsoaoMZ9ypO5taUGmVkaSmMKKLSGaMUba//E+CgoOgfyYPAOcNEBljHJaDqHBQf3d39+z58xcvXhKb4lBwjEFA8nq5RnpmjuFv376N8HXdbm5vPvnkk88+++x4PE7bHM/M6/X6+vWb8/mBkK9Cdx0WIwmZWlPxVFo8MhpowGnNeINVNWiPrKqaKmX8mUhTY/cJ+Iz+KioeXgphQqUz0DP/rdVUGWlFRtKE7gh9akppzYBCt/ry8uVLeiB50VgQcyflTrZhZb+LCWaUBkdoDMtzOU0CMv0IKzdUOa+qooGifmHONlBpIjOyL50yFyS85PdFwt6/j0xSR4TvoRCQdrmeeVxIJC0H6ogI5Vi/9a6t+XCnYkiEiGEzOx4P/HMFtLdm2K5rX3o9pwikM/j6GBw8+jbKUU4wfEByOSxWLtC0wPbI7Nb60rm+cll664ct8OKDFwDMWg1fnxpjFPepLJDmDG9SqbRuOCt+nfo1ftJafy2kEUWmcqo5/Hy5AuhLl8o6lXtNzdObmohNz6HKQxyh8k7TETozBQaBmHLaLCH7zyRzBomx+Xpe+2IieT5fWj8ix7qtp9NNhIx183BuI4NibIPL2pDpY0To9XJdlmUMp1aFpDoecZlrmDJSadgANclmNibngYcyimKoDOK9d2U4mHA3NfTcMLWumwDDfVkWaV0gx+PRTNfrel1XAsrrtlLtcR1Xn2YhahaREWkmx8ORSzAeHh5I9mDB2ltfL2tfunoQMw33sRGoxNi2WR85kAhQ6+sZy6FTZSPAGMO9yA8cD27DRcS6RgyP4SaDe4qrgirGKluQ/fccbyUTiJBymOEx0oEcvtlY17EeDocWA57hvtNHM1nRc1HaZKdBMPUNSv/w6UkQMXMbWB/X7znlTpFmZla+cnxkaurutY1VtYmYZRaF3DLDgys3pB0Xd8dFtjHWda3pkQprvuWwMB/2Zbm7u/voo48Px0NEGKz445eVD2LdNhHwqV2vVx6bzz777JNPP3l29+xwPEgh2bmuK0P/GBvvY+utml8RVTFlKVgaKGdFIlDR1lvMHbwyTWplgij1rUjFWTqKFV1Zk/lA5gLaAkm5uqnp2AbZYmbZG+OVmRmq7J6gEw3/VSJwuV4u58vxeHr+/DnBd5ahw53ILqHvqFlmcfAEjHZ16aumKPUJNwuFcqFczrassjUyaUzENS0zn5DNKUKUnipORnhy5Lj1V55YgfEH0ckjuFEKSchnDG9/9u/+lPXszGN+PBzZ/WUB3LK3HeSJUXDBoECuQmvNh++IDMtMUa2SyoNJm1GVR1lNSFLcMRMK5XXGxCSymZkZZsvD5fL2X/8rUZ3no/7VVANqMiNUt2dINKbw6rbmai5hdbc7COrcBptJ0BhivSevAvT9u3cffPyRtsYNNUkxM9CswdlR1AhOABVLduJS36pwoHp4omqJgNLUUzNzWRY19THO5wczTVEzOx1P3VSbfvjsA95wEVmkYW4Jl+PC+SE/n1JF7LxlEQRdcTLnjlleHo7sqvacKGOxC5QMVQKktUtgbNuzZ3fsZAm89r6wOeAJO9CYbBvWuIHWRWVZyji39ZOoqOq2bpwqmqmP0UyQErENRGutN2t2BChfalyDfXdzUGvQ7LJoszooBPDzMEkbNRXMMWM1YL3l9OZg+KsrCBHTzLi/rtZl86vbEjEkwzMmaIc5O+TQj2welEoXAMSHQyW8ZF/iDo9X37/66quvXhy6ZnBOmZnJeXA6BOEZCM5vBzcgyT7InEvHBCIyMfqsguMJgzszr9hBgto/zqixbYP1DesJEdm2LTIQoAdnZhK2GcMrrwjMbBtbTV/VIDgcDne3dx99/LFZkeiHlxmZE3ZzXK/XbWzX82XzMbZxc3Pz2WefvXz58tnzZ6oKSIS7b+fz+d27dw8PD5nZrMRPQmRfy8yNAy6RWsbbtCsdxVVZKABzIEwwSMvhuii4WU6fWTTNhkxRm4dDZGLXporMy+VKsznO57ndliAEPzQPrvY1XrQxBlVsh+PxxcuXFWoyILXzrrVWedijaWvWopL5bqXOh8LNpvskv9Ak1WlwKkLoxiVmtKe9c7JyqlJSuHMiVW1dV+564r3mbq5t28rRixoRhj61nId7RxR58NrlcmlLB6CmYx3W7OHCTk2EvD2pWVrdCw9rLcLLlYKlXDdwMXQETYEm5StExUTH8H2SLKXKAaFsRmZegMlPqrEmRXGeIdDLujHmN2uiIVPjJ0hIm8PuwtxJEmPKJ9rL81Gy3/Joqniic8gnqu6Rk2Whou6jameySkQgCAnST8WYHkFxE5HTCqpJwJHzcIGIE5ZGuVQSJBfIzen27/7u5789Hsb1goQ29RGfff7ZSpU15O72doxNzWjVQsuCADJGZo2TqGIePhjN2UGr89CEVHdZk7E6oNwcQgdsIm+cZdD8QEqmJJLuHulRi1VFxVgHJBfygVM1bNtQJcTUsBc7AFK2dfhwFtmsyK7Xld+/KdmHwZjYl75tg/Qe99z8KgKI5poImY+NG2JYsdPl9DF4U7IkphxvVt9LvShkjE1FLhGv3709X9cNeh0jOAHlyBcoe10h2O2ApFQRU41QyBieGXzIpFX/+te//vLnP79rRhNoqQqDvVRGJemUvRUXjEHaIqotqGs/1xTzHVWKSAGx/hpu8R7NNoGF6/RRF0zmUtVD2yiaXKbQlZMwkZmJRO89ajDud8+ef/DBy9Pp1HrLLPUyi/1tG0wql8uFbv6UMX/yySeffvrps2fPjseDtU4p+/V6ff369flyptm4mdLhMjGr/jb9ARn5zBqdw6sSbRWmM5mZirIhdBCn+reAbCJjlVpE56win3zgbHPjcr1mFtPEI2hdLIQiEuzOG4xTtG0L0pyatdPpZHPDNoCozFvtnTXz8chAnUepyiw6TutsiZhj6hCYFlCBKlbmGc7MFKUiWml4XMW0TMYaF3apJhqb9YhgRlc6XauwXnykkwL7UjZAYnBNhZH1KEtfFlsg6L1FpJU/NaqC5ggFdaS4UyKSIwim3CRhS1XCy7uuCOyJBCjxIN2NEFV50kJqnlyJiZ9RbNertpYenjmGbx7usSyHavAVmaAbyLTGY1oDbVyBKK8Vzf0rRIgw1lA0OZGh6oz3ySx4832Ej0Tev39H9alY4/ouMxWwzKk9ByoKNSDmmVYGFObOOXVNURHj3aaiRMKz6fLLv//q53/3N+LRe48o91c1HcMzZVm6D18Ofae3ypMbXo9clFxvq3071NwqJcGZSeCOALGZCdQpr+VjetzEOwtPIXVCMmhWZchUa+kY26AhEy8cStYEsyYq7q4iY3hEiIqpErzelwu5RzMtEiQZ3GYbVSZZ9KmagFUzUk0NGTqci1ZurzKqHjtfUhC9B1eY+V5hs/jy8Gb2fhvn7apLy8TD+VIgT3ltFPzv0wA1eezrG7EzKL1JZIiEhOd6/e7rryVzpCuHgEjQTzOy950yMJMiUq1lbllLyPfsRVKBJjfz1I8vVDjm6i6meJ9rkycQiogEjx6DvTxSiUTEPWiYPYYz/jKnmWnG6K3f3t2+ePHi7u5OapDgdPfKyOt1pU/Der0O9whf1/VwPHz04Ueff/H53d0zhuExtsx8+/btu/fvLucLYfGlN+qOSIFjZiWfsMLcpIBiDmeR6MuCRLPGZYd8gqW/FXX4k8K5NFOZCS2kLfkUxDhP4ee+Xq9mbbk51MRlBozdbKqeb3IhHQ6HA5lm8oTPg9lPF30ABfhA4OEGm1D+/jhTIKKaVTXNP6rBdH3XrB0e2PPijkBKjatF5mYYtn10I6s4vH8UgEcoQfD5i+141Lb62D2rxbT9k3/6j8vuyCxLcCScutS/2SfmWcyT3ugPJZDc5dTEL5+8ARRVXFOlvg8deiOmYyUDWRXtMpF9lCbenYhzRIzNv/z7Xy29I7O1JXPQw4F+cVxTUaVRjQQkUxWWiPlJ6k4gztxzWwJUviAh6aH0CBLdtnVsm7X2/v276odUBOUhzp+iYrNMS4VCONsBtxRjsgKipAZq0hSA6bZtmg3k8nt88OFH79+8a+Xzru4+tvXm5uSJjNQmAmnWGNpm6VF7bpMGRAIW8pMwVthIoYPy2I6wwYJk+MSIMggE80JEhJnG5BTO0RXrXUWKB53UamoCOtrT3C3CIlSkHyqkqSoJBRHzzJdxC3LulRTRG8ZeLTI+L/lsvHib98hZx3Ef8M7BXt1PQpf7WS8mKxCUUG2bRHZoDD9qu6zr+v4hhdZDZQPNpwYRGtoVplvIHjKRrlBkuIfHGLmtl3evnt/c/t7v/bRLKDKzkFyBcAHcnETv9Rcik96fNY6bQ926OKiXkRPJncGq3ukjvstsn4GaNhVtlIGD67tF1ce4v78Pnz4wgr4cyERS066dO7mW5UCHjW0dJNltY7uuK/d5bGNbt+3h/NCsffzJJ5988vHd7bPbZ7fU2UXk+Xx+9frVtm2kexwOh9qk/WRRl5QSYvZm+y4zng0u74USoWYUx8TmMRHj3joAYiN1xBNPJ6WSOafQRdjaGyIBt3yVJ0wKymiE+o/wiOi9kxspIkiQqmtq83tyu9wsg1iITMxvv3H8Q69ngayhcs6zDUGqtkkOYPWRalbNRGFHUdGm2KjB/V+clyi0gkyEGZXB4DLqVm+KxrSQyTjiEwFZQJntk48/rVQPRMRwTsyLdVtdZ1QJzcTJp0UZFA8zRAriVt0RfZr0zYNdO+dYbD6pHMsICE8GPPsYBMgUNLWEDORyWDg9t2b0WBNxQe16pu0y87lmLbjfjTHKlScjQQsTSWo4H4m66RDuc1JriDARFXn/7r1mNtUkn3O2DolgBwqUwkxEmnIMRVSpUqelOEYdUTRA1Fr1TgFk3t3d/cFP/yDDuzXPCPcxNlXrh4UFdSRMlNwPKJa+DB/kg/OpQ6S1tk07VUb/jFrf+ISPnLlbAwpUhbasTEXIWmijqpOJCDZn7BnnlIwcAU5xrVSmAoVsYzQzmpG+KAABAABJREFU57YQU4hs6zrpZFVgu7vRbGpOZCg60+mzaLUJD3trty+HqQw3Mz1xGM4qZM9USJsEj71wEZGM9HSRlMQ18cGzu3h47w/362XdwiMzBwoe88Jw9ylrxBiUpzsjLIiGh/uIkdsGX//gd3+MLz739Ry+0fEnIyZY75zETEe/udGeOD5kesGwrncugcBjgiSFaUe0JqWBCTsDsw4tIGcmAMq8mcS3bWutf/f9Dx6hks8/eN6snc/nsXkiel9u7257XyDw4Znw8ESu64bM8/kswMPDwzYGgNub248++uiTTz49nY7KhaOR21jfv3///v37y+WCYnPST4QEGKkNDEgzY1jfndVIsNBpJctijQxYJg+WDtX8gQo5eoGXyKsgIOgUVRGilz2qkGbd+9KXBVPfxwW0xB7GGOvmzWxZDn1ZIhxQOi6yVTgejz4iMjjnk4moRoS1lpFa9M4pQZise528nYw5TVVWqIX115qBzKQX064tnWVB5tOkUt3ofrZBB2O6QWTUPFK5ItAZmFF8GUTSx7Si4vCY/nmUkrnQ5WOvp3orrvGcaUBEWDg8KS6Z3iSD9SlSQNPanL0M5vVkJUTWqZdfdDJIoXqW2nAWJZFXjjIOfRHR0+lGjV6QmQgVAqZVJ0wAgS6hlUiwv0BklkG0FubKz5PAOaTcNCFFhZRUlVc//HB+eDicbvTQZTbjPG4VF9nD8KFDUG9k54USBZam3TMCgRAkhg9JQQTcBdZsgXj4ACApSz+oGl3jVY2ebQIhHurDu7UA6AHdegHgbTpe1XOpijaRXFXPAid6b6wm4aFW54OvlQc3IkgDnyWT1QGOyuWZohDrGpnu2fuSme5urSelYagpjlmDJG8mv3uzjkRrfG1A4nA8RYRK+R1lnaXkb9hDqBlJ/Xy1bCiX3oD0SA5CmAaqd85obW6yNM1MT2/SIdJMO9r1h/c/+7M//b/+F//FD6/eLMtNihDz2OECIOnw45OWIzPrmGjAaUK7LMuHH3zwxeefv3x2t/RmRt5EXdygy0Sw/ZhwVsInRgqIT7wLQHJPU3VcISrcMeTumGs+i1c+WxPMDL03+8kiNoJRpkQjHuu2Mv4uh96sqerhcNy2dyLae7+5uRnuGhju4T7ct3WFyuVy4Q8l6nv3/O53f+d36erTeuecYPh4/fr1+/t7H4MJm4o8K2cmkjB1DnFtx2gqZqvu5jysUhNQM8068/ykmFKqnVKN8PI7Jk1dH6OW0KpVZ3yc3LPD8dCspL/0tWZ34eGR0VpblqVykpTynHUV/R5EJFMy44mnmViz/QK1ZtwJo2X7GKbaF+wLkQoom8oAVct5D1BDbOEyzQr6KpzoVsTfG/vM2WIW1szalh2GzU+YER8C+jUlCZA1ayJdNdubd68R9TGWBJHT13pTopMxqU+Uilp7hfIJapclaE1EhAqyjCCDyl2IQVhfAdSies4Orox5J3e13mgVRgBSzpeHw6l/8PKFqfbGS1Z2bOWWiZw4wqwdU2WO9PgZ6/zehGUSuffYxAvdBz1GxhiFskRGwiMRgakkBMTDm1pOjZUHh8kAEHCgbOAcIwWSmkgl5VQyg7Y2TkOTfuzIkRtCMyW7HSCITHVBYo7LpBKoomXLDJ0lAJ+0qiZHvvtnUekKEHgMgBp0uFc+LkhsZsnMREpqlZI7XL0jNqhCu2aqzOKB9BgAzNQjau4xISlr5aCSe/TLHeSf/03vWEBkGqFIdbyZSLCS4P4ALkCN2czwvXPALqAAMKf0g4ONzDGCCxYzPUPWjNbhI379s5/98i//wkeImudcdF77wPZzDd1NnwBIaqSq+lgJTMfWbj96dtPHuLxZH9hf1S4thmVkMCzuQE91nMLlKqgaaH4igcxJStk7gESyS2H5MSN+QQSEN6JwmOB/1IwtQdL2VlI4Y1YF8HD/sNAfOJznbaxXCNZt265XqgToEXQ4LA8PD7e3tx9//PHnX/zocFgyszWuEcz7+/vXb15fzmfqEEVrMEvpjE1+5y5PtV2nKoIk/7Iq/sgCElmgcnRK6S8SbCn2QYjOdTEyOwYA29gEYpWHISrpSYSndtoIIDCxBGjk6T4Oy2HpS+4XKqbrrQlEtm3dtvHy9HJba5XenlQC5YVDlGo3W90LXrpTlGi/ihut8v+pBxEj15zW1NWYbyo8pMT1FRZ3ez8SqvaCibdnqmVhZsnlEE8w0oiQ5BGKBNqXf/dLelSJ5BhO6zjmCuIbSTP6qFW6KRjbIDd24u6YaUWm803SqYnVjxlDOQ0Rhyhitqx7COPnNWkeIshteLgLt7Kp3J8v/8//x//LtHv4qR+RGXCVJoAgEiGw+fEJ57GSCsGeRqrfysSc7iXKPRaREI0YXXu60FegNQVivV5fv33/yY9/R3ofweUkktydOfwJ/WDXjsLUWMsWPB1QkZFb08XDYfTFNAEQaa29ffvu7asfSB/0DDMpOLMoUvNpMaOq5ChD5njC0yVIggK+Yy8Q9+M04/kjQCl1izg0F1FArBqlErsB++cGmAqXPXHmwe+eyKaGciYopLLg+pgI/hO8Okl/Lh+05MfGRbKZk+DEWziPdB3u4NBVHt8J0c9KV3WRCM1lRpVOAiXcWYNuAdQ9W7c+3dkiBmrBS+ZkuxbWBIGXOmyeZ9LUMsaAIGM8vHv3zW9qsZqPQW1jzENnqohy652Jdm9WyukBOytMOAjhR7HDAMgozFemzijmJyRCjLu2mXE0wh/NDX0RKIVwpmbqXKS+HA7LYbmN2/v7+2VZxhjb2DLzfD6XPHMelfv7h08//fTDDz98/vzF6XQs6M/0cr68ev3q4XymnLBXMc/zw03WVfbTmUdEprPp45/wF4OmNStv0Tq6bMjxdDJkym9CX/ic/xys8RmjuT5sDOfqC0DcB4X027pl5uYDyDH8cFiYzyIc5Q0PWpltY9NUge/zPKqReSh3Yq7SlXJuLn2K//Dl0t3yERsqBEGRSfWGioSQcSBeC6J1/w51y1h9AsSfmQW1LC4UqL0gyGzNtkGVQ8sMejfoXAhYzQEAgKtp2ocffQgkd77rTMdg55Vg71bFohQLlU4o0ySVzUetSKkguKM5mUiiNCHQrJUpWZpdKbxiR6h5rOG8iElvhICPbZzP1+9/+O4f/aM/PN0szRqDI0hWwuCnTUwtAaTNapN+rSlpvCJIJEUbjxesxm/bIJGJ7K7hEQY5Hg7LqcMo1zAeSK7gtdYiiz7OtyC1L49DABoA1RKzJr36kxpEARGSopDz/f3P/vZv4DG2rbXeGvnXiBhSzXPZXxfAX5ROExNM+iwbuFnFkPZZIomZ/vA4WmRxG8A+a3H66CoDM7Oap2ctdwRqwlIFKbg9R8Wa+aiBBARzyAyUG6hSkjdthSpwVx+AzAmGCA3RRKCSc+rwNHcVbpDzgPFt8rJJvWT2fewD5qKV+oE0kSXdwT3b0B++Xy/na3hZikQ+WfIXiCC2PntGIWWWrtdawVzFWn/+4Uc3N6fL+ZJCwYEZX6cqT3SOUFOtHWqlvngsgCacw88tM3Nwx0DlTpl/znfCWZqUFT5mqsgyxLJ64iKCvqBWlg8fLsK4aaSuP3v+LDMfHh5o0Da2ISrbug0f3OFD029r9pPf/fHzu+d3z56x7EBi27b379+9f/f+fDlHxLIcCOYg0fuSmHW9mpSVlZpYZJiUAIufQGSYNkqTJghWpRpPKkcJs0JERqgpPWtr/DMTc2uNRiOM/kyxEPExeuu2LEiM4dsYTAaHw+F4lEj6xARE+OhIFSNrs/WWgaUvS69CJOh3KyUvyEwP50qGvbFVqr5JEQpiqrUzlRi1qBAhn0EPFQPnv90vSaRwHdM+JOMvflkdDCaDGo9T7Fn8b96dHfHn2kjeQsYsgbQPP/yQsHfp5SbTLnOvPubKkJmOWteqiFhyPGnvq1rky0LFGlR1U+AmBIAmE3lh1OTzMKXB0iZmkLUuVjQyb+9uBWFirXf3IaINXVJS0ygEe8yZ2H/NASFBcZbdbqoKCRRDJ4HI6NaZpZbW6khdtuPh7uWLl/zECpZ6THAsgauwrjjF85SzeCFlG6QFhkFr3hWVOxLy8vnLzz77YjEF5ezcBpV+PJxUTLsAaDxzPDWRmWDVZ7WuNkSk9+5TBT4NUirqchyZU+pKxFxrd4ISHMsa9osCkekZ2G20pY4L/0fLBTfcnS3omLqwRhGq5D7ymkP2nO0d2EJxkExRKBtCwv2VjUl4lv3ZVakhe8YqxTKJDywyJmpJ7DtiZ7VRrx/uYjrWjazl83nNyPA4HA8RyRGATnIw2atJkH3XzGVC4FAxNdHT6fTixYsPPvzgeDo0s4wcPjwGzYcL4UkoaCs5udEeky1WUzv+lIyJ0s1t48jHSuspwsMwGRG0/dG5Taw+l9ofl9u2ZcLCReRwOPjwbYxAmNlw70tnGDocDu4eEttlc3dOeg+Hw83NzfNnzz/6+EPr7XA4cPsuXzN3N3Jy0/si1EMBjZx9E52cTZFHnieyXD8h5AgAibngRWSOeXdYtkxfVDJSK3eriKQg0kUlIrmxC8gINzPi+AX6l61/Yyjd1i2RqtL70VojM0KLjUMyrvAUiWIUZzqaGdVaNNFSM1AnbCo5raEY2WeTG5M7pPPwlslSZibSQxRadG3Mxhi2ezzUHItUgFTyy1GcDhXJaghkWohzZ73O4VclIX4o7A8yQTMYURljMzPWNB7ZiFEgMiR6b2xhVUK5Wzm446k0FwKLiPW61igfyAx+fFlRvpp2mSDDXsVkpZ2cqXFKwLKwaZUS+GoS+ZCI4PRaxaC4nrftOn79m9/80z/+J9c1mjVJk0zoQoefPe4zFdC+FWUtQmyCeVJBRhZErP42keiIkHDc3N5dL9e721vc5gcff9KXhZYj08YVCCqwhOBplWDYg9WcCUspwniuJB8jmXDfVKrn4CBRPMa2ukdvrfdFVUb4shyWw7JtgwYEKkomrRpsPvLeVaTefaNdIpUNOsH7iWgTNOYLzEylqIyVVwQkE5Y0QUAmjRaixC/IGZyYllmLtVZRQI3hOLNIOBx8lpiAqlol/woJgFUcSRSqZLlkpilApeUkntUp2ivgR9o/6uibolzumF0f5yKFe8j00OLnEagB6Yf40Y+/aNZnJyF7bJVHE56YA4Bk2whA2qJNCYK5l2iD1nUJV+2cBPDphNc6z9kQF+04sha2zOptbjIBJpSIqU0galTfRETpFDsfa8FjVXXOgXBMGzIvypav1/VyuTr8fLmKyv39w8uXL589e/ZwfljXbfgQFQRub2+fP39+e3d7PBy5zDYzW+siUG3n88Or16/v7+8F0ns3Uwo8VZW8QzWWkkKZFWZhp6YImfyNCBaqNcYtCbuKqljKfKdzpfuOFDFsmarTDGlyLmV+yfF4hNTiiqJ0DXcPNYGgWTNroCgkKTtXFQnT3LZQZLl0VQfJT5OtjPtodFpEueaRQ7gjjdiPEApCZ0qvEieLtZygf1FGkSdRKeTJr/lNgu1tPWPsB7+wuZwSV0bLqnWSG3xj/1b1+aasuWlQKycsfUSlvX3/NkOYiulQGRGqZs22dahxAiyzuoWohGekZ2nXCT9JZk4Jzh5okw9b8jE+ipQjZSCUyoB8pHtXqcXvzLF+CjFZa3a5bK9ef//f/tm//U/+V//rf/bP/liQpi3GUGt71sSEDpPVKKv1yNLAlc+7JkJsTgEiAc2ENQ2XIR6IV69fierNzc2nn3169/xOTVX7QAgCMqlmEkn+Us7hoQCACZ0L2RZUzlAol1bW6HICrCLZeu/LkmO0pjzNHJ8ZbZS2cXO8Gds6hotSg6xckCKqpubuIzYKLFnIsgSILUTgThporfSSx0YFyQUoZZjKphUQvtTpgp+P4xNuhMRMNzFGgn+se3G6s99yLpaq0VFmTmNNQe2bRdlXyF71ALWZB5Ofh5nAswisT4cALJe4sVaCMXMySQpOZDRvWvt152XIpLQbJluEV3pW2Xu46ttKdRyzesn6rkU7j8y4Xi/uu8DFgUk0LnnLpCg9QcAiE1LrXuc8d5L/sRvRVUWPUm/MjjOKG15RPlxmc0K+2fy3krW8M3vv5/NZVO9ubxP47W+/uXt25z5ev3n99s3biFh6Px5vT6fTzen2dHOyZmN4Mzscjtfz5XA6CnC9rm/fvn3//r37ENXjoTigNCIss/SJ4EyzB93BUQCttZJ65M7cqdzKLE4/1EBFYgbomGWsh0NEAmJRkNFMG6ICAfcoFNtdZKSPMbhqsLWDlBAX7iGaZk1y8nMKQISIMOKRmCRcutm6QEKU3CrONjJTcw/0rCr3Rjfp8VD2D+wj53GahBwhoymi4onOXWN7MtCp2+d2vMwML2FETqnUk9TIrr34RR4+bWmItcJ90EHrsBxYFvSlJaT9V//lf6Vq7mFNMwIZHNoL5HK+tN5zElFElLAjE0WZajPCzUepKuW0UBicZgar09ockhkZMYGuJ+lsjs0ArvMWAodicz2hMnSfjsf/w3/2n/3v/rf/+9/7/d85P9xr7+CwtLCNApdRxM/MlILuZxoFwsPZe0p91uYeUIzNVe3//H/6v/zyl7/Ytrx/8+6771998fs//d2f/jSQojI1s+zJdumvzAy8p+kCBDk10glPcf8A6xVkiEqz9v7925/9zV/7NnysEalmJhbp2zp6a/RTGz5ub25tVlWsjBgY9yDCoZ9QMRB187w2uxWEwn9bCkYPbVrQSkWqehf8fSI5wKyqlY06JzeRTHz1fWhyghK1c0jEk1kYSkZBf1lEgVnb5pQyUpsmiZITVwWck1g54T1VyYRarSWp1xDB95uT7aCqVBtoOUplhO8mWZxRDo9d3JBTjpM7YQPC5VAxLzDXN7oHZvjn4Kks54rYU2/fTHdUhpMDzAlZxYtAYYpSawdyoot1cScyHpFqU8THszdiggdMflMkXGmk3BgFQuEuI/KHH7wcw5feejdkXu7P9w/3d3d3n//4R59++pmqvH//fqzb2zdv79/d3z6/vdm2Tz79dIS/fvX67bu3b9++VdXbm1tKyawR7lFratpKJJW8WY97zLMcFBimqojU4ocqkYCSAUshrIyIiL3TUxH4cCk0EE8uO3HZ9OGtN5kWLOfzBUhVXZYTOLTLaWetKkIDRNsL7xp/Vmlo7DNiavFyHhHwp1eltMfe+sCr9SSH1UTEqlyZhHFKjus4PaIEe2kwSxqRPVTJVMy577I4bnMjdZ74iphZumfkFHXVYZNpiRoMWJl0DeIzihFN27GZWCsv2UNfzOz9+3fv392fH86nWxyPpxcvnquqh/MQm9KQgnOYXRlbjbMnYrhqjdCyVFTJPQ6t0cAZTDlmtidSEczuD6pCi9vwJFTnEUtvPsLM0PQ//z/+5//p/+Y/vT2dJHM5tB1PqvqwikjmVkHCY/o9IDODGignRbUQN9nGxWxph/bNt9/8wR/+4fNnz037ljieDkQQZoWbKRnpFE8BZfxTFV8q1Mny4Dsl8hFIQKOAGUYl5jXph344HaI3kSP/VZNacDrG9ss//xKJ8/l6c3siday1Xpp4fdSvq3Ekw8ogWmtVDyaFVCMjRYT7/DJQLjRS4gCpqZGyenqEjdh+EsvmEsEkP2FinhQ8MLCJmIqHZ7HQmm8j5OmGNI15HtjBlnGVO2uIjEyRoslmbR7WWUzXOdHdbYWEokf1Mj+A2kkwg4fMfd+zOBABNoBOmOGuWiLqzHySyEtAN3+Q5ZR0qlZHhdmmZInUDAJuKVDRuads92WMCl4cGw2mXFbEU6izd8lVwYiUfj6zenQlzrMcatRZQUcACGU+eyYQyDY2UXGXZVmWZTlfrtu6JvD27Zvelw8+/PBf/uP/4Nnz55wlPDycz+fz6x9ev3//7uH9vb5WDX31+gfPPD9cVOVwOPZlsda4o51QfhWFxfnUKMfYf1jP1vikIptUhFcRMZhwJDArQo509pgglUgq3WbWilnWPbPiKttkbhnhHe99mc6j5Q7Euj4zgRzuiTQ1Sieq4ha4F4a5X4E9Q+TUgkRy5ND2wsEm8Dj72oKu2RFm4d98/jsJ+rE/3Kv+QopUeFt5GIKuD/n4JxBwHkg2HWowBr5HJForUgYSw72J1QbX/UZEYriIyP/wX/1PtnUjU9WaLX05ng5//ed/8etff5URy+Hw7NnzP/mTP1m3LdI9uBRNMoPLFyZOqvVNK5mXW8UkWEQgm3UIVLFuY88BQtyWn08mFK3ZGPUFiVQYc4KZ1bKm8Nbasxc33/72u//+/+Bf/vT3f/LF51+cL2uMQQcB7hVAFVPhEQjQCCWQKhLuGT5GAnm6OWXm8XCy1t68ff3+/cOvf/Xr9w8Pm/t6XSXl4mMze/bBx2ItRRkiE5yORsAFs6xHAqrQgU1mlJJCgfhoVUSayeV64clDZFPE5d2bVz9sV/KvI5FwRHp6ni8P/83/5795+/YNxD777NPlcJSpp5mwP2bly/XcE1Dl2CcnXZBhnXFwT9cMcDxws9gEyOIVFhE7Ws3/89iBy0p4PPWTuJZIeDCaJ4DwqG9TplDIydOfd/0R0KkkYkpviUzwrVL+XhsCp7Y8p70av0kdv/nmGH32SSA/KZ+7NUzn/qwaFBFDryECL6ZObnUC6QEpw07aIFbFXdSLnG8kMtFM01PVvHqg+ktU9fs0rsiM3dwxxuAQyHLX2L+GQKWpTrePwn/4/WMfs00AmosKfHhmenhfFhV58fzF2/fvIj0FH3300Y9//DvPn78QyHm9+LZt6xg+vvr1V2/evFmvV2ps18vleHM63t71pS99OR6O1o2uk9ZsWRYE1CpO54ywrPdVSrc4a4MJk87ClmtzIVDRSVCphKuqhf94zOWDeHg4Hw7LrGi5UtRVtbce9OXwMcYwteVwMLPJvanFv6zlWciK6Bijt5YTrx8+ntg86N5Q0lxLJmepmloOlvj7R41U7nN41drdPZ9gDZm0ypHZpM6zytiQmdu2IZObNvZpVn3P+Q9zNhC8FDmTfXITRiaRtJ1azd7Z3Unfb5wvjpGZ1lo73d72xVnQiWlT63ZQbcthWc/X8GjWtrEipfflaJx4VJvD3t5KvsETqXvOb2WZkGQ1ZBEQU5tlJEWPkiqJ4HojEQV3few9I7uBenit9W0bzdoY/v7dw+Fw+NP/9k//6//3f/2jH33x8P48xnY4HFpv7BrUJBLL0slX2daVfadNsrCIHo/Lcjg8PFxaM27VgOi2bps792GN60Azu3tmqqUvLnNthxogJg2P8asKhy7dJSEhdIqUzBQT3WIV0Ug0a5jGANuIUk0LxJR7XNJTRC/nh8j48IMPt3VbDocf/fjHH3zw4YFE7EZ/sYotZkUJJYVDTUyNIT2YDyOkfISUzFFtEiMSySeAWYfkBCvBaQ1bcq2BJY/yPIb8cjrNBS+t0EhqWr1EeM6wt0MWSMrreEA5cxIIi+dI1H67RwRzoh35pJQsqGd2mTaZztDaf4oJj2SEUiC95zgppWglUL7d4ihBwK2+OQM7HvMikKhlDnQBZDuo7LjV0guDADRLlA8vT4h5lef/7j1isi+osBJUfuznKuc13tmx7gPJ/z9BxCmDzCH2c9P4b7TWzuezu48xXnzw4g/+8KfPnt8RSNrGtm7Xdd3O53OMuG7XN6/fvH7zertuSByWxcOPt6fT8XR3e6fNKCEuuqBoIyxsYs0opGH5YWoyRbkMBpHJ9mCMMdNDzX44UGUoVbrHA6jlZZCy+K8qZF2vN6cTmfUQNNNQFeTw2n0kIsuysBEBQJBdZGKuqqa6rSuIoLZm1mpLs6mlPTYbAKZxWB0zJvIxH9xEbXJX6s2HFVWHqRnGGNQwKQz7YIlJSaoeUiUtIefEmIr9x2uyn0HPEEBFUzA8JKNZm3OyrGoDEkhCf+61AoTvHcDNzSkyffg2nHt/odJU+92zkwgItsQW3BTRrHlzICP9fLmcjieuZRATgZS4QZTbl+ZCZeOhjIyMtGa8whw3q1hkWreltet1HWOYNpTmCGPbBJiDF84gUR9UCkRGRGwuIiGi2sKh2u7fP9ze3obL8eYmIvrSfYx13VRUe5MEtIlaN2TKGC4pbenWluv16hHXzT23SGybZ+TwLTLHiOHDPZbDYmhbhjVTs1TD5HS2ZpkuIuSXFMpfpV0KVBGg6rIAGkmgtV7vV2SsIyeeuSyLWlN1J10wBJLbtmpvcHzw4QfWWj/0081pW7fTzWk5HWepUl0UpUECSC1bn3MUCPE0ctOR4u7FHqLrafF9ixG60wp4OGO27zEHyBTLJIdQVYuwZ+EsICeThv+v6kKPAHZ7y8QEq3jFtNmMihWBgzJtBbgLCcWqmv+2hqgoqRZL9YDU1wWLq6ziC6okXVU+INgfM9ajduHtl3m/+bmLWijdnPWaIklNwCSt8g0Co/5JcYuLY+UJSM4Ew8de0BpxSewGTaoZzDz1mbKWpkf3XHROFCKgrTLwCDUFrYUjtVmXZYxye71rbWzb+XL56R/+YWt9HWs3vb+/9xHX63q9Xtf1+u7d+9ev3qzXdfaObYSL6OF0OJ5uSK0xjnrNRFVUmjYi+BBJZcNYE/3iu5D/L0YiUpNG1GhiGGR4agl92WmRMaHKmmb46K2rKcvQ3nty8VZvvHcRY72uEdF7Px5PVYVRijZpBVnaDqOBuLXGQ93MgAmjF1yIDNd9KWMB9IUfCqS3Xk4Ps8wV1axVXPWLzmb8Dr13KViPeW32qiJSXJtdnwiKIqu+melHTem5Xbw1Enwxlay5ywPJ2URIINSakfLAscfh0ACNiHXbSu9lKgRCXNrp7kiEykzUVA6xrtfzehnDl6XTn/16XW9ubz09A5qayQ6CGc9B2yR3m/ZPCkVMCpBoM93GyHRVOZ8v1gqQ6qZjHVf3bV17s8i8rusIF5Gm5sWpSI5TWrdEXq8bEsuha2Idbn0Z7m/ev6d28Xg6hCdjXDw8WGs1i59bt5DZHs7DgzNmXTcaFy9LV9Vt3baxHfqB3vfXyyopA4LNqzio+4og0plky6TK3DCQyMntqzNF6r2wxhMpVsPc7G1m6C2hNFbJOF8ykNt1HWNIYqx+ur05nE5j+LIc3HOEX97fq1lp8yaWwkkJg3eFG4l9BGQcORozAtg+r9eV8Nsub4my8ZAdQpGay2Ud9yyDubrEEAK6SQZCIsqsRkRgZjFohpgshGdYLJxdbeqdyv2Ydps5nSdQULtMWTOk6KVZsG9xHLlMtehqoRMm2iu4GccLUcVMYPsgjlBZteJzqgxSkuqj2Mv3eZxkH2/OFXpi/zCFzpGvyNNcMuNSjQ0xLzxJ5fwaT+ekQOaOn/lGVE2GuxZ8JKqiTSFAQBMwpYihpEOR2pCZn3z28c3ptMU42uHtu/dj8/W6ruN6vVxev3lz//Y+IlrT6/UqomMbN7c3Nze3/dgPh6M15cr23ruaTZscgaBZp0ETs75MzRGXulc9m1wtx9U61WkqfeIEFc4KNniMfTE3LFZvgBRVqlPHcB9X/kWtjbRmprvObrIIubc8Kwg/4nFJEdmYnmYZvlP1pfz6J5IBiGipCOe5UpWcAI62ctbKzFpQDGDynPimJuts6pCqHa9BCM8SV2uQxuODvkOQ6W+HSV6o/JmI5O6S+ckJi0dEhKVlOLOgmbTex7q11sLLpJaUDRGBSiMwEJSneCByW7feltZat/Zw/3Bzd7uu1/t3D9qNhBBee7LHiJc5e8+UcR0kWU7QB0lrhGnObtoSHhGqbdt829ZtW03tch17Fm3ahuYckBPKDHqcJtKsX6+bivhwNdlooRyxbWMbYdpERYFti4YQyfASzvI369gAMdHEaJ2r8/T+/SXSVVvvfd3GGC4yWEY4RKmIgmaBdhBlLKup4uQi1J9BKBl9xHoNGjRizYSaiY3h0sy0KTOIiNSCSYvYIiShkR6Sx9Npu67H041Ajjfd3ZO0djWtqMfacTIHuGJiynd9RKy+5nQ93AYUIkI2Gz1vaV9TKNwc7+7jASm/2on9cOu4xx6ecuLRDEM566+xbZOik8UEZ6Uzn3SsHJOW6U16ErirIWyF5hCCOpPXNOHH9OFsD3cZPgDJKX3gzStPIb4joehlfv+JFPmUYjEbZSScROk96GdBRmXOwShQs2u6s2R18qikOG+sgNyGCh5RL+Sx4Sirj9xjFJ2RJj20LHHIkM4YsYaYQC0z0nOMQRyd74kTuELD2GCmX6/Xlx++vD8/iMr1fN3GuK7Xh4fz+eH88P7+ul5bN796ePjw3pebm9Pd3d3xdFRTUbPWKsQ27l23mFvqrGgcRPhy12GUOc8sD6ZORSMG0cGJyswCPDF9DXTnYwkEmT42gKMX2datNQv3dV2PxxOjf04IHtRezcg9p0Msd548Sv6mXLzqi/bPf++x5iuoqVmBEjzze/82rdKqrH/CUN5xIZlkIV6TQrkKosQkwdR8u0B8MxTVJyqnVQ2wW18kystg9ijkaCCWZWHWbE1FsG1j79Z1bigb6yaC9FwOS+utbes2xtjGcN/goSqffvbZs7tnS2uX9RqJ+4dr4v3N87sYBfQDiBiX67W60Xlf1TQdOUdavJLUEIY7J3xqdl4vArleLhFjW9dt3d68ep2Z7BI0dTkclsPpw48+tGb8RAw2wjMLZY0MitW3EfsVihAXN9PcMHyEs2QMwnxjdbMW4du29d4FKasikxi0u6v4um0MQzwTCk3VEQEr5jIvuJbuvqbBhH1m0OFosO47079AJKucNG2ikE0lzTPVpOmhH07X9T1U22GxpVk/ZLiJRrg1vXv2XETWdaNBCDEQj9yuV4IwOTekM8DMXiQjnJuzdLcoSdK30kMiN12FlFP2wixziSQS+s+Y66sBLS5TzuI9Z4yrcaiItN5SQBMnKNw9SKsQbGNEBpcF8S7wf7injZ1HBBych9T1KZFUIa1gp7ONMcmdSDiCZkZzlDoHDiz5+B+EAuLJCCNml8ECrTqAyKyBH6mlyMzwmJU79ulUcYEi0meRQXXAfNW8txXuASaBakeCgupScZPwXBWooqgsyUQu8/Vn1pgxUU4DQUwmAVM10UyISUTE5EAPH2yznj9/sW3j/PAA4P37h/Pl7B78Wy6Fd/eMvDndHA7L4Xi8vbuthVy9GdeT2r42UnYYQaSQLZ3xW5TCVNlbruJ3PcE/qnGZ3cJ0MC4lDd9sGa1XS6dmdr5cImIMa73f3d2J1C7JnDMPEdlXncyjG08DtPA2Ttyc6xvZz0WZw0BFW2v6xHiVDWJk7kIWzEhfyM4c6pYK18p0hEMjTERoZp+Z56X8QIIN7YhEbmMjeC4poZGZpPARUVCdHNaK5jUqC4/qU8pSJ93HsixILMsyxrZeVxFp1ngqBDBt2nG5ntvpdJIiijrE2rIY9OPPPhvrdntzM4ZffVzXdaRqW2rTsdQObu7GGjFoI1rbOlPc3ccgVUBN6e4SMTJyaQco2uEgInfPnh+Xw+lweP/u7b/5N/9mrFtFmBDR98vh5qMPPymCcEIgnBmk9XVbuT5uMs9grSGlNfNRz6VZKz6SKm1huObb1NBB9jfZ9CEca5unN+uersqvDhGd7aSVAdCeSyNNHseELHvBUT8yH/k/QthXEympqAGamg3ugh/x5s13f/dXf6VoSRcBFqeAjCCblikwwxPJrDbvkOyiOZAWAEmK0RPst7pBmzw83KtYUFkdSPjheGzWyMYu1IpFfh3tCV/UTLjOru3FiBOeZvoJQI0K1QiIOGcAoKRNIlySypomFnPyKukRMc4PFyVAlARA7ebmhh7nlbMgmNCKTkMx6gpEELVObpdbAchdGsnXS2ukAmfm6GIC7SJE6BDTsaoiLGKs13W9rkW2A8T0uBydY4MsYqtoipTvkEjbEbLEHIdJ4UqzLk21Sd3RIphOlzT4yGadk90STWEffSNGBJI745pqZL579epwPN3a7e2LZ+G5HA/buo6xqcr1srKjO744RPp6vYbHul6v6yWQ1+vl1avX18ulKPlq0vV0PNzc3Fqrql8h1ozuxhR2ZSVCETWO2/k5G/cWcdRaWHZWjS8iEI/RrAH0h8A+qt1/CfVudM8yLcW3gMAsORpLX25ub0zNwzMy6eTIBn8Gen6ufL6TdzNHr6gmslLLTFEEtPZbnPMlEUTk1yvEn/jqzC/IoClTpqjECIoJ6lhwHju//LE5mPgPU0KV8SoRufRlckO23f9n35XGs7BnkAiNXVIqwg/Q082s9w6RMTYBRLT3JlIK7cxoZt9998P7d2++/fbbZq1ZCw9XbaattWbQXK8xchshore3t4fT0T3vXrwAH1JmMxvuJpqR67piARJQbdYEiHAfAUhfGieQYwxUpdPMlEd7hKugtX68uUl6yYQAaWr90MXseDyd7o7rSidb5XLt1po200wRqImarGuoaTqhhySo6D73olUYVhGnzM89Wut7y2ZNITK2cTreqOrQwWOURMMhc5UdvbcIkrAFZ6XDEzRzO+Y0mAeRo/4aV4JhGyJqzdhTetzc3P74d393O2+92TrWKsJFu2hGqLThK1BNBYqkTNiEnUnte9GUWdNOdB5Q0W+//ebP/+wvfHP2fSKCpv+9f/EvPv30s0yI7XsDds1tvYEsqgstrNkeFF8N8ZjbdleTEroDKahxGarAQharkjbJpHMC+M1XX/31X/31GINjWRHpy/Ff/Mv/4ObuhjFdatRatXBtT62XmSgBMNsSAXsACoZ5wWfTv8vBEpi6aLpxwFLppADQIC8jMz18jN+8+/U3X387OTly9/zuiy++aIfD3p6Y8BJOjdBMoshHUsAWPutbVCCnYMVTVJM9GttNBNc8RSaR0jmErKqWYtd3b99+++13z58/M9NtHa0NM3v24sV23ZbTsl5s29q2rje3p43AaOCrr36jquf3D+fz5Xy5XC7X3trt3e1HP/rRto3r9QpgOS7Wmpn11jk/69agCK1am4+8dniJWKOdjiaSHhvE22y6CIsI3Sj3qXDCRaFieyTlGduFxGwpROAxhsflfN62TU2f3T3jETO15Apcd3Yck5uuEwlg7wQpklbBoYX8TB6zaC1JFWLUiJiL/8bYaBJR1LKJ2hUyU0NmKhzJzJkCcss9snORBH8uUwDp84lKGDnnWHxfCYhG165e3k0i5fajpj58nivs+lMGI/KYifupqqS4O4t9Qtc1tRf4iMt6efPD6zfv3pzP54z44OUHbVkO58u19fb27RsVFVhKtNaXZwe+Ps8c6yC5W8WaScHbqkhSDiQQKkZqUUZsa6ZGb70tTcUSYYv5limCpiNSRPrSZbRMT1Ex29yRIIksM7cxnj97fnN3B8VybBlpZmKUOei6rT42UWnLIiKHht0zhGQPAJHZ1CIzPKhTtqWxL1tqQ6abcqMMxrYtx27Wsp48LchVYZKg20nBrBW5QiHQFnTbxRSyFUIiO/zPgwhScWkCnlL+5a1t60a3khGpS/cIaQ2C1ro205CmipDmCyR38RHUvHRnSa8ZAInq+7nzQCAkLHZr1u3+fB+rA1G3D4eNxYmIc13Mk9zxWAGRcMJkVoTuBCEa3VXDEKsRdyU9ESBb9sgguKQDIkLTglIFpGuKiiyHw+Y+1rUcr4TbNHQ5HMBPLEGJ24661v/VfZgs/wn88v7PSmvvaHg2crYCklpku4yQUmiBSFZWpnKPkSrX9RrsHoG2Lbp0W5bCeGYlJoDuvVMVpXupLJYBSd1TVIbCHgctRD1IL1CEh07AJym6jAoW7uOIG2SsYw3khx9/lMgffvhBm6qKqUbT3mttEVukDjTrl+v5zZu3r394tV6uZiamIrL5+vqtQ/R0Oh6Oh750Vpa9996bVTHYIamdMl36GlBxxXeeBKBYpWZtop5joUx2DdBH4KNZL3uo4HOtel8mistDe72ub9+9cx/Pnj0/nU7WTEQzY9s2ToNVFI1OUIKo7AiU7C4z1YonPYVg1WDJ1HNVMc6xolpOjr+K8p1W4b9PX/mCCV/udu7zzXJurNPfe+IB2AcSss9qOc7CxMwFQa6yezM7ny8A+tJ779f1KiJjDA1VammpRpzfLTJMqgXjWFto/aLqPughKlT+e37//Xe//e3X5/NZRFrrn3z0yWeffrb51tBUm0lqDD/dHvvSMjMjr9dVTY/HYxO4b+u6pbt24waciCQ1gI/jul7J8RI1M4NKXmVKlmDaU1r9pRgaQnK4e4QgL9fr/f398FiaZWJzNzWztrm3Q++tpxSDKiVFYNKs9+Hc0smjk1LwTK19EBFWLEpXL0mpKSjL0wLCeNlN1XqbZXxEcFJNXq1ukb0vqg1VYiSQaiYpXA0PyZRyf9HCGF3KoEYnPVEAvl7WFBWGVEW1tTweTycJUcEI54iRTYgDRrzZhJVU6RbZjKtYa6zPZ8OKCT4jnOC4mFp6AiQcB4dphVgQ163pUKFntg+vMaHbWdCxieH9RnmoYEdT2KZkIaRp1jSbINNSUhAWGYnQVEmlF1ZblmSdnwWVrdsGg5gBMDGWlpgzOfYQmVlzgko8syQi+ENvWewpYb42gwoI7qEw90idzQG/mDMJWqKEiHBXTHKQu3GJLr1Z5k8l3YOfTcyXsDvEZGYrlovwtTP6Q8RAVXbuj4xzTKBOTwRNt5GSYwzr3d2b9mb9/HBxLmARVTFRU2sdWsvWC32KDRGI3vvheLi5vaPz3fV6XddVVI6nU2/tdDpBYM3GNnpfei9TB4ioSWSR3JAJ47Lrqmpph670/xR1cS1DT4sIU1p4qYQUraXYDqIqXK6ZlJgUGdci/Xrd7h/eu/vtze2yLL13xlZrLTPcnTxOKXfG5BJFiLBjwhSxK6ogKUMeIiTcgWWWyIhsrYzhKr1pYVa9leFKEeppGyPTbl0flwGwGozJHULO9cLYiyrMaqAWAKDSRioJNaQ4saBJWLOMZJdDtRBDf070yWtqAFXpvfMVqklmMfT4mkait6am9+/uv/v+u1c/vLpeLstyuLu5e/nBi7ubu/Pl/Jd/+Rc//8Uv2uV6ORz7+nA9LIf1ej0/XK2bQHpbRHC9XK0JMrqqZqpot5YQtYRo+ABETZfl0HtnNU+Z6raN1pe5WFmDvu8iLK45yhFJwMVEHrS1DhGVEDGCn33p2kyt8f1Xrm7C1eSKQiZFpIBJXkaL6qZlfvRlI4ByME0Ikgvfy9UvHO4CNHJLuPHZRY0gU7LsIQuEZDaaSAdCRLh6PhEV+wDTltMkTqWhDmiwReitC9COuH94QIqaYVnasuRwJJpK1O4LiUgTgcOgCTIrJBjsWUowYiFkN+wsrFqQKc1QNrEqjOrF2Bal+EUNrOBQkrUZ9AshkUpsNQ3YvUeQSAmB0HI/HrmP88Tv1XrCc2NagAhYy3A45gS4VcQEYycFimjTxqVOxc7hwxShnYZwywrma+GWaUw84YkqZyb6+l39RqGoiJZFjM1KWQKJyRpyDRdrXdWSIANgYipqvXZeqpRpKUGA2ZuXFavNcYLMWURCc64FZsoxGCbrZc9Xsqsu9tkDYKIsEESgy6Gdbmw5QQJqkaptkdZbg7RuMGwjkGJoS9OM6yVEjOtQunVaoWRCQVvyNDETW04HIXuddVtr1poBIckBQJsYSKI2Z8ytj3JdVxVpZlBExkRLSJdSWJZSiJIUWsmqzIoqVHVd17dv32bE6XQ6HI/8Dhx2sfEAFwsX37HQFRVVE3fvvckoG+QAMW3OS51znZxEzJlWmRII5EQSxI9wcXcnvx5Aa61rL72ViHDdsZTZQQLpzhheljs0CxGdKnIkB2YyB92Z7j4TG/uMorhJTZuD8KmHZ4AaVeuU0YmpJkSnM11GFJEv4gnrABnxq9/85vvvvr9cL0tfbm9vP3jx8vb2Folvvv7mT//dn33zzdeXy2X1tcUWren9+/u2tDc/3HvG+nY17YfTQdXWyyXdPf3u7m7pC+GRKEQVgCIl3LVZsm7hdq56wKrl6AIUTEeXqOzaedEjtIk2a0CocAUNczHE1CMZ0D2q5AvnjDWL8wo0tZy4O2taKbulOqmFj7CKJFhHBoroXMWEUgZkdYizGJbM2lBcgz3eYxLqE7rXyiKSWoF+xyMKLo8q/6ssKl53s4YgTpxIKWEBMpEGCK2T5+aXLO5HtbRZODjx9ckxKHkIWc+CSCAq56oqUP5EopCSJKTOwTZzC0twfpiPQbSI8IkJkxSq9YjLkDkqoOywIrPs6EzuI6wkEzqVvlzJbc70AUQNPmRmbRFTAqzI2VhUyK+qrQJmvfKZTmaLPaEJqcYgn+4LKNh1nx7MnyCQnFqxZH8XuzBVRQQcmeak50skJKfJh83XuAO//BqegRrhsG2wudkRAAda1bdFMo4gIYj6WPjzVaTpDrQBxV4QFdUmotxy3lprzaw1ZCYcIdba7d1JTQ/Lcbtux5uDABnYxlAzioPMmplpId0iuu9x1IyVdX2t5K3bsPuvZybcPSCHw4HhrLU2HUEeB6xFIso0VWuNexEiwn3cPzyE+/F4Op6O9MssrgtJCoAUV2dOfEhJMCPpiNmiTmnl0t0xRYCUEIZ4gUQIhS9Z+6LnuEKU+1DbNLguVGpi7mZW8GUEMo0NhE77kAThBAg5L8FdBexfpVj/rISgKqKNAwayKD1cjdIJKnJiTouCuxZ6b3w9xY/i9Fh1Wzf6ekX65by+efvm++++P5/PZrb0/uEHP1paa62/e/vuL/78L37966/ev38nKiZtWQ49e+vWrpfr6XTatusHH36Yktf1MkaY6hju6ev1mpl+TI9s1kTVHod9CZarE0+oVlcg7ilwrgUHDJJwpVkrEtxSK9J7V2DpJTHg7Zy3VJfDsbcu1SRlhS9lT+A8kjMgPg07Ne8pGsneBdS1QcTYtu1wPKpIuEeG2gpkbx1IyxQIZdyUj1lrk7PGkTI5HXywVW5POgSENkGoqrJIErz6O+0hky1eBJqycmoa4KcaWYycWo8ANjmMH/FEggXQ/b/CG/3lawwOCYFBUVeaGFEASlMeUxMzrtmQeU8gu6nRo7tUXadCq1ESl0cjhvoy4RyTX1n4iGbQxwrcUMBhGmo5LVKElOdJbqhcq6Sfz/ABVBkvENpEJHaezez0qbYrTmJJlTCrAmYHxZPXSwpGpSVY2T5I7aGB0lCM5hnlVItUZIXFnAfOJLEXxU+s4WcWeMwG+SjVqFdBdsc+D+SfF7bHn7czIyNJuyRNgkBHRFhVotU8WTMflCaQFiouAeBwWCJcoLFEnDJjELu/rFfh7lbTtjRJiBqdmbVNoF/RrFcEA2c5Idz/xadl5mNwHMhPXUsYLAKMbbSl2SQaIVNMfUSKq0h6vnv39nq93tzenu6e9d7NTARjONclmtrwAUwn8HnH2HJlFjs/geG0EZk9rmrN3SPdo0YsAEOtDzczzinw5JdgjnZmGskn6jZ3px3TGKM1QogsUCafR+dqinn8BCIogRi/PjOaNVGh+iRQAygzMzXH4G1ct9VK4kAXDQfqGFCewvzUWltOy7t3b3/7m9++fv3mul5766fT6bNPP7u7vQXw+tXrL7/6zVe/+vUPP7xi4GjWBNJ7T0G6tuPd3YAsJu2yHD843N3dbmOs29p6H9fh4Zf3D7/8+18Kt681m8s8AUC5DtDrsytNYCBSuwd1RlQ/aSgQrTcqsFNVnDiESGRrjUBLRDzCDCLSmtK2NBIKSVWF0OZJeyN6LXPnVNWv+1XiI3xMAJmJoi1apJDnYK0VC1ilqWW15jUGatmkKhetEVJN10TFAjUIpaX9Exw3FRrYJz/J0KOPjGhtTc2sEZiqdpVeyTCU2YxmKE0kGJNJUWGRK7NirSW9UKhNUJyADcOuFszI0xwRoqZRnrcKZash01uOqoYgwRP/AE7B098zFuwxdO8GUJxLCDQyzESyLMFrtFlD8mJfNhpAM5xHjRJSVXWqHysyz0yrYlL5QkosUEPP+jzwWHzPFzafCv7/3gqftZbt3f7oEnN2OJUshcwgMmU67s2wPovHnQ9TKynnERQkrQLqRcv+cgQzORFhn71nlgsYSPRGqesmalzpml1gsuKo56EKdWitZRfeO63LlB0uME2zzsuw+iZQmr1n2SRMcERrFNJp1i3IfZ+7iMzpqKmpaKpCpBlvc3VTkSmRJHRGROsNnM2qwhAeb9+/W7ftdDzd3t0tfanyfPIaW+9jPC5h56vi/LksSuaqhtYMAWs2cqgIyd8+i3d3X7ftdKztBRWpaFgqLfYNIlm/VB/ZYpilJZ+s1Rtk/GWbUhiOpCAY4ktkAKnzUSvP+IKHQzB8dGmYP5OtAffHEU0y02Xp5J6JcGmShLtyeC6FB47r+NVvf/X61avL5WJqS18++fjj3rqpXa/Xn/3tz7788svXr155RG9LM2u9I1lXWmSMdfS+NLEuzSJ9uAciINb7oiKi7bb31s/LYfn6t8vx1A6LaROubajYB4Wahqfv1K5QpK/Q2glnYlA4BoG8Zpbl1pJg80oZdLX9VfKByKqqWhNFMvzyR4CbWTlv4EMtMjwwK9cKX3sxxuJK2FAXmMORPbtXrseCJFEYTN4CTclpA8dzwsMAIR8zZR7GlNJJCQyWXHvMKCOzCp0YFb+nNfMMDnBETbygfBGwWfUY/EHEP4Ll/0SkUKZncwCNyoRJaCmlaOpQs1ZYOEdEZPDP81vIC5nszBNShdyTXPokdmX+g0j2SKyuuKrJHC5kCddwKhmuIpGhURBU1ra8WeCT9UgAQEuF/ARMyf23u8xSGf+yHn1WcpwR9umvvU7YT0iiXIUkURS9WuYpIZHQ4ibuP74+QlVUc/nYFBEVkXrKoamPnWfSm3p/OaVEqL51NqxJlyHmxkwJxHyVRssPkYRbkS9S+fMiyspXDagN0kbishN4zQwx5cedZVk9tSzQtN4k0Tp380Ktke3Hd2ytKafuqvRSlrm5hR8zIfXel9bp2+NVHap4BJk+FbfTRXRbt8v5fL5cjqfjB7d3Zo2NK/cvytRIZzjDLqaBj0its6/bPhcU89ck4bAKVCmMvjoSxo3hgyKvEWPOoiaMyR2NwoutVOQWHJ1Z5q8JEn617unun5X8VsCUn+zzNAGmGTW/Ic8INwiZaiYiowEqsm6blGSqpG29N56wKINeEcj1ev76+x++/f67h/v74/F4d3P78vnL58+fmdqb129/85vf/OLvfv79qx+mgdLhQGCJx4yLZDBElDar7bAc29LevXqtZlDdfCzLYtIJmV7XLQNGURU7exVIqihbb5WECRdOgBVkwtBUN4bZ0sEKfUqsWUtwtc+UjLMLZfyf6PasrHVquznKNyXFARninM2KwBHyyA9k5i6j1Jmi6/5So4VIs4L5iqMig0KJiCzWWoGcFumi+2UW6q3r1fMUFsiXwByZ1mGB7KOJsoyeXYFOHrW7qKYahBHjySCW/GURRMU5EwVc6LxY+SWlUB9y8euUVeCcEUuNNiwz0NaDMyZZSq6E/D0aMVTunO/lSWdDOIPPFWVE9Ajy8g1PPK4QvUQK1IovAWSWq2pAp8ilrspc2cC5n+hsBp/8mq9lJq8sB9aq/wsfmWBQ/edjMVeEm8z5xqr44zfluEVFEooMwrb1U+v/6SzBbe8U5+eKCfbIo3d1QqDxRBki02M0q4Xi45DSk1DDJ7QfC0nJCGNNGvzUzOauXUwWOWcGavtrU1gNdaSKFDr/kVoFHyujTJI4HCG7jlekdeVFywwy+suEbuI/WTg2iFFQAHVYulkjwUfqlwIycQzNjPB4+/DGIw7L8vEnH8tsguqmN/BWuo+xDaIiDAm0FEvkBCqp/JoQjSjt3vm4Ga9Zd6uImbY5g9FJ0o8IKsum22iKiNhjv1tLk/hXUx4TGfBauzaPfER5xJarxz6xyBrmT4sCnhWwQCvrKo7ldH7yFMND1cdozVR0bG6Vf/PycP7h9Q+vfnh1vV6Px+PN4fjFp5/31pq19br+7G9+9uuvvvruu++2bTPhps62l9Oz+xXK9UCrk4RktsPxtI7r6e42M5bDoUa1EOmizWL4NbL1LopAkm8mSFWtnZDKhXqzaOd9Fqhpa41jDRFNH5w1iYpg3vlIEzFIM5MMiQltiwhQD38PRxVqGGd3VU2K6Iz+ihl0ayEOy7IaYFY4flKxspsMhlBm472CAFykhMQ0riqgoiAALrbk2NEmSMAaUIKBvpoQRmoHUjHJqmT/NBtDSl2pEz9lnICHBP+ruKa10hICaEzFN6ZsUUTq6yXhIlr6BOjsq6qzmL1P3Q7ABGxACitjwqt6syxDMeEWmW54E5PRWcnu9fZjPCxAPPaXuNOvI3YKgdUA6Yn6oG73YzacWWWGUGSNIGrTzqM/Yn0o1R9UdmJLJzNZPTZ4QL1oAsriqOF2CqhEpYxzJ5TtKVFrb+bE8WWyeio/cXl0tSIVfBn2Zcb92bXML5bHNiY0LQWpoNdmnSP+Q6+ZRwKRS18ysjUTBYdtzaxZa22l4DCjzi2xLK1msZFnQmNn2vxYM1G2Diq1cq6qMwhManRXk9X6llaIUROZW3dmIRWT169k1Dw8PIyxHU+nU1+IpdByefggu8SDm8s0M1trUZlvTs2BpP9ZZmSKe+RsWDNb6zmLdJA4IEhqgExVlSsB+G05iRRTTP5YzPUh/Dkqk7pSBnwQMWTWCr/W6L+Ue1EvgvBHR6CqBSrqmpbiE6gZAOH+EaE7Rw9lYckMpGQnpvduDw8PX3/z9ZvXb8e2Hpbl9vbm888+41K2h/vzb7/+7S9+9otvvv3GxxBIa32xrtbGtpHMyc0Qk5UUCS4uLfZBZLblYNeRvZfx3qy8pNZAtlItlQJE1Vrt+mJczFK1BGiCMefhkAKjWye3p41thQhXlmdCJYXuz2y1bbqniWB6W9NGgpR4dpE63c9VzMiQlWl8NgegFSi0oieHejt+wGtaA6J9FMgEpiKw8ICU3JGEbq1SULNObfV6QiN4kYTzY2JJpTPdzkocWgrWIqjwAzXeH7o4mOYU/bPfVHA/qhf8iQKtYpIZElFT2NmwCFowygZUDaJlprmrLhlTS5fGlrlGwGwrIFBtETyLELWJpbBgfXTdAhAZSgQmcyYGmWgXzxe3oRkzYkl9kWIG7qHOOnES87FJXWCi3Lr3dXWpJuyte7oovhQfPF/FwKqpQIXmAvVmlb63LLOmLhCNnYBVGUhBZI0+Z1cvFf1VaIVULxDYhdHz6LU9G1ZakNkTcV2f1EksCG8mGCQyJWRlLBOaURVQVc1ea0ZwNGuko0iZsoyw3j3negmV0tcjTaFQJNxHEr6Qahp3FoCZNuvaagJBICgiCMVW6tNSnJJIA8G2DdXSrNIyL8v2qmQ69w/3PsZhOdzd3SLBRfCR2cwycbCD+zDVZhZRnCYzrtOB7OtAIqRQZn2kgGmxK1trY2zDh6k1s4hC7clRfTifj4eDiAwfpo1UJiOO96Q6ljodE0cQUfpXJ/bkBwH9mUkiejyF0JTHbbGRGVzYC7Em0nRsg5HRuqnAx8BscUpeQJWIu5lBcqzj2++/e/Pq1eVyOZ6Odze3d3efHZdD631br3//y1/+/a9+9fVvvt7GxrJy6YcoZ2nuMW5FYCETMlMEwyepSVVFPUNFWwa62WWrCLgsByvXb8IYoVbRXyYHVlrhngqDpXuoFEVMmiAyo0pIMS2kDKFmO6krNeAz4WQhIrmj5agYPTOkClfNoCqhkEKi2V9gVviFhhTYDoEGJlulknPGnjCkbnVVM2ospLXAFS4iFMyiHzMSEdKK8jlg7VOw/V6OV2iqMrjwBhGJnAiMptEYCJy3yb7QgVRRBwvSVru8gJSIYO/lyu45RBQ7QGnQWiciVAWXPFnm8gDMunPvAfaYKJKQIMBnalEEyh3dEJDkkClzDibVnicUEaRD1JudAH2SeCSpmV4QCkiqEQG0nHD4mc4gzYcCVTNnRGTiI0QCFn6TgEtyAKNczX/EhOulavAvVRBivv+ykuAMpVoKQUJjSmn2oFDfhwYDwJy38p/XDnRoqrRMmtkRHpS9oyHAk7KjwFpo8c4Pmy9sb0CNirVI8gkBNLVRjmBA7MTEqmUS0lrnzWrWRDKiLf0A9wZ1T7EgDiMJkRaS7lSbmNDZTU219bbkvClCga5Is8bA5xFdm6qFcouvRoQ1671VZ70XGKbuLimX9TrGuLm57Te3EaFqNEYys2qCIkTVrCVIAYiEqup1vR4PJ25rkcfPpopWHiEuvENSmeXY9yx57Tdl5h3uvXdGbYFEuIhYLQ1N00bcqxo4gagW0VOqUPPwihYlG578ov0OqAIlCvKIRJqaamex78Nl+u8C4uGiloi2tPA5+ynWkq0+fvjh+2+/+/bh/b2Z3d7evXzx8u72trV+vazfff/dlz//8rfffL1erplotiztBEkfoz4kR8xtZSaWiW1srNiicPf5cdagSJuKqrRmRR6uWK+iKskPgUFRqzFXgZixrUBCQUth8GeqaiJCqxsyURNLTWT21mfEZGGYTOHcHs0KG5ooQBIqEJ34j3LwW9qbqgp3h0tSHmsYOHcWVneie2GK+RqjKo1qdDy8rK+qC2G+dBbuCqkUCCVGRdNIU5OUkJGASRNR9gGMCXsn+OgUkjkndWAy4MQfmWw2qmOxIoyY9kxnO1tHD0IlpkkTgHuWA9myA5kCboI3sbmfICcaBjXLHKIy405O1o/ApMQFtZeVrQZnGGRlPMkEhJ6tpPMAFOoZLJw5NqnfZME6kjZ9JuZkwmYazQLDIgaL8ZwlmE1eAKoymFI3fnbk2vBzTlKW6NFeh1v57eYMgd1XPJbbyRdAOUuoM18yLrFpCINIFBalks4HG6pETRrDXSCYSAtGf3TL2zuXnB/ehI6lQP8JGqA432wAWXZAqpS26jhba/yAWucMQFX3CoSueSKRahZjAyBq4a5mGe68QEJnwbrQGWzsi24rAki2bo8k2vnJ8XdEpfcWsEwrRUDeV4K07ET68Ot6DY/W2vH2uPQlJen3QEj1SaoHB4FsQSi5Gu699eRHzdaXQW0v4rge2VQ43hARkd778LGPh6Kks9DA4M4WiGqtVleZ+8Im6iL79+ZE5EmsZDphHeHDI8Mm9MT2jiAkozymAhSSiPTwZho+d48LNBQS1tq2DqWTg8jYth++//6H16/ev3l7OB4Oy+F3f/R7y9LpMPLdN9//+quvfvub3zycH7hEurdOgCXc+WH65mJCzkxkjG2wSospzcGcNMiU77FdaCIQzcjkWk6zGetrU2+oCs+IitAUGQDFGkjAq2zfS2kYpBj/xdMkxB1byCSfaKGYrMaEmizeV4LW7CI5WBZVzZDqCMDrLsn8bKzt9LE0s/3Wq9auhtnfMx5DiHqWKAFiLsRVCmdWRHIGwXjU1GzOdvYun+Ugh5ACKS+zRzR3jzwTfUrQWzaj1oeZWm+9qQDGGzEhWosdECkEIXnAIEiEoSGROmmoVAtkak50QyQmbMCbRnGE1AeOyjcy0ZZ66xWgC2+QZL1TfxiP5Sqf6C6QKQEa6q7O14uqWDFlVRPFRyZ1AIqi0M5qmR/v7E6kePOyR9Dd1YufV0FEherJhF1E9soeMn+olhU2ptm8oqQcCjTITgPbe4J6q5kT7mXa4A4bPgupJT/zU6t0S2MWPrvIoI67nh7mRwQh1Es4TZ9Uuk+yFB8LCk9TcY+d+J+Jpl0rdtOVNFV26q84N2UW3yqJhRHtzhq/1+Pjs7PWTBojWqW0yV9l/5vzkwzu6C5vbZg+4iF0hxSRw/FovGKaGeWAxqmyqrpH+Che52xORXSM9Xq93tzcymzFGA0ysSM/dVWT9c1eafGnA9zSJDVe2WF67i2QoOqqfB55GsKdHBNTG+nzpu8NrcxVSoXfCptgzsohKRIS/Fi4hjMzCDFVvpIUQ6TrtPdWibub08P5/quvvn716ofr5Xw4HI/Hm9/9nZ+cTgdrtl23N2/efvmLv//qN1893L+PgCldw9mFW0SM4WZTYMYPKSIE1BLT2pZp08oygVh6oSbMEM0WE0drNqxxflRDkmqEhQ2gVumlU4ssdMsxs8g5/6waRk3N1Cb9nUW5JFLNtBTeM45UKE1GHJ6vWUerakMtxGBaIgZN5TQVDSy/bMJHvDcVT3awsPrHSlRwL/2eNaNViCptJZRHiN4wIoIUL2lJJl8fE0Elm1DOyAoW2l/5jvtWzMUkPAjE2uxrqisEOzHUFrcEJrjEALS/gwnfVBjBPJyY4TAF3BMtWfP44F7FepQZYY0Lnee9k4qimNzQcspEjTcYQzOhph5DxVBzk30szW5OZDKf9vKcn0wmp/H6GEpnzszH/9rrZQA0EZuAFN8S9u8nk7k3P+TJht/LVvoBEV+fmRgoGlVZP+fjYwFgCeaRyNQaeJTfOmMNYz7HJFL9caI0bhWowGil9XWYmFHBnZjHAnPBjkzNSz45ugLA5+ufD50sQ2Fc1/lGFQHOAKBFT0K1Ai5U0+0HEICKOACEpBQHbNa5VWWliCmQJmVAVWgeo8ZkxO7QtxZOkB5cexwhambL4SAQo/NuuMFEZQqvwIQRya7ABGWIzQDm4du2cQJUuOU+dHpkMmOG7sxJveNYe6Ka7KfniaqSnDM+m4Wg+NyzuHP/nWvgZogvap1AUiKrqg0imDVpFlH16jxMBdpaRu19EOPYNU211GEqrdt2Xb/+5usfvv/h4eFerZ0Op4+/+PiwLNYO6fHD99//+te/+upXX717eAcXxmjMx5WJMYZomtrSO216fZTFkDDKj8xI7u6ReWowh3k5QQD+RTNrvS1jHTyHMqe98hhiqv8mVESyQS3zg0ZCdSLCvDZIH9UTEPLnGa8ZslE/XVdYabRvJnS+3pET5hpTeH3Ss1aQJC6xPwIt1GC/YlnBtxrp+acVLxJQcVNTMVUThIqZQXVKTjLpHKFqmeyOctu2MUp8HRFStVs+udaz+oakhBAz31MAT2WKF8IBEUHkul5Z5wumnI13NbF7fz4JbXO7HT9Qnu8dG4GUqAuEChL03qqcIaIQK7MNiJVdXqEjlRpVJGAoZ4L6pzGtjo3bBQvtj8f+BqB2TB5z1GMe3gMHL6DXeSgChjxpm/hyEtA2JeW6v8MZ+DEJd/Vkq8HbaZ970KwGYg5/9yDCEC3VYQFFAhCRoAQLE3WUsL3slKTLPf9mp2/UPB1zKPW0dpT9Ve1E1Hq1aVWSz+OxE9X4+c3mYc/7DKaiIpKqVgabSe8NnUXSfGLzvnBvKMd9IiIwVQ+IptbciIULiiaQmYEgiEHXHaGHKxHQwuLAFdDsuQmXE4IXVfpriYi7OwdFOgnimGVMqc3nnUhehaywA22tdh3PF/h4CXLGLeJm5OrIFOvJzGcz8ACZUN18q5IhH7Ua/HdE4XROrSKCWc2npyTm/9VFF+EbZyyKQVIWS2H1GOyeW2sQITUGyoUZiPDvvv3u22+/efv2zfF4vLu5+/STz168eHk6nrZ1e/v+7d/8zd99+eUv3755nRHdloMd0TDcmXVqOw2EVvYRwZ4ksxbk8TxVZyaDB5XskvrL6s6TXnI8vU0hzYwzoLlg0yjIrk22BP93ElBrPDDUP1smpPHoiqiYhEe0sG5tad3KmxARrTfrZt2qWY69EjUxw87joOgX5MlQA1xpTKY0iJMirVZ3loK5H7P6g6pt6oHvX5UZfEtmZlF1LwexUrUtRw0zDqqaewyPvWDiPrlEQK26A0yCMOMvKcBSyYdlu8xKByjhw3DPCCJlUf9OsC8vmR7CkSmSApvvgjcoeBBzGsQWaMHPkQEw2LawJqFv2vTN3m/Mk6SbjJpE07H/sVYhLzaZd+TYVtqr6gpTiIY9UkTxdWTCpZJcWMr2xKBq1T8kd+QCACFHw6StzgdabUP1mewWkYzxDLYTtpH9te+BQ7Mm45j1QUUkgj+5x5b6c+GnP8nmqjUr5vfXqVYRIeu/nkEFC3abe/QiW/YfvCSdxNEneYAvtUDn6vImACTGJn/2yqmYj7Yy2F4HkRdkquuEfXTKyasMpcsNX2UVgqbWmLHUZILgCi52niV43aV6hxhjQ8W7LvOO1lSTvLlSa6p71E4FppnawcmIxOVwQNlqYlkOZI5W6TAnOqLgouG9NxIRMmcS1Y3lxIoxm8SsNkIiXDi4VuOBorWDiIAsI0FrRqyUxLRqg5CAKjIod1L1CETCYK25D5bLES6TrJiRtZ1OY7tsb96+/uH7V/f37/uyHJbDP/5H/1hFT8eTmX337fd/+9d/+7d/+7M3b19niKn1trALiUwEVHVsDr73KT0jEcvnAKA2SuznETUHxjQ0pXfZLMjqSIeHcScU1qiSoeDgCQpTaTP/PAE1Bt2yloxMs2qbZ6+gAmJ9nJZpa5aJDDdAm5XVPtBmg29inR62hLJk1k5z8srvo2qI5ApNuKiKNVXU8+C/nOhE/SJjMimZpYqEzXkE5+6tNSdxAkGWy7TAEand6Ih0nv/yqGIKEYiQd7IPNlAjTajDq6oq2BmyB3dpADFiPqLNmgl9MIAyNpHJJKkSuYYSAFB6Y8JbOxYgKlDVGC4QUSjdxCRF0KbVA4t0LQ+DvUeeBWBOGtOMXwLNia6UzQkqlu9FURW6c75Qwa7i2s6SLHkuot7hRE5UYVJoFOYRE22q2lSNuxkgWuYbvPiTASBCg79awj2zGMN04fR86Iw0KbA5z859IAKItIiq/GeAmuVS5Y5EtT2ooKkoTWd1ECGZpoKJB6IyYunUAo8KF34ulXlQX557Lp2fudXroWqtuECqAqueQzJVpLVWs3OAO+N4jqypT1qWiLCqKadsEaSQg7f7KKmKqZEaoDoND0rWuVcciUe1hiBpTWOtxD0KTM23KskOY2yGJjNdktzJs2GFZe81uwBwH733nG4iSoOEp+kRTx8fGzVMKKjscdis4OnnWlsqp0QLhWEuffHapTptlwBkGfortdPsIiQx80pGKj9t5hu+LLLaaLoVYarDx29++/Xr16/O9+fe2t2zZz/56PefP3++LIuv/v7h/i/+7K++/PsvX33//TZGs77ooodKJ5lJSisPwBTpkKVWD2SM8eRTmW0rr2Dp0QhMSmQobSoipMbj1YtGRKtBKwhusMSYiLYBgDWDlvhba+RQK704++N6SCnJkJipu5gqMSgzo3/9FuWeJhAEVMSawskFFIgIVyxYFWgm0syGp5qaNVUVSbU54lHuQTU15bL24glVHuSzVyr7C/+XiWh6pIaqdGvpUUhQJBnrAlFRx6icVmBFHTuCJZRTQK3gmMcdPQi4TmoBfxrrpsysGpRDtlQReLppn3yWGdgStUVgkg0gZfvA92mqtVaRoYq0tMjGvi2RXCSCVMYrpYGrwIOtRyX1mT9EhEuRcgYvoArMeT8fkV/meBZY++1lA6SYd0yCBIZZLBI6QpaghikYkSEKnwcjgYiSYDHcsorw6uBixlwwdugE3VD8X94EzI5+JvMCZ/aXMwNIAghhsBUAyckei+N9TzBfRkSiSQVLVkhFsg2mZZXGb8nxCKsHinmRtl/PKlKQmWnMbXtIrZBVKZanh2IFVWWVR2KFiEEfbenoztuMVvIqEPQ+Mi8iAt1ywzSiMzWPIZC5AgXV8M8mny8s9VGcj+CmEQZod3d+sNb64XgUIDLdQxX7CsMIL8TXJimq2D78/rTYk/rbKkEgoohglVZbhWdzJKKZU1mWM8iJqOoYg6E/kSY1d6UcgW9ZVK/XkZHDRwnQeCgiSHRl7cANx9Md2isbo+YQbE1Mlfal3HE98X1LbrkQFcmxjVevX795/erNmzet97ub288//vzm5uawHGL4d99+9/U33/zi57/49rvv0l1Ee+u6WFULmaK77bNlSZfVY/BgisA9SxaikxzFWmNv0VgwcGWNSGaynWIZPdtFSaneqMnkoQNQ0yoQ2J/O+6Y7msKydeIHOesjnUwyFjccWBecko9VOdcM1TPUunhNNSMkOYvXueBBJHKxhgZrJmLNNHJIQtSArWlrrBMRbSff8V9qNfo6OyYU96OiRFpGpEprrfk2CLO01gLiIRNgBa8+agwuqjX631tzCDJL1jxDpxA+IjNwHl9G0MaFvCI25WPIyG1dW2NbkFW4KJr04YNtloZMIVsqLDMETUDjpiBVOZH0h9krcsk0qGQ2uvymKLJSLKCQ3sjvrl/7UCUQMndaQXKqlogl7HBF7mK4xw8eu20OjycmZiJ1hGr9cnWnMbj+PSMd6VApDbMHiXSmJtQLikk1bwmAqNdj4Zh7IuMxIxREXXTO4f98+PM15/66qqmo/bIJBZxvQiVbsTYIvPAwWFMTeoknz7FCxFEvW2YWF5liRGu1qJA1fYnmyFfmmGZOKKrJ4J3hW2TfRFZSeIqX3VrVqqYa4dzzszPhmjbHtrTDul5o/cYuODJF2750niG7cSfqZPHOEgRmGlyQpxrhmXAfotqsE5WWqd3RKauMiIlHk5BS769br82KOds4CGnyYwyaFmSmtXa9XntrujtOFrvFGeX59OcxQxYZqSQ0+w4Aa8It9yiRqZmhtR7hVZfNbLTDmzE/dQA1ZIj0AvdtbGPP3b03vgtWipFBecy79+++/fbbb7/9ppm9fPHyj376R7fPbhfr6+rv7t/+5Z/9xc+//PKH779396UflnZAywgniDQ2b62NbVizw3Ign1XF3J22xyJwDmhmtJH6DLNKRIhoOWYXaC+ChDVDorVGuM19zhLKlFfaDO6K/eFYTYJZ1uWcZlpTWm/z45tYwSwReQSUNLRgwiRMzz61M3Py2nF1kCQDqGQcjgvHCo6YSGgel3boPQohEe0GIYWrtdZat95aAV51qiZPXGqkzGU9OU2UExgjuoiE926npeVQQVuvV169Q+9UNiqshshenES2RZgr5aRIN4QgEDPicWOo7iXz08YMENVaUZQTRAmAenGa/2kBt+TbFIukmgD6zuiGlYCEyTJZZSoiEdF7o/+ICSLTTG5vTt+bqgH+WGizgWtmMJ30qYJ2TRtxrcRkzRMfBytNmYhL7m9tvkEKq7K07TOCET3nI1CRDCBchfoRTo1IblbqgGB6uD0sh4XFPIhkFTpTZYdYfcMZCOZHTPpfAGIEo3fYADsYxfBWzSA5A0ntYoZsma03D87Cm5rlPsDIFEGzyiv0mqFiCApFbapWrk9JTr+TNXQV0xNMr5c828QdQZsdbPFPIlOoOOVaiszekkUat7Xwsxlj9GUxVfRGSW2Gx9guSNXmYwN2JXpk0sAxkURbxcNrg6p20Vq2wxjYtLmPdV2p+Tosh31cXCdEilAoj88o9noRSdfM4kTV3psiIhfLqLXOATbT49I76m8NSDEN6rAmm0NF9s9LpP6WbQeJW0H0kwcvC3pd1yulywyIMilGwg2OkVChZyJt4Dyjt86EHB69U7QVvbXwyAiedh9+/3D/+tXrV6++b70dD4c//sd/vPTl9nQT7t9//8Pf//2vfvHzX3z/+oexbmraW+/WzDpPrKnRzf94PI5ttN4iYttWUS69GTLv9X5H+SG3aT9ehQ9iDE/Pw+GgqmY5xjz8keu2XS6XcI/wzDwej6ebGxENdRFpDPSoeRvZXrO7nUI1VGEwMQoU7J2aEiTMPUUxiD5Tf8TspVDIliowa5kuopyzL70dzF4+f/5P//iPNePQF49sppH58pPP/vD3f1I/XGlMwV0/Mbg2ss1hdSZf7WMkqhsvKFx9TndFInxs4+27t0C8ePF8Ubu/PJwOp/WynsdQ0yYLEsQbApHReutQduuac/X6fDAFBO+TMQAI5M4mmchJQbpz+Dcho8yMCAPojE8wryZItXAmtZwGQlNGJgxG0ndEFMsz00SWQz+0pTfLyKYS4WPdnt/ertfz2EYPSIOmpuKw9KX1Uh1wTdIcHMlMn+z1GNDLneJRIpR4dF6aYFfBL9V1/cPmYEKUIoFoZpCMNTzdY2i3Q+/v7s/H5XS+Xk/L8aBy7D2AfuiEE+bOrHrJM7ywT+MsdOKLyN1wTfYbIwAHYlquCfUvJTMjySBMjG3zEVnbdBJQSKvRd5X8BK9LeqHk8lelLwL0rod+MDVoqpopVE2w1LGYRKYZswha7bdsHmD6jkXpQATJIWpmZIaJfvTRx3/w059+/OnHN6fT+XLtzT79/LPnz59v22a9Hw89I8e6mfWIFFFuTlTBiJERnjUbzDLurudeVW1pETMhDKcRzoJLVWRfk5Jh2gBQKIui0AoFYpV5Z2/G8zDGEJGpCMN+3Oai5jon89kBIlPPW2IjVp+1LKU+w/J926E0QqCseT0jM0211pWzRJ2HGHPXM+tlolvNbLjzGIcH9UL7uDsjemuR/ub1m+9f//D6+1eicnd795Of/MHd3c3hcPDV371/92//8t/94hc///6777axAdqtLf1QZkTFma1Uz7WO2zZUlDtfIRhjqBrJ/vXZRtTac8I4IgIZY9BpDoJm3Flbh+nphpzMuF4u/ABZEFPyxVRJk27lvymzBqoLiHiUflisYBDO64iYISHqGlJhSKs6KCiO2KIZTwMHws3M2GuaigpM9Sc/+Z0/+Y/+w//F//w/pmmFqnL6t4WmIGOo6Taim42xYQZWYYmHVNOIgQRtsh7pBSrhpHlVnoSAxiZj267b+v7+zYsPXsTA23fvnj1//ud/9pe/+fbbIMM1kYODcbNIfpgcik3jO7YH0Dm2qxqG57jo63hETPBYzjbVsncXsaYxMqfTN69E5L7mYn7DSrqVTRJJGmEzQ/XyIhH//n/nn/+TP/qjQ7fr9SoZ7968f/P2tVm73L//n/1P/+Nny3Ld1stlnNfLiPzi8091OV62bUSKUYfNnSkpjy+f4SN27hzRvDnGLCCdXVfBi4/VX1ZiztkiiiTQli7bFp7W9Nja7/zOj//kX/+rH3/+yY9+7/efPbu5ubsVtS9+53e28PW6QUAvNXoECKaMZUbKCbY8Ei2LDjmZDFJg1OM/LGwhEXVYyCZMgaq2v/v7X/75n//VeVu36ypqNPLkN2fxS9qaqO4+e0QRBDC1u5vTf/Q/+g+fPbsdY0RGUyTgTjiUtz6rZCbMiXwCjZBgXp+dilYQrWGpAunhpiaZPpyLvHx4P/QAMlxURHRZ2te//fr//l/+367X89hWEZkgOVTVaUBvwl2GrHCX46HeGSsqwD2QztfSerdiuwGRphZg/bbPhTBhYRT5QSeIWhjORG2w/3nKbhcYzpKy2mcG8eI4RX0UVarvy3hnVcV6Kgogoh+OYs60nUspHv+KcY+hgC2ptRbh3FLJWqeZJfcPqwLiPgQ4HA/h8frN69evfnj9+nUib063P/m9nzx79qxZR+DN21d/+9d/+3d/+3e/+urX22U102bLoR/L0xTIzOu6MsVOugUGd3yKEWoZvgFQ1eFD1UjfEpkqj/my121VUVPrTU0NKkqDgwwRHe5PcsCshQURCC+zk4jsSw+PhhoiFpG4GGA17AWQc/VB/QUfVUH+BKiiPOXnq5RgEqmvVFoQISI9kBhjU9T6477Yxx98dDwsvg1BjuHL0se2ubsZv6lBsjW1hi5mXTPg4aYSAVGLCG0WmdIURB4F5cHTZxVSnz97xsCxrZs8P314c3cTLp9++PJ4c/P6u++//vZbgaaqZ/Sljc1BH9dUazo4NIqcjBCO9lQip5iqkrMWE+ax3ANpNKxDHQqoqJkubXHZeMkZaaLEuR2gMecOCWfCc+csISWgqoFQEQ198fzmX/zzf7+rdFO9u1PVzz/6lMqaf/HP/7vxn/wvDWyEEZlr5Dri3/7pn/1///zPHi5XaUaSK3a2i2AP8ZONh8c6HrPAm39WcGDlA5bdEy7C5GcmyW2hSDXkls9e3v6P/+Rf/+7nXzTVthw0MyLbYdnGIG8wMpp1mXxtoMQGHIZHhmSWzKdwcQAF/U2Mij5iyouUHqJSYCcSSOs2hidCYAr70RdffP3r33z3+vVh6bFtojLcTbFtbgKPwbvCkDzxJdBGswluTsd/8kd/eGhNJDiOVEFIYznN9F2VMiBAaZGq5K4zw9dPRg0/7MiMiNb6NjaOIpk4AAz3w2FZtzHW9Xg8piCGH3p//uz5b7/+rVCpCiRgKgnh8gl3v67rum23h6PVwi6p7S6JbQyCvTbNut0dMGtWvVSNH6xyS2ZVf5D6T+KmkU+PiEwedVXiEzyuEu2xZXzaOxYR7elfUhS0twLMIvvDqFCE5MkttcRkZ7LMqt4rU7WVpfOUAswVe9JpEqOCtPv7h1/+8pfffvuNmT27u/vR5z969uzZ6XQSkXfv3/3i7778m5/97S9/8YuxbiSXHw5Hd2+9L4eD+3B3LzO7Fo/rXcExbyLXdeW1KUacaOslWDO6CyeGj0ll1+PhqKoCiYzIwEBqTmnOP+i6ZseeE3arOF5pZnjbH0NwH0XOyS4K1YnwZl2sSAHEPB4f5OPjSrYBfD77eJHFcmQGd4XBM5OCQ5459zHGtrSWETAgsPSWvXtdCXDVsADcRAOFUdg/aQLUR7Me7dQZVEbiSJn3LUQkAi4Q5Ol4t3LafliQ6mPQBtd6g+nlOiLdBAmx1p7f3qjpSEfmyCpDEFGjzqBGWOgUFhMU3o/yTulgK2AmQqYwhs1RgZkmz+V0YE4kpvH4bjbAUFBu9xLp3pqZCjKf3T1baLLlkcqxIRtsrOsVxKUAdnfpqU3+8Kc//Yu//ev785lGBWaGhEfhuZPR+9ic17wi542tnPp48/bua2YKxT6h4VmCkMqlEFnwwQcf3t3eFf0/QtWg4WOTTEE2U9VW32pWoBXTkbwbmBDUjvWzTzfGjgRqpMRETtijXBL5DHPdTNQjAYfJcem3NzfvL5d13UL02fPnd89fHJeWIWm4ff6i9YUmtVWFaqUlU20qTYxcTUkooVCWXnvRCkDow5kqYnMH9fTzmDyFOX+psYEo0BLZD4dt28zMxEaMTPTWVUS7ZuuR0XtbI1T1cDyYatTEj5rz1DRttm21TvXu9nY/Wny+13Vk5LIsvfc9msesu4kWVyX+pKYnhWiPAoTWlCxfYI+tnNCysWm1gIU/gg/uMSVoLY/m+iUB5ppFEYF4clVSBSLOI/lSp2DJpoMbMSsuVoKqlI5Vawmgj6FEKSIEQsO4bVt774hcz9evv/7622+/heD25uaPfvpHZnZYFkm9f3v/5c9/+Zd/+Ze//eY31/MGSdLZJ1KUvrm35HC+LcsYrsb5n85NyJSyxXzZpEWJcJF4QgQ+fMwPv/emtd4bADy8OlCIp/sYEd45QdkL3icf/pNfVShx6Waj0iFnTK+mmWfbjDICT5eitpuq7IvSC8ridI6HeCYdmQoLoaSG5nNiTU07IBnbQDNRad3GGIiUTLICbMqsIfAIBK+6xCwoIMICKAXcVckZGUh5rjqxBsMC8UJ8gyX7nEeRhSTrtqnZ3d3d5eF8+6wTpfHwWovQ7I/+4HdVZPOVm3qGuwh883JXTQckoozvY67/efrRE4IgiYiPndIxP/n9u/ceua7rFiHk9zG3KjSsKk1OUYGp+VekiEW4k5qAiGd3d3y4bOFJqVIRbuARSLiLpLXGOlRFbu5uem/W2oiQueesfp5MItCTLn+H2QsByl2GjDkFwewEHk/bpNnwsUgiIxHhi9mHH740Uwi2sVkzl6kkQqogIwAl41ZoB0qzU3kMKwX6z4aFdfbecT/2KAxXVRrQVimi2N+NwLqYDPemejj0ZiYHOx4Pf/zP/uk//2f/1McmMGu2Zr59uCdUx8+lmUVEectYExOfS0J4EYuJsKfSJ/6wXkCfPHnBKM8lzETKz3SyRd29Vo1nPCJrfO6s24K7ZvN4PPbe+2GBSrgHCeaTY7qNzSMguvRa5JLAug5tsiwLKweW2HzK27qJQNCFhDGSOHnB51Gf970oBjuewwPiw3WH54glFMuel7reTZJpq3OGn0nFk8wDxA+Gx061REX86Wbm4RlU3haSZioCywSVZTKp4EBZis5qR2ParG7r+stffPn9d99vY/vgg5d/8Pu///z588Ny2Nbt3fv3f/UXf/Pll19+9dWvz5dzUxPI6XRa16tpg2CMramys+T2W0aDQI51G6NoSFKJU7Q1EVUzcOwzn58Ph4LmPNynzBcd6WyAeuvbtrn7GMPDyXnMYl8/jfj7/ZUpI6gfnaRlAijODBHOAvplv2ZmDdMfHwJ3MkxLrFGCEfoxcpr/D35+NRTs4lWnIbRgJFrvd8+fHU932pbIII3Xuhp3oJPRIVVBqonYFHGwMUCt0vBwQcNs+XJHICoUcU74mDyqcMhBiKktqmrPX75ovVlTjnepmgeyWfvi009N1RpEBOnu0ZpdL5sqt9zJZb16hKoyNcY+7MXjz49wjq7YKI0tIJkeD/cPDvn+ux+++u1vneeDUTLC9JHYCIgmZwsIr2oFNnmHwN2zZ/b/Y+tPey3Llu0wbIyIufY+mXX711x2TzQtk/IH2QZpNYAB9z3g9zvkXyD4L9j+ok/+CwYkwrBh2DIgWr1I6UmCJYES+fg63qbuvdVnVVZmnrPXnBH+MCLm3vWoc29VZe5zzt5rzTVnxIiIESPGiDWJdAB0kEoL6uRrOquSeIkViW+++frN19+c5zyulzRbsUjznuxRq7XVMfro7vNG8aZLrjuxTdHG6ZVm2T5MmE/aRx4z3I/vvf7+OK6HH2MctgML0fW4b67aiSMUGAnaZQ162ukqdMYpe9l1FrpAmHsP3IOyYFFjMs9UZv9yXM3sXPPD+w/uB9a6vZzIG4fjci3DzWK6r7noPPxQJDBXkK5BA+X+Z7cxoCR9spNrseKeo+3Lk1xO75Q+Q2QsNX/GJhXNtRIwtzkThrWWj3E7T3NL4nbeXm43ABprwxpAjzlvRjvGhclvvn471xrX64pJ46vXr+tpkVnd9r7WNNrwEZG38zzGQMLc5zwTVRjUqbrXvOoWUg4gKoZIkOd5mnv7sOxEgxod1pJrbhHsR+Pe9cUgDTlBy4iVKzN5cPjQw65wWWQnNwopJ7NmV2SWYFr5Zqj0mkFazPnZF1/+2Z/92TH8d37nd37v937ve9//3mUcyHzzxZuPf/3xH/3hP/r088/Ol9PMLuNyvVzEDzxvNzPLSHO7HFcQYKyVa83r9ZKJFXHepu54rnmMoV45iZJExpy3SmmCsjnHcREYYuUzmySSNtd8eT41Gr72eGS3PNU+Rz9H7PRZnZKy6pEwjSVH6/qyCHQAMgIaw9ncA6DgQHbKUt0+iSzSizJD1RFXcWUFG0ZGZDUmqJK0ALOV+fXX3/7JP/7ZPF++ffN1zKX2fyDUM/zDH/14ddsRDbEicyUYa6pztZjzZScIFHW3blevF508K4IUMkusyONyyLsex+WLN199/wffnyszMmfAkoi1Ziwcx3i6HAoqJJdowEeXq5GK5H7wdE3jnLEp5anLq5Muh8PqyDdBQgtErlwrxuXpVx/9+ssvPvv2dpOoioR6mgNQ8m60YjiydVlUjshMIz/9/PM/+9nPn54uTrtcxjGGuZmkNZ1uQ52imqTEYc8fPvz8l7+8vrpOcGWsGcO9m1OR3eSl89ybKcop7HZKKieQRRza0kCdAyoIWzaBLkeWa0UOjm/ff/j0s89//OMfPV185WTinCuAmXGuiNBopM5lmXIDpKlzrwq4uCckK0oCNnws2xpticpMsIB3IqziwUTm9foUa8F8Rc45ze32/N7N3SwjI9bz23d2GWYri/RgiSi+NoDE7eV8frnhcpnnrWx7Zd5T56gqkJUra1fJfQcVPjexCXsxMzNjZoZmr2vcuYKYdZ4rQlmSl/PlPM9v3n7z7v37cT3sfOFpGcWjz8hX19dnnOc5heUlF3y9XHdomBVUKdDM4eN23qwnwOwsjXfpQDcibQkCM+YxBgDUsJpKbCnuNTPX9Ji1aOascqvyc8OM3MKlcB8rFmu2ja1YmZjrHJejpulGNi+CkcGA0Y2cmrjrQ2+eoemPBzIzV6Fv2hi+5nTzzz//7D/7T/9/f/pnf/rt229J/Ev/0v/+n/kbf/3nP/vFx7/6+I//6I9++fHHXzR/383H04gIHxaJOdcxlJlxsWYqvCC95W2UMc6IiOljfHR5pSRPRsaKHq0B0i4+WKyZFM518wQGsCJebi9r6SknEjTLFZvmb+2GSdIMc333GO6v1MPdhIZRFpFcS+IHsg9u6s9q7oJ+3Ku4q9pAknD3mVNtdRFh3m4jEg4YM0LUJQDznM98jsx5xjgM4PPzy2effPZ/+9v/2j/+wz92dyaEOGzYT37nd3//93//B7/1k7yDSkQuZOX/x3FgmO1OpXYFihPXWtXEpTzyPTDa9AOO4UB+eP5wu00zMz8qn7MixYLFWsM/vDxfj3Ge53F4gs6igltJK3gyIuI4DpppmrOc9tYtQAsMZB0IhZ2+ctGOuZaBs0RFGJXuB2kak6EnWZw93G+4njoYyF/96jcf/+LjzCWi+6a8IPJ2e/7Tf/SnH969W/N8eTkj48z8K3/1r/6Vf/q/aUr1VpdgREAi89tw6+JFVLGy7426O75iyY881D3K9FV5U43fXXat6AzJf/THf/pv/Ov/n3/49/9LZozhl+NyXC7j+vRP/dW/9hd+7y9frk80l+O0LqFXmgBZeWGl+nLnp9CQh/cIoH+oMaf4b1lKWhm5Yhx+e34RZ+zdh/fRzKV//9/99/7wv/gvLsNjBQbtePrf/v7vf/9HP4RGJAYEocxd5/zNN9/8X//Vf/UYI87lh523KcXZypXtnVpiXjLAe6UTKValxp3vXgfKgLgbgcPHu3fv3n799W//zm+tiC8+//z2crtcL7/1k5/czvPp9dOa6/nlGYjDD3NvH1O9xADdLNwjcRyHGoELkCq1JYG5WktGhpo3WSXC3Hkcu9cPoG5EgmoJXrFMjPvSoVzDRnMWhcqKxSdb6WNwLTbPuIxoZfYlqZXDnWBXRytVuNZyVwLDiuKRobGDK1ZxMzTSVREo7Ol6ILFWfP3mzSe//uQ3n3zyp3/8x2/evJnnIvjy4fk/+nv/4Z/8oz/6gz/4g6/ffiPZEiEDH15dO+4aO/N0eZpzigefJeCjQVeFR0nOzIxw5xgXkBFLM3S1Wy9Dz6jFFwpIFRK7neeKkOScst6C10W09YGijxdoK09cslpVat7PiMasMWVVtFwrxiZIAPBhggmLy91pviKiVLyZkab+B6JbfpiZay1NB82itIUqkIiMuUSVNiqvF+e5zGiDdCIYa76+vnp1PDmQ55lArpW0GRaJV6+/Dx5yiRJENMSaa67JpMHdJC4EArFaOaWOlFV9AkKM7QFE5491vV6G28vzi9Gvr8acJwy5OFc1goqE8+abb//d/+Df/9GPfvDR0+vXry7z5YwMpx9jGHG9Xkj86Aff+0t/+S/N22LGZXhEetX07uBX2760BdpbHZcBGGe8enVxUMPVAkwFrdU6pDZUicWFEKzySKWR07aF9LKISE3+RKSB7969/N2/+/e+/fpNEVWNH/34J7/31/7p43I9856uzqgGIT5kZfXWTj4OH8jCrnejW+R6dLLjYddt35vt+JBo5Tt///zhZ7/42fO7b1X3TKZdrn69/OQv/8XnD+/ryTbAzL0aPWmYe6CHJPP2Z9fC18nAfvodNXekQiIzbBy+1uqMrUVGrIWI8/ZyvtzOl2lwOEfy9vwh44f0egslJbThAzAbv/7Np0Ay4YPzXLJ0XRepmIQot16NlrqQDl6Q3eEZyfsfU8Oob88v//Ef/Edvv377P/qf/A+/efv27/3dv8fEX/xLf/Fv/q2/6WN87/s/eHp1bVoLywSYxVxyoxI7UfZB0FOTgRXzCfwLIbYtRtVZeZfuAUqhsa1VtdJWDUB5grv3quRhrKmgQW1lay4Qc87hQ+5EeVSAXrLqNALmUgRSuDN8nOcMRERAuiRmM+IonKeebvknxprSmjbyGMMOy4XPPvvs808///nPfn69HmvGh/fvCYtZc7WM/If/8B98eP9hHMcwv1yvay6NSY9IIgFRS0jaqlbeap5vjVV07ivUU8kmU8l3akyzmdXuTVGPkFG5rzXXOc+diVW1FmQP4KGIZMcxInPNWdJ+wHANhtutL52H1Pbqbc9+IpkYHf6GGdVvoouba2bCzS7HQdoYbm4Rca6ze4YsM87zzMy15pwws/M8hQVCxGdkrnkLJQqWwVUBT9haC8lccxw2fKwpiTMkTBM0Xtb5HBNrDfHnS77QVpzv3r93Ot1yYc6w4Uaea81zCliZca0k053aXqip4JnAvN1WrlfndYwjEc/PLxzD3G8vp+69FEgTK9Yxrl+9ffebzz4b9Ofnb/+ff/v/8e7bb5WeM/j11TjG+F/8z/+n/4d/+V8WelKpo8VMpLxRKBqYOvwhcGfGdCGIOc/3H95/++75FGEUZq6Ws8JcBSGjF1ZMTEKCpFDTiiKb1laHcucZ719evn739ry9ZITRbXi8e/f227enYm8anGJBbPPa8YNwzc4Msc36NrLt4boafE9boPIs7V4UENTYUskSPL88vzzP2/MZKyRXsjLP55fnl5cV67bk0pGZsAfDLfYILTJyZZceiyul5WVlH2vPc1eotQcqZKjrPNfKJfC1qpSmDrE1Y0WsJd4AzSNXRqjr69EakrbW9DECKUV4Y65Iv1zy7o5yr0tdZ4SmjVecgkqQyugq5cr+K4kVqWTOXOvDhw/Pzy9zrvfvPlwv43a7zRmJsoxZwjj1hNw8JJhlaWlLAS53LUcsHq9OQFHoNi2c3j+2b5pop17s+4xkp96IebuJjqJM8T2ztaUm2ghllcmkFVqSMz18ySKWc8x1Ct0j4zxPBQkabF6wWg3DmVKMqACWyEy34cOYx3k7P/vqiy+++PzT33zy9Or6dHn663/9v/WzP/vHv/n1r968+XqMcRwjIoyc4Mvz7Xvf+955nmNchDFvt1sBUDOikkty5WLWZ2cftkcEqoyjIKbOozVTfEsgmgE4z1MqDitCpmMvkZsfl6PTrVmu1wyJ2+0EEUviIOqshp5nzuqLbuTJPrw7hmNmmvl4+/abNRdElybXnC8vL5dx0ceft3We08zEXphr2TIsBXNZbNwVWTwtmNmMpdBMkbapIRLIjEjqcCnPIwA3Y53KPRjSkLEUhHJlzHPOmwbAmO3As7oQjstljKH4QnlCkNGVpHGAQrXmGnPGTsWuWHmGSXIEuH7Eb958q1ZYmoWlsabZyAdn4PWr73HFT3/rdzDt5cMp7h6HzWmvnq7vv/2g1XA3Sapt1Z22lCmrauLUZ6w+VNtGJU3AYq4wYy4djoAExVaDKwmhd5LGiPMlsjsT1BkP5f5TgkEriYDCbkst8Mz3H55fnl9sDHoSVkqBXUjqQuUGdLW5Hyx/g3rcm4HZxV60tcWOQuvXtx2OBCPnWmfEijlFPbLAMI+15lqphqkidLU4fiX81dZr3JpDWYPH5AlWaSd0Fqu/KmOMvs4EmLSxkIzi3oFE76eqfyhzGqmSXV0DCTBiSYx/jEOjQhRQKwG2ktmTAlFV8c3QRSoiJO8LJiVuvW4EpO2OTnNRtBz3kckMGDWEkt7THbRoyEbDkilVJpE1WVkIvTdeNuejbLO5Z5RYLFm8HIACFu4upNjXJM63ZY9eVZ9wr0/7PEnkoysnNLVkZmp8bhFMxAVFFTyk6SB1T6RKTcA5z2Nch49VKkkLSR80iNVjKNANN8YZX3355ae/+fzLL74ch79+evrpT3/6yW8+/eM//JPPP//8/bt3x+U4xkUlkCPi9nLT4DCzEXmuOTVO00rd2LN73ZsrWbw+FCToXEoJts7edjiOg8bSuGVp9M8115yrpgVkZg53Pw5w9y0mgLWyqrmwzJhrxXnWUcokObo2Y3sKAu47v6vA+1rulsmdg/TzfH7+8GFGiLh0O8+b3xKp6Ozdu2/XXJmYU5Y9+vhjrlhz7YRddAijzVHPusAvnaN6OYlxOaphgHnJIyMSwWTMMDJJcWbP2ww+x4fnFelGowORsV6en938OIb78DFEEbjd5rlOtVLV7ZF7yzaERkQ8f/gQGU5ergvg7XY75xyXEe3YkAvFzqMNy8SMzHM+f3ghSy8tMm3lQt7Odc71fM7r4VkxdBsZaZZ1Uk95KpIZOMZVmQ0bzuJZ2avXr88sd0ESWFbIqrBrp1tqQBTARIyjijQxQR5gZAIaFj8zlpr79dRUiu8Z94aZK84VGVYN4Trp2IHsDgUa3dw3Uba3UNSYj84j+1fu+ReB74B67pmWElMVN9d1W3e545Z+QQkNW24gzyLStxQvy/kLVSOqIMHamLuIUgHPfhM5NagiBmasqWJFvT/JmAua6VjdEXfqURb1cGR1nYCNq4k0WlqRkiNm7aXStEj17KAayOvypGHBHTVli8AQvZ5g0m0ImedKRM0rHTZ8zwMi6cZOvyRghA+PWOYWq2SZWS5CuwgAYq2ihHKVk5AxMy0+JVEUidCwyQhNC2BnjTIxXEEt8Z39UCGpDF9FYSndhWlmKIpHevpmS2tGN80iljmG+0zAstNTuWZUHTqkbCo1S3t5fvnw9v2br7569/atmY1x/ct/6S998skn/+C/+sOPP/745eXDsHFcLt/73vcASn3IzV9WaVC7Hz4MmcE4/EKjqJaypU3qTTx4xyKYAmR9lwbLIckWuilxj8Rc8f79+xWBfZsVgHXloO1+dLOFSFMRsSR50BvXzMQrEfQxPjz0DoF7u+8E+AO1jhaB8aMf/vDpehlDpe1D7JHLcakzM2dmfPXlVz7scrm8ev36ermI4atH+vz8POfczkZGJNYieRzHcTmsNW+L9rSzjYTgnSdL61kwU2nRgI/L5Xo9Xj2ZDxGQho95znWe2SKFosmK2z8Gk5bRyIUg6akBld4vITPnvK3F4ziMloCbu1tGDB8wJpV03pkCZmlI+DmDVkL9Y4wkIuPldsLGbU4//KKNo+NLwrL3fmbkyqa50RZC1KTnl9twm7EArky6ZQaDKKHhctjeh1nnlllTZhWNq7nGh2UiuSpLqJS6TQMz1L1RoE8NKJoBWlO3ysRLzLQhfpUmN4TOf/Kf7VZ3iPAQAWTDES0JAIB5rpkZWBg0p+eK8l7mBG9zUpBQiThjzCX1wA3brbqkQlBWQDZSaNvboMl1bQXF9N3cgCYMya5F6+q6r8yagGrM2SQTNZMhkRABiGapirhaM1RTbr1uoCo2vJPja+GZO3rKfpwbKier5u/iWz3GLmjHmBELEYyASGRruPpklCItlwjCDMkUzTV3l6nVM3WpGCllUJK3aaZyQzlv11t0SOMYWjzFysIp1s891FxjZj3IRfZ0RZBYsbozgG39h9Eux1g5JW9V4hzcdbMaQD98JBk5tetzzcig2eUiZTdzA93mbX797duv37z59u03l8txPZ5++KMff/bJp3/6J3/4yae/+fDuPYAxxqun18OHmb28vCgukf5jpxf8PM/zPNxHbSwVEXckixp0k1nTJkwz1zSNPGuAlTSRaKaE78vtFs9xvtzMuSKHyTKZHZ5ikANKj6tTLkPD653EeZ7IItwj4eobeAintUoTIlYVJHrYNw9/VYmwX8uMocTOy8tNScaIpaIQAE16m3O9vNzMfGXczlMkXpKZ1Dy4ahepU2ik3W639+8/XC5zaZ5ZiCRTwnNRJXsYjLmCjDUjUs5zRbh7BiNAjuGDZjGrM2kcjlxjDR9u7pdx+FE8hxD9Uiq7tYdsiRWjXEQHaRJSH+OQ4O0KDk2qCay16G6kje6Dtcxg5mKm23i5TaVX1KWUEtZa+PLrt6/Pl8vlUs1wqUG4JeRsZM0cjnJA2uhrnueKD2++/bOf/zLNRMVbKl3vfIQqatIM6JRR8WhU6c+2DxorBhPtJCPNWdMsivvIWNEKuJ0NRKW5VJfY9HO2o3nYTLvfJ3sYRO1DES06U3H3ANw14VRQiBXx6vLqnC8W5k3QTGQiVb5asUqWPFLJPonH3WPXjfp7qRMKFbpF8d55BuAOsStBpIzO1rUjUTIYkSjhub7sHWVXSqVgATsBkJCyIcrMy5r2b8FYLRS7/Fb/ab5sfVDWVe6170irYr8mwmrptdtX0FQMNCmLoDjWihS1RWO4p5nozIPejrHCEV1exMqdOEdnvNB5aN1o3bRHT4hkdfb2t7RumWtOXam7RWs1oCqZjAwJ0Hc3e+2TNVcz/THMQKyIFevwo1SFlB7VyBcHAKsdxVjz+f3L22/evnnz9Rh+GZcfvP7BJ5988otf/FeffPLrd+++dTtIXi9PkPi8OJkRr1+/1jiB4Z6s2GWtmdXOWQ99db52zUnrHlU1j6khvMezmdlomWQg15rzdl4ul/O2CEzVdXNcLwdKZasKWqsrrxu5pnlkQX61xWkUuyqsay0lEqwG1VV/6LiTvsozdPYgm5DXfkz/pw0Sw90lp0OacvbqqMoCN5fLRUJRIm1VtGPAMvdRmVfBASOSPVSS7iKUWqakRcTHig18LP2gmVcBTzUulVAIVAc/MY4Nqyp8jFV67BERAXdHSONFwlcQMDCH+1COrHImmTYsK62WjOILzjXdD6dbz4VFN+avCCKYiDgzxCOONcOGHkY8327/6X/+n3/59ZtMDLeh4TlOBXXorZ4rJG8VCwIRK2KuSPO5CvScazqlopQxZ2VCmnEvE9AaOOLjW0sc5MqaAKk1IhCVbVDxMIEdPgdrcHE89GxLEGobmUoUW5uC7LaDxqYVi+64ZNvMso37fLcDicppLqcmDwcjY4UePqJ6gcUwF3vGOqSu6xGViFZjtpR3ScjdamS90WIDpGgAlHd/IJvEiqmijq/eU3jcMhAGtaR1bJRpSOvYISGU3UZQQ+fbhrcXTUu7lyOQuPfHcv9YmXjA6MFVTk7O/M6/km0HmQ4wwjrtxY3nmimRlRoeSvJkwOmRqRSNCBFR06zo5GHDYMl+8MKwcqwsCnNN3zZzEx9kReSABUI5RoudEKEPNxoYc039wsWuYrOI8aK9O3OZIhejQk93q8QIaRwkjKYx8S4XNAZyHsdYM2/ny1dvvvnqqy8JDvr11fHVF28+/vnHn/zmk/fv3gPp4zj86XK9ZFT2T9Sjml0TWbMCWjCquxBYSL+HFScW6D6GnKvRWKNYc8UygMzhIzLWmnX6COluo7evm2u2u8LlKtcVzhfxLAFErrWiU9aMDPc6m0jOUMaMIJ3mw63MrGv7zTmVTuxtyLa12A63cAoJYDSJDVZaGVL6JFCZnsrhqXe+sgQVOBdCrPc3tMBQ7/D6Ugm8gBIUaVlJY4GAi9Qii9LdTsBCSe1H8UolJBsqdpsL6vhwh6k8sJJhoyjJRiPXakpj1p6LTplFLNrYNUo387oqgU9BZLH0stDrPA3hjLXW5fBTnakB2hEiL2WuZJ5IJGYGIwvNpSlG11NYaTQsAJ70WczrzAy1q6xYDIjOjPuz29WWu6GVBbBAgmGVYEWH0amZsRGWSmtjt6dJGMPcdLtmjs44yS6ooX/Tb9g59W1I/5xx7yRjmX0DV5ND61qVlneumhyoVEMaA6FZ5Hs7VdtctxdqQKtQBzuccwNEP7RtCDMBcbGLB31XsJb8ZKOLfhbJRGCanB4rqRoLhe/ATsv2Dm77UAcrSltCNZq882HbOYrc+rhq/W65FntkBTo0cUoVPGmlPK6q46qITHCvVHoyU+dVM13Q0+H7XxKY8SpHprLqmuYdPYhXC55E0rwuu93A7qZ3Eh0caksbLQVUFo2MZIKJ0AyZiFi5dJGRCQnzRrgfINWQ2MPpXNI8QFbkR1MPjhkzcuUa7uK0uDEjPzy/fPXF+5fnl+fnDxHh9M8/++LTTz/99a8//vDh2WGEH8dhbk6PjPPlVkAHOC5HlaNpa00JVA4fsreVcpTAgBByve4Ea8oQiRpmR9IGBnJG5u188eH6/zEeVHZW3F4k8nAf9Hgch063ehf0B/Xakn45Dnp3jijXbZ4EkmudZna9XIT/0FRD7U7NVMiHPur7Ke2kPRq/ybiOyIZ7O+e9I/1CJ8jIXOq6U7OQt+3e3ce148ua1sUjMhjFOnhAjhBnBjvPnpakpDf7QwMZzA3YQvrPbYtMlSjTzog7GbyRq/KqNT3DKIeOurcEVMjCPswG4jZPEdSlDdxnXZ2u0DyrWl/l9mcxPmesl3OiSiMWxX0rYYMlUnZhS3Qccn88CBX8qidQT7RyWajf6ufJXvleynrUmUjCpXQJKkUlanYDmrvBZrFik1lDYqysZyrtgx30F1ze1BH0Em9Iiy1vsG+v/cSd+bO5pKK9WOYCKOES6irLYO98JSsTT2asBiWVSqqRZdKw672cnZ6pHXDPXW1Mp4ghs7NU2Q60EjgdyqjrAl0DZ61nfZdlH3awXc82ustJzqbJgEXFy7w/LADqT0FXH/p5IZmgS/Jvz0ZCZ5iaguR1SdFRu+qB1cmAiGjRlbu7qj9a5qq2o/ZHlEbMZgPpCNdj7XkvTGgT12xsyb9Ep6eMktYRaooI92HNe0lQ7VprrlvcfIxjHIAgpxmJeaqCwiJWgkRGjHE4udZ6//59RDDzfLlFrpcPz199+ebNmzeffvbpF59/8f79u4w0eMmyupt5rHWLWGteL1fJQozjWHOetzMyjDaOEbEsTFP9WP2MDlR2gVWMgLvmfco9Oduckkry43pcxriMMUZ11VU+JyJvLy81tSbCSB+emSyVPaUwAIu1okFqSQmqyYnkec7J9GFPT69GjrWWj2Pv79rKLGh2P0APBkY7tbYe62clmzP60OrUZkhssiSpxMXKFcuiMj/UIBSJTYNmwkB46MIRxnfu/IXObIeSAmbFQ2C25LoOSfavAAglT5QSI1i3XNEJSa4ICweShphCLtlYmew0mJTzMIjMwMMYuQaCuWs4GuJkbA2c6t2nIaNCQmnfRARtJ3SqvSG0iKsS5Tq5xmLv6eRLP0Vl7bIdZtlykCsjGZakHSsn+fggef/nMTOPagvYJ/ohAjOyRh3pKatbO6olTLlDZU8K+2XCrKaY69gn7pumkfb9gxs91AfiARBkcufT9rNJJs1qOrG6YZW/0JXX/2UgyxvdM5fl23tSK1bl2VEypWb+aO0K7/S2yn6hrXdtc1bgeY9i8LCV67dYb9hB3He+artZ0UbRJeO8s6dqe+u5ZUrjt5JTZE+ak9+tZE897KYM6ZCyu3QrfY9armaLQaNrsXlUqXEwfaUS2QarjIluCEgiEN6s/1rAXhTx6CROUFmvannZpJ2KODPz6fpEo/ugCtAgaZKymXMe45CoAIBYOWMyw9wOG4mcp6aLm/tYa314/z41gctsnfPd22+/+frrj3/98WeffvbNN1/fXl4y0uiDAwOX46LVmmuet5nAOMbT8RQRkqKb5yTx6vVrGq+X6/Pz81oF5KvPfvt05TSUc7Au64QQd5jLkpsPvxyXy3GkpdGxaztqhkUm0naBpAA7SN5uN5KZUJL8cr3acKbBii0p9YQkxhjHGMf1MsZ4/fr1+3fvvvryy4oPHpP6taubQ7aNaR2Ax0h2nxCoaKEF4AOErgGpcuZ6C4Ek8a7F8TKpbIJE4yXF0zIlxu4+kJcsc4RC4QvoTmJGpUoaefZ1VmjiJEe9aRI0B02KOrk91jZN9856PDgDgMiVIKKb3VShEsJlxbledk7dCtsSGEEGk6qp0mpke42YWATopVVQ9H1Zy4RVJ0SZBNmdqCrIplwodZNZLfWAWYlBg5s4IjMpe9It8g/2uQ54P78KidDRrHZBorNtfbjLryr9Iuuf3UyTiiE6tLOsfLQa1wsydJbnHjA2dCrpCNZlZfbO210tJGgRRWjqbWrFgSGiTQ+sfJ4gp5DmDtGosQlN/unIo03zYyIIVepmYesFYTqRY2pOlqpZtiFCJ456ibefa+5jxTdZDJAuHikT159+/7m6zcdnx14R702rh5B1Xx0CaAZR0b3v4keP+SqRcLoU3RedCcCLaYM68Ur9lHsT3Ck9GGmDS4FHxrrvV51fSr6hj7OuoUQ76NQvylasFUQgYG6HHcNca3+MY+Wa86yKfzIjL5cRmfN2fvvtt95TAN++ffuzX/zi008++fLzL96/exd7ohbMB1HDrPy8zchFuI9x/egY6sFW8l0hrZrIIoaPH//4x19/8/X7Dx9G+eBaN91Th10AuVbAnQY77HIohzSO48qy7OFmnTHOStGp0IKMtdjiyjDWmQYAXK5Pl+tlXC7Y/OCkuQ0f4ziOy+V6vRzXy3FcpAfqPl49PX3+2adffvHlJlxsKNip+h0+3+lm+xzc4zuAZiCGChe1tVQQ6bZs6mqNOm+iBpmxcchOI3VAnai2iJ1suh+6FpUE2cQPfTcL5D8QLXaHVB/YTeFnGakSLlXtuFNhG5vmnmMubnYD4dJj0fOQNqyt1TcAiNQVvTqoj01SLW0q8AVyxb6Yuovd1gdrA1HALisIX/IqQThKNaUreoXWCmxLJ06xOsSJLovTKTS50yyrB+xnoTSLgIz0PVKV8vu+SJgzFctUBEDthUKoqv5hleQDEbE0nVUP807Hae+oARroIkEHKDCjmnSEn8sBapUqYCVZqhtogFzQtneYym1oTCzXx/1w6wAkYpMVq1Gr/BO2d+pjki2gVIinMPueLUEj0iyxWHb6u191bcUFYQnkC/pFa7WXw65kAvaG7A8EVMnf+aVst1CR79yHR8a87QcqaCg3moXzjEo6sEKkGv2nHLSZm0VqarwRKe3h7Ket019EgIj0YbvunUg1Ru2nVKArwU7eaD0VAY/hT09H26Z6hBfzQDjNx8iMeU6R32/rhaAsDpBzznmu83YT4c2AN19+9dlnn/3i57/8/LPP55xFcZjhx9CcG3HWzC2WPv1wv4oiqWQ1TbMnYOaXy2HkGMcPf/gDcx9jvHv/PmKtMMcowNo2RPlRN79eD6P5MY4xxnGY+dA8ZWmJB4bbXBNO3YsVTtPmYkxqxs7dwpR55HG9jsthPi7X6+uPPjqO6+W4jMvxdH0aQ30dtt9KOb7hflyfsqPVDSp2qFu7vYLF7aB1vKqNY+9DidmNO3SLEu+ohKIYmw1SIoKAyyyYqWcFhaCBzi0zJTmkwq12Vbr5mlO6czrr0bSHvoedg1byse5LcEqMR6ELJhZnewIlKipVYUu0QIrSW3ZTl9XntUFTNQeIACBGfF2ai5e1K6UPJy46JkHkZnxnJtQgfs+v3f1s3iMwdEKMGfX+ZTjVQrRz/HzA8wKzBBhYyQBB1DgSJZeX3DS6ylvjfI2uOhV2uR8s+ezajlXkMwUitVD1GNoKJ93u6aNE0+4VELBqNH279UD7NqAxk5U5DhrFcNWzDqyslj3WQnXgVyGI0TYdsnYw7t1JBbcVGBl2ssXpuoVEbg6WWigrdMV9qdUvVp3nQFSxGb2X+34605Xlmctkoj1ZJ3kqXOgwiCIr3r13bxE8NFc/FoOKIKedW/40q29WWyz7OD+Ud4CHp7xXq0fdWuU4HlH8iHk+7NNC7hpFpDA0MmlYEcLsc0knp/X+CKMFERHjaLEjARpUs9wYA0SpyyQXpq/uPqs7TAPWXLdcscKHmfHd+/fffvvtrz/+zS9/8YtPPvtNrLj4xWmH2cJaGcf1ADnn6RxMZM3hsOMYJGkYPsRFrH0dec7bmitjvf/w/rd/67dfffTq9etX337z7Xk+V4OvWdR8FavWLQKJjz56/f3vfaQ4yUiaS2Cr1oFpwwBc/LpiiRqDXV8SwBoWnetGJxB9DA7/y7/3e6+//9G4XoZfxnGMMdiTbYxc+hRad+FZpppGfaHKttYHRwyG6njZJ/UxBi5j1LsMiCUFHRswPEBjzrUOY8RS3GRm0vbDyqxJQKmG0epi34yj+/buHC4UKCbJ4xh1b1DhamdsipBRWdrcVhsNdy1Wj8eu2QP6lBR51GS3qLYCS2vNTDkBpDUyElp8CBiyNnpbk520vptviB2hN4jcgpxiCmcAjGh7p4RfJiJYUTOF9CuKz7t5F+/VKsP7nYolC99FJfMJgmbO9MRCmRKajajqqBksYYHV9RvRGa1yQXczqvO/J2gX4mYvmPC6cZTtUW7AHsBwIlht0v3M29dWokmXHgExUvKxNssS6rknVFiZj50eL8QhDBldGtIDK07Q/Yjdu5TYlJu9sbar0JtS+p3an+0tLDQqV1Q/LSsJjWrZuyBRegm9zzsiY2XJeh4GLaj5qP2UoUYsCbO0YGqBkh5S31et7FZ2P4ftk9tPjrvS00FDYfNIF2Ir9CHFkaKWixumnKEygwJKXiqK9xwRzdS4sz9p+NBWr6aBfTW0tZYaLNW45O4ew817m6s5DrmChuFOIwPnPE1SyZmZudaa8yQs1vrk17/5+Ncf/+xnP//mm6+x4OavjisvJoEH6ctcL9e11u2cx/VajCVoxoh3Ejsz85xrTRXEMyOfni4r4vnl+fp0fXl5+cN/+IcR6yc/+Ym5n/Mcl0PlOhsWmbmWPSQqrGmpeuTu3gSEnWTNRA3N1rPrvbEDQdvpF7YcUK784U9+/Pr73xdRy4pg2mEvzRSEUX1RIyOGHcPdj1HpSlZ3/04DU9LLaJOxkwx19h7wQR8LdxvimxkZYMQih55NVGNfhYGSEkSrQW66uWlaegfwbPUF5dcrviQADB8GGtNgq0NeqPAhJ9RyNzs0FkegpCh1ZjqPXOqE2gJlY7svaYNQ/WD5S6E8BmDOVVzVNEKsBafLrRkrsVs2MRGxRLGS1HPhvIL8mQWWxaIuPiB2lbUyJHJdJBwUx5xyovWgqq1qmx2lF5iZgUhGpsYHSsq6cjjuo3ieaO05mveYJGlwS8K7kwzoPBISaS6r0fa9VuxuiK1G+LLtoC7L2vbhftEbvMuFwIq9ntalU9UNOp4DCw2yq8R2v/NMuFrKZZCtJpW309k+Ya8X981BDgig+qR08S183z6HZYabeVo+g8U2Mik/dMlqe1C94m4y6P1ebAhMSwtuMm1dj9EFRFAVibpwsYA6triHYKxQpa1Iqom307jWmGdfG1HZiXHQNP3RYk0Qbh5cY4yQ2DIRETMWCu7uVGe5jlJOFAqGgzZjAmmlq4LDD+VM+R2WrGqf4vK6+BCitXtfccw4z5sPl8yqOWPFh3cf3nz95s/+9BeffvbJ22++kSjcsItfHMA5X66XK4znbdlhGDbXvF6vT69eRebO7M81b7eXlM6yxup5JQPcHJ5znh8+PGfmmiuveZ5nJl6ebyCO49AjdLpsL1ikKCHxkvUXxM4wS+l8JbIkhlWeKvjQp5/932rjKNRStVWCbsf1cn16MveVkFxorAWj+Ugg1CFBupuZFzw39z30MWW7CmagP6/sY+GoP/+13UD1A5GjqHZmjFC5quhg1gzMjLWWufkYZhy7EUwIP8MMoOmpI+HkXMsUA2ZlV6hONkkcFL27TmvpzPWYntgHq7qWUuBIhTpPk5XV8XSnToPmj6bB0kzi1Q3HrSZEb1AGRGiUrozTcF9Dq21Sb680JyByWxqQ4WbjOlac0ZFOCh1nNvhy5UTler3lUEhAHSAq95KOkcjGfncSTr2IhsBQGdmTBcFYO4vFWy97qWSBDXFmuh7EsqvdLySYHEH3LdmkH2mUIFDJ6tLMlNnq6EhwNZWyap6Y1uHedlJELXX1qJ5eZ+EOVxsDU/5879QqalXMACWay1xLA+Aexirc3nmVP4e5OuLZ50Ck8rzj+ug4T7DX2EYcd0fXwUOyiMtABLrm2ai8NBdVBxMU2C5pV5CVAtjXqlsyKj6IDsXqAXRRrSlwklyMDYyq3Sz3nSfMbK2VnaGXoKYI5PkSEWvFZN1UydmvLOEsSa9ot2xyGsC0ep6VB226aT2cFaGHIri4lpI/ifPwixsuxyVixVzrjGWJgNNyBTPPeX7xqy9+9Ztf/erjj9+8+WqegBQOzI7L0LRcEk+XVy8vL5npPsZwM8bC0s2spQkzG1zrUq3G1hPAq9evrk+XXPnN22+gQuvKORfNny4XZZnNHOrCsQ5SqbCt6H9oRGC7rNpHqwPRbHBSm0/OXRlKGFK6Jk2y1c6JrJx29ZsMA2AXR8LgiRoFo3RIkij9VjDTBDsfoFDuOmyndxvl3cPEjY72+dHeGeWdSpEuWAcx25+A5DjG5XII/86pkWZ0WrbkgHajhlC7H4f7C8lMN6r32s1GzxAd3eSozesJrxTsw8FCqih9HCONnopTNLQo/OZGXI/xdLmMSt/DDWNZZvlxIEGT6JveV5ZZ0qTIzIwhtanhGYc6gEi61JUj1euxMscYa06sQKYDljV3rLIXHezL+uuB0EwsvwaulTIOLPFqDFs87M6kqe+UHUAyrTIB1jZUWTevx9QxfJVLkmmdf1HIxNLM1HPf2SAZO7l5VVaEHIoEk4888ba/ipC0DZOkl228I110wZeFGYFA3tmGZCC7sWzp7PYe/Y4pT4hfDHNjNj1IjznrWMp4P7xYMF6mNVutF/dHsIGFbsfqzCCHjZB8Wx+dvnF+5+y0za2lYYdOEncsd0LRKtsg7OeKAiV7g6MNSCX3a4guiVV6lvaQT25g15429/BIaG/f31i3ZWZjDGTLpq665MxdPWCHKX21ikq33Ylk5bvLxJlJCl9nk3POlKZQhmqtJZWXGQuxbp1zAgJrrtvt9ubNV7/4+S9+85tff/PNN/M8jU7gelzXmiTciQhN+0rkebsBsGFrzcgB8MPze3e3NJEdlJcv8k6qmbFkSud5fnj/fs759PRksOMYKyIi5oxxjMwcxyA5z9OfrsWIYm/3Tlw/LL78blROrzYsDabe/iJ/7eS2DokVy4GVRKLwYbC7u8zpQ2CWZCkF5EL9YOE2/YAjnTbMRm2yaqzc17ihS2/W3N+o1x8AEESWAYa7x9QHGsFdHXUfdNL4dH1y98txuV4vxxjD/Xo51lzunpIdZw1bT/AYR2SQuIzx+tWr6/Wqbofj8MNtCJuLJNsySaM72AAUmKpDKwYoDaSbH0OwNdVnQT+O8erpejmORK65no5Dk/kqO9FgcK2poyWTl5nHGM/Pz8Mvw31FAjlePUm6pDoGDEhERmTa1SMWj4EV63Zi53/6EO0Qr2LqSGT0Xrqn9iOzEqYPl/hokrb3yzKxFVnzXvzsDIuwsFHgpa3oTnuT3PKc1lyC+pAeEWW070AS3D9dwuLa79G4HW082ovsPEenNffPPcDuh62/P6YaSg2RzNXbscBuAa5elDJo+84e12vnUtr67+2tRWj6PDq7suOjWqOH2pgYNLnJ2igZzjsnTWuVHS2xT2c5kT79+vgHzLUj0Q5QUNtSeXlVCFFcGsGw7nbGPtH7SGNvJzTY1ysa2dQNYUaaRhcklBVErhVREiwRsWI10jdWoFWJADHasnpencaI2Z9ZRBLpG3SnMGmMFUrWr7UVbJArkHx+/vDZJ59+8uknH3/8y2/fvY0VBjOzY0gpMmOFm5sxEedcApTyJYm0lm3q4WnQVIlOdtSikZjzLDZT4oc/+tGPfvyjiPn2m2+j4hiaj8txSMwiFcPcebnl13VoG2YUqsjeVb1Z66OzgGl3lFZCFfuhV49LZk/CQH2aKJVmZrY06FgvwTytemXKvovXECa1AhhaAujBwDc4ePiQ3j65X+L9D9IRQmYOJxdtjGOtBaFGrUJWC1REvHr1JPH9y/UyzI9jXC9XJHDyHJNLt+2qizrMhuf1uF6O109Pc55rretxPB3KHllKVKI6gdeAHz4IJvowVAaZZj40rihjwzMkjHS3wwdTmRbNrIcTzYyrg0hWCFKmSXDbc7o1xQjJqsSQmnBQ/ZwZFI8pFjSjZSU2h6bhaplpM3O32esrXEjYTqCXtRUAw7YVUHCQnQtu3JFKgPQTzJ0Yy23V+LDh2n0aLVuUkJkwjS9p08Nelqod6wJZKSgjCHcxU7XnJTWW0YSrbCLUxslZ2jt7FlJdmtKDDqdbIrG0qoW7DZYWVClHWa1GMLV8XnWpx8Cad2zNbLL9tpHZPFqRTBRKf8eAPvy6AgVmhy0JumF1kb+t/o6Yd5AmO0sW+QfttyolXZk9tgMup/lwlbldlzUeyN1lXS5OvJoWNHpMavWFya8TplyAhifv1cvMyJhzakKgXh3DbfiaNbkWRKl7VTGg7EIHBtsdIGFZmQcQ1dw315SOf2bOcw1pUaCGma25vnn37rPPPvnFL375+Refvbz/EDMu16unj2PMOeWZEhjHEStWxLypMmF0ZoDD3X2ulZ1XM9qww9z2TSEfuimAw8cZp9uRjKePXpn522/fvv/wwX3EmpdjXK4XLwZ5oYymcHNn2DqbrmNXP1RPh2pYkEgOIiX1Q2DdH00CLH4uQRE8GmpkB+0wehHZ3UzVMZmRTIcnIu9s6SqzCXFUdag25Hcg/XeQ1w7566zKLbYZ6Lid4NiGacUq/ODdWV6BDmIlMsYYRvPhNBptnVNomCS9OmhjwdzWyss4np6ux+HIBaQZRfOqiJKksmzBoRG7qR5b9Bikvd0NBMyyZk0And2GaKm5KiXeJ6yMMzv/3qopytGk+MG0fVgUDbkaU40qXCEzrZ2OeESWyX3mDUqDO7MdePth2YIs/FIgtb4r4jIbEhaiYTLuG00A5N5Y/ejTN3rt5t96dxJkea5UN1ktVYG7e80AUUkeAEU6aURbOxFW/Rx3SwDPynVW+WZDfXkPN++F37asjIeraOxVRwN2prK2NO6bs8OickC1oGbVz4Hsm2jmjD3G6fUu98vmQ0dYRVV1V2yXDIjHD6RS5wTI1HzsHtAK1qptP9DnvRrAUEF55WK78UvLVOSfynVtTKm0zw4VM7ahp+YRpMNiH/R2r6hrzQSw20RE6UGRPs2Mw0d4lRY13NrH0Ee4+8icS7eE6ETN/jdLa148SlaIoB8IBNNolyFVn4xYBuQMELfbfPvtN7/6+De/+vWvvvz889vLM2CEOQe95WwDl3GlpuNG3F5uKriRGs88aZT2jubuqm/faOe8BcKSPtxzdywTQCBiqb0d5vbq1et33377zZtvItd5m/5qPL2+WmXV4cMIjuEhcSTRW610AFVPNWNPXy1LVN3AyDGGnq6DK6JY4N917aqGbD+jzG2F9ffz2oBJOFvDjZGBVbsVxezKCHNjQLELtuHQT6GS58W7AbcpumMWFM54iCx1zDCKCKL2a5YOeyKVSF1R2vAROWcVXs6YwZUrNcNBh3atLgXIylSeWVOuENF1VS/J4QwJClfTXcXCO/wVq0jqVOaZM2rSsaaGKknTBM2spsm6aWKjpodUQj+ZOoOqWEtuS1oX7SHr1/tmekYHFQLnqvwyNyK2Qufc5rIcJwpSlTtKRAnZb5ruAzht3NGPqPHc3eVULNDfA8nS1+ynXskVVG8xzCzLGXwnW9i7rtArC+eIsZBbE7NTWNvmN1On+6q0rnerWLed9W6ZNUiFVPbbap4GUQSf7ILv/bb3cvN+n/39AmB4+LyHD66dXoWB3FcD2cpO7+yPTNxXYJvsCg3YhrZEJnZoD1aypGDMXllWm8W21CwXrZNP9KxKrVCgAs29tvIDCURPA0DeN1UpfVbGfruQ8ok1E0oSWyw60zGOBNzHebspO7oy1NanTeVmt7n0sbWbq9XOUF3BjmrW0HbZJy4hjRNkrJzz/OrLrz777NNf/fLjL7744nabBo7hlqMohqCbn7dTIgpROtFYq6tVWizwGL4RdyIf+w/WGWMMagJlwI0RkU2klm9KYK75/sOHY1wy4fQf/vg1OlHnXkF1rLCrrdvUM15RmiLl6EoKutKwJRtTx01zWhSC2DEGYOc8IXW8yD48LK2GyH6IVM6jbhY1ZQwKo6z4VyL4sURsRfXwOnHVr1mb3qjGYmwSZh3ZLpmx8VYbmT5j5QzKvQwi1X/qbkxIN4SABk3aphNYVVsy093mnIcfiFVNzJDMZMVPZgasjnlCuVeNOKVw9Q4uZInv9jU6OmlwWIJWhlDOlCC1Fl7AnBnwGrxZd1ntw3ezUdsbansNYxHd6jSzAmEZ4Qe2SbeLauGjsrq1ftq55SbEheqCXp+mu3mvE4uHlJwqVm3cH+pO5QJVXUSHlnrViMjVb8KNu7VgxQhove0aIlF6dt1+1oGHGNzEQ8zIjEinl13SNx/9W8Pr+219x0CjE9+Nk82E43Lb9ztwy7a524lZd+ElqiRbJpsVSO9NzIbR5V8b4+yryrLoeb++/Tjuf9brhdaVUgdV10NuLnSWJjAaXWlPsjE99SbV7cF9yiqAEX6qc7GfVxNqe2HuB5VbvL2fTGWTImoRWILnSvaI+pktAKcwV4TIY4w4LgRf1hpjJNNIDZGlca3QlIrKspa1riSPMtdaE0X96t9SxgbI5/fPb75+86tf/fo3n/76zZdfrTmV3L+MA5lOz5FSXokVoMrFWLGECHv5q5XH3HVKi9wDuvHp+nQcY611uV7mOfd+TESNIjRhNZpzDJ9zUmU/tSaMkYhjXMyNiRUr5vTDrk/X169fvV3f6rk5HeK57+MmGxAlIyErlNlKLW4jXTxjZI5xyA2Zp0Jn3VtUjSGAVBiWGZmRYbmW1pcgMg1O68oTKF2hTKRhrTnMS7vVkMbYDg13I8O2qf0tlpXtn3z46tNLADlqwlssGhM12Faq3LVrCRKu1xWnRY4xcq3IFTGzvFsyU1LVcy2JvJUYdgbhuWGUuvcSpZCjVjRaRugkbl6it4Qnklb2XiukTUkRqQKVENz2mfwOnr4bM2hVU0DRnTlLepCULt+jC9i5qIbzYPVhA8gwjV3stWdHHjXW526Q7t5DeoKZ0bZHfqDLle3/6lNjNTS9W+MHDwLdRW2Adv4BFDM0o0Kq6NkJCev3KSJBtc8UWuheGkW/hgfN7rs7yza9G4bnxrF3SC6TylS2LamJjGaUk8qe59jwFhoBWIW+RKabLXVXrk1a3Vs5s4opypHGwzfujzwfFs7a6NQi1rLp91OFnxJbQE1TT03XZA2fhWvwRxhBZ+nGouXYyhv0uuwMmgqBVkFwrVl5p1bC6FaVZJUgy8kSGXuP7CoLq22tuNylL5iZMitOggwJC0LVZl6uFxpXrLmmriASNsZcM4o7P865Lscx50k4yXGMLEY8M4NpETFv58vzy5dffPXrX//6N7/+9bv3395ezooiUXyhuU43l9bFeZ42FI6MtZaAs7jelX2v9tAMJXBKSdOMHMNlHjQ9Iksxv40olQkwAOrIlfW/XKTacKGaP8CMmHkaeVyOp+9/f4zhflwvl5fby4ZmVjZAD48VjJXuXtcJ+jhkQl6tLGwqFqlrLG44MlasOTVWy83XDHPHLu2l/AwgUctkxHpAe0ySaWNcztttjKEnkVSnq6kJFF2SkY3KZI94frQjjaJ4z5EUaomay0YzpR1rVIJcsebLqBWCribp1k1Urp7GSqYruZ2CwKKRSOTDzRig2mdqiS1ZDUIKNq1uhRGJDLcRkYyURtfdcVTHu/wAzUbF7oXIjGiVhY3DOiB/jIKaDs0EjHTzyJX3ptNt8zbM3FQERf9E5JzhRQVhrChKjT5tmATvqRpVExdzq0F05qcyEHf/9Iisd3fHHa5u03tHjAzR9onS0zYaEOJTKW2UqdkvVfsVvopINweZ6hdmZ5p25FgnYX+Vf0MFBA+4ooJPcNNXAMLQXD2AUo6E+qzXKpgp2vG+R4KGnIgVZrZakZb9Q/ti2qhjO/e93e8P+mGRCq13sEP0oxLJKpOq5uV9ZKNTCXiJzxkTEcV+cWo6ExNJLwFByt6gAw7uKKmSn7bJCL2Cuyb4uOQgbF+rVI5qLgeg06S2ZKUA5jSilJ8zh7RoOo2zH2iSGGPNOXwsLCSjgoSgoWfVzmNcQF41vpxSkoKBca6I9fbtuzdvvvr1Lz7+9NNP3r17HytkWzVRlWBkxFpr5lrhV396up7nvD49rVjzPOvZKNru05KZRlsxWeNrIEUEkjRmLDNfa5638+nplbqUh4+VKUo6AB9OYkUYebkcl8uhuVMbFvnwy+GXy0fX60WelAKyWMjMWGlH7Yjq5sHKZKa5f/jw8ubrt/XsotJe0KKw+XWRww9N1kKquRJ2b9bN53fvEu8iQhr4UjY9xnB3G46hRlEtSYIUT0/5hATWyuv1GhGp0URRQWQN+n44ETscaET6uKcAIvac0UZsMAwfx4otPq93Z2U2UtAjy56YrxkR6WZFMIjMIKybJkDxR3QOYkb4DldN8WZ2CKc2iRVriEZdwxCImsemGxATE4LV7vIQ2D4geoihgq6OnTvBnXdr1Wa06HDqRZsrqJvTNUuIpTrNtKqWSAWnCp+3EuGoWT9ChxFrZqwSnCmKYSeDeiJ7UbdxpwRmC1HcH1X9bhu0vrX9XKGdy2La1B6Uf6lCZHFqd0IkljQ8+n0KkK6lWaPCx1WlEsBkJ0HvNNaKEHrPPTiGhrv7I8vsdVvATmFUTNKfk+EsjSmCbMFUhWIxZ6Jns+tX23fmPXi6o5zvRCC4L9o+IFlX8XAmvrvAvP87FbwSiFiUF6gOZkZmrKUIYiONrNWqG3tIVSFTY/9QDPrdMhb3i9hXvv/D2j33+xVMXpnmZoCBw10pYwPXWkT33rWlFYunjUJRfZamGKXEy5MKWKXgGampPbkyGBF5e7k9P3/49JPPfvPJbz795NN3334bZxxjGN1oxxiROk153qYkGUiaJ2krcmmIyMp2fjuRKNl9kITxelxFpmRi5UphawH+1FtFzVowS+QYPo7R8zNI8Ok6rpcLABlfN/NhPsbhFx/0w2A4fOj8d1ZEvaW7kleYgEZOef6M5KuPfjCux3G5CAqLI2/mFGfeawJBNsVWoKqiCdCAn//xn3z15dfy6ubWelyySyQsDW7DTXNfM9MKPIJApUmKr1VyM1IHEvCoHOXezrkLWWDnmMusYG/5pk5k5ri9nAiYowL0xknqCqDxOI61NEWz66eSLr2XPbDLeToUuRKZ55pj+ZpraQg4jgjMVXGxmgqRKcrMnGG7uTwzEiuSdFWZFJSI1iwDZmNgDA5Pg7kZzCR9I7fFLl+2LdAXMsfFnm+3MQ85cZnsUVXoAIDAkmI6ULwCLDIxc8VqajUjFhY4LNbMtZiI81Rs3QFLZlFbe112ANaWspBKPzF8h6qulbibkruKDon2/pG79kGWmExZD01ZinPdbi8R4fJMEXTLNdc8GblutzRTQhoK4pKB4LZCHUxlcduy86MPsHzHBNv+tlVrMIIG5HIJQAYmkjHPc63pYyQsVmDYvN0Qy2m320klo+9jFJUv6Z38XW/5YO/z4Z/vvFiuqK6sH0NHCXf6fSZV65urFkIzeNekQHGUWlBZDbDSO1lO/e6BS8wzi6aajcwSzfN+8BkPf61/9KyXelMj1wqK+h5ZcScQ4e5znuN6KKGe6lhwokkWImuJrTvnjBWau4KkQUE/kIg5laf+5ptvPvvy81/98pefffbZ+3cfkBjug+7Xo8wWQqwQQbnL5cKmj6RGZayVWWULEWdBy1x7NKySRhV2GzNCzim6cCAHg61qJz0lN6UKMnKtdVzGuBzHMBDD7Xq5jGMcxzHczW3YoDEwA3JH6Tu7Z3eE1OFr5UbMmGYr+NEPfvg3/tl/tkjKvUnqFlfcM0bQTGxprLLeOTNiSTF/1pOyqBmCZEZ16o3RSQuiai3eVyifXWrcGemwcRzKkG8EouxOpy7qFu4phsaO6JfK8wCJNPr4+us3MVcsxFx28PbyovHlVMmHGo+IjPjm628+vHvHupNqM9Hs3+zBRrhbjszA+28/rDXVhU+/KLjLWApjYWDk03Gccyq2ks+XZ+CwN998c1wHzSXPwxrXF7fb+Xze3r88i4wZa625ugdQlr6QsSKXLE00GEuMfxztxlOZWXVkI9ZCkk6YlV4GEhHMMNjz7bnXNQmkIRBGvLx///bNl++fX6JzC5E9GlB/1uOpvv82Gcjaechti/rPifv/2rMqt1CEQRAGgxXU2KeJAEWI0OfGXG+++kqqhyRiBZEkYs533349YxMTuOJBRBjVfFbu+m7q73n+tvxK8vRL28oCDzuunFZb68wMS7rx5cN7q3SKCEPpxtvz84d3b1+m2iiliRT7kGSrS2w/1Du9/1pVuHsgdQ+wgH169m+UrCjqyckCxpwvH97HPN02fwNGnreXD+/eRuuWQ/ycxrbFbtFD7IWzeoWboloXsyledZV1iwxETm2ZAGQZlU+IWJO2brfLcGY8XS+vXj0dl2MMcUmsjZWOaT91xJqrfHCmDzOzWDc3uo/hhsyY63Z7fvv23a9+8YvffPLrN1+/mfMUEebpckXCh685z3OOoTS9S15JnZrRI5G0uuZu5m7IWFLV8TGkCnNw6IlExqyuhEiElFQiMIZfxiDpw3qwRU1tPI6L0Wz4IcdmOMZw5/V6eXp1Hcc43Ku9n4xYGSsXQgBOOp+lNdMN6dqM6NwUAY0aBMx8Rkb6uF6kOmk2SBM7080eVec3IAHFIQAy5zo9QTtsjFhnpphwBtKHXy7H9Xq14zBpfKF0gtGPLjuY7xGPYcA6pwSFNqrZO+c7Z3O7gH1A2jGw8ycy1OP//rf/tTGGvK7RELkQBNbKyCBtzfX8/Ex17hUf+H78Kw3EGs+i7rbMpHGMwcqVprknj2QjIE3zQw53Rv7xH/9RrGU0SXsnY53ri88+/9f/3/8vtVpI3ylj0VwWfqGKRyS8aPh1n2YGyW8VPzpj7Xhb7iLVd73WUo5X/nbeTglCLEU7Vvoda81cM1aa8bzdWsMHGaFP/6M//Edv334dkeZHwXY2L7aAM7REVXTN+37RM6yIj/uRseH3/ZX9TxZ4VE6iog1WVl4ph5B0q9Dft9+8ATJXMb8yk5Ef/+IX/9+/828A1FAgXU8L5GzkHg23y/JWOLMjg32Cts+/uwEUU7JutGYQJFJSFUz68F/97GdKRyhMEhXrT//kTz7cPgAUO0IhTkRsTLwD2I7w7kb+If7df9tu5+4rCr2lcr7t2iIklVBtbHN+8+arLookaes2/+Hf/y9/+fOfibaw37sura6twvAON2qfbJ+OR6/aj+wRsuUKVHd87I0iiSqNFXz37dt1m//hf/B3Pzy/B/O3f/en5R/laWudGw4p9Kh9LizCottFPr88v3nz9bp98dVXX/385z//5ptvVHA4juMyLtAPzwVgzeVmx3Fk4jxPjY6BKkxWxAEIPqPRq2dEHJeLQP9cM1bcmAX2KSbSMqPbkAM7Di9gLsjnTk0Fj4wZx9PluLqaUjMzVhjx6qPr97732o2lr5KpfAUEdDKsJ+4FVjvnqrrvvcJtHY1OQ2mM8aOPPrq8upq7j3FcLjAYBs2G08yGVxHUi3+ldwBU0c3Ic/39P/iPgbTUD+V5ThtjnfOjV69/+P2P7Liw9Ez9UFzUmgzVnwPNPsiMPIxvv/pczRcgTFW2HQF0d+R3UGO7gezk+H5NEe/4D/6df/vV9fWiFC0s1gI5hp9zbWSnyxIBNjvsnXPeO+oKPhXfQ0GNFYLhVnbUh0u1iro75DrnMfxyXDLSCAxH5uVyub1//i//s//ch5lLD5xGHNfDfdCYNSMqpUnbWRBoW2Tl2kXaxS46PRqFDFAof1vSOmIVEEZGrLzdbs8fnsfw28sLkK9evXI3IIOGXAY7/PjkV7/69Ne/AqBem06tKuVXS05jrKpYVNL8z6d+G7n++ZfvOBH9YzvUlE8DwZpoX1ndHTLLMBsgnTsgDh8z1+e//s2nv/5NIs1GWwrtCstc3AZyR5FdXd8bqCKYdkh3VenvWNy9ruhRLbW357nGYVgh1BYLbkHychxvPvv8zRdfoGY5PaTEHuIKEtVjUamVB+P/sG7bW7Qb3otYpsDIFZBKbCXm++lLt2QMR2asJZmxTz/+1Se/jGA6x3aEFbbW7Og/v26xgrY/+DtffFhPdEQfSw0q1ZMk/1CdkmzVihnv371NpA2/HMdxHOKsShNCl5RsvbQqMsLSBpFpK4PGb77+9k/++E/mmqoIiIEzvJDKWiFCjhibBCJy3m5G82E0R9SAVTNmFJVZheV6ZqScjSVvZyMSSx/e3U4Yx2HDMtN9GC1WiYDBLNaMRMSKWMaxMn/8kx+Ni0vi9+X2DPHySu0r16qGS0E30QIdXLnkAbNbKMgEpcFX8L83LmtLEbnCDP/iv/jf/93f/SndTPOyhg8bVuNLStTS3Lr1hdwSZ+4Zc5j9/T/4D3/xj/9R5EIGjeMYkXj1+vrP/3N/83d/+lMMP47DJAyRQan+AODmP+IwX5nudhnjs1/9grmco69WQWM9oU4GPNbJCldw7zcWLFDCfxzjGjFXxpqrvR/PM8S0IkBaLtCkk1z6H4kcfnD38aMKFw1Io4pOmn6ViAyvYNGGe2zsljC3w3WWckWuucbhZGrYGzJjzZgrItY8V6w1Y62VUgqrmcrFw3sI5u6mvwxrn/7Kw6KKx1ByxZio7U5STNxxuPuhstbz8y0jdNJuH56TWGv5sLXCTM2KiUrOJhIw9TevHYplhlvTZNh7bqeksROSZdGyaZ31J25W+96w7ZpRo+842MkP6atqP5E0hPblcrd5Lh82xpFAIKrfJInS9SM5evds7A8wDer5uAPphxR6XVtJX/SxqtxW/0SdQHLFMrqaVuecTZ9hrjzcUWe0Jwp1iKStzo6iOLA1PbdLamO/g5Rt6nt19/LV2kp01nILhCYScbjFWlZKnOFuGekkTEAlRFll1Wkhwca63n53fbaNTfjpT8479uw8Ql0PiSI9thpu1iaAUiKxNBGE81w+/PZyo/FyXJ7PF+lZ6f21/5GIDEuM4fM86eQSn8LWXLHmirXmPPwQZdu9SMy3l9PMkrjN2z1eLZq4mzEybAyRwufM7e1JKhlkbhELhKT5Qd5upzncjogYRw2jXRmj0shyxmHGFcuMNBvu43giaPQ3X3/1+nsfATnXzICGzrvjcgxzM4cYuiJlVt5VZto8crWabLqJD8MHxq+wDEqAPMQrycPso+vlxz/4/nE55BUAAIqXSzezWm3qpGQV4jNzTkbMyJcP79btdhzHbS1IlHROzHTmZfi4XNTf62aWC91s0fy/ipgPp9JZzMi1cnR/KXecV6glt9Av9wl8hJj7sIjnyvHy/ALDWmWqTskbUeqcUS3+d7ukpjUDUPOUlZ8NoFLubRKKGBsQctiROpAJc+X7criP4S8fXtxMOCVWztttrViVucdaay75qxzHMB/HZRjLkR023Lgqe91BS5ZpjA7MtX27IbkWRcdPB6w6GVBNBQDWXPMMAHMtNxvuz/P5o9evnTxTXAIDkCtnzGw3K3ZwTW1Gn5xOEN9tNzbiuGPviuCI/QtAZ9hlIbsctPO8arHZZ74C3kRmqDwTEWuu4b5WGJRPXwie6wbyXHO4Z+SKoPs2qHUV3/1q69rX09f6iLHX9sGoLgLFeT02p9U16vckJ4u15nEMN39+eWFN2bXMSOZakfdG/Ls72Xb9u5h+X+bDetbvZd6lF76TRqvo1YzgiiVQokqeZrq6mZu9nC9uHjNhPtds9lqaa3RSU//vXqQ2WuwCHfaW6yfai9UxO3L1HqBpsp6PYWZzrnWKLmkKKcb1mMjDfNhQjBI10zhE5CfMh7t7roWE+wCD7ud522Zg3k6A5znHGMogrZWSaVLwaD7WWibGi2niZq6ItRaQkcttmLmonDSM4RE2hu+EChwZKzM/+ug1CHXAKgGwVh5+jZjNjLRX46DhGMdxHOPwteIYR2Yy+atPfkUf5kTaeTtzETCaRzKJyGg6YFpRSRhFyAhSymOdg4VgkyeKy22EhGfZFd9EDjNDOoCK/9Rn2a0/LaSXFfTfnbVGWAgaXy8XP4a5jWNUcGC8XIZlSFp4kEyYmP86PdlpPKbV+CYaOcwO0WTrjDTEL5QUlVS+g4o+LB1objxqFTZgPK/zgNvmQZMJPV19RWa4eVReOZF5Q2Un1dlY6bLN0q/lgxErSCUfUC31pK215HzdiIzbTZKtqiKEhL/znPM211o+hjIGEcvASK5znWdQeVWoKcykVZY7EmJRJLOXoIOjXoyssm8BF8C8VCt4NyIaLYBBA3HO+XS9UNh+xRjHPF/MaIfdnk8brr65lYs2kImMKlKotrw7nupChO7vJHfJH3bXVqJLkzuTUGZFw0i3GVWKIJOKrQTeWRAmkWOMEE0NacNXzOMYmVjNqJ3qvzMWnjVmERoblaO3u1l2xbMEUxH36+wS1k5v+bCNxH2wJwyBtHJ8kTSamw9PYMW6XI4E523aoAjEyYT7UhaVvId0e0HugW5vfLVBoj303nmC1gTQyWIoFrRygaTZgPo2SU338THmOc1zHAeQ67ZIuo9G8ZbGkgYAwLuGU+00whTyPjor9tN7vPBEin7aHQNGM3epsGQWYf+ck4DDV4fRYtoK9dIER7NcflItwWsuMxMD9HI55pw0qRGQtFypsVpCfksBRCAZSByXY4yjFDRvL1pSurd4jJn71a9iXg76OU+xp1bEiql8zutXT3OeZg6YmbyGX8e4XK7HcRx+jGO4O4qCrPkH6ZHFNaxJriwqMqv3FBJFkD9hTyG6O18Qnjm1um2bxHYVZ8L2z93/348kWyhJu7Vtab19o5yFhjtZY5bR7LS7WI4OhUK0OVHja7ICDyqhyUr2ZZ97gecEXHHVpjG3VVOosAuCQBZ/B49fMokNjO7QA5kY/9Q/9VfdDRELebkMgitiuKsQ6mZr3s7bOcbx+qPXYwwzc8Hb9iHlJTIilBSD0o8+nDQGEFPlRLUCKjZYKyITkbeXl6/fvCF4+BhjvLy8KDL4i/+N37tcL6HEMB3g4UZyRTCDMY0Js4wF1XTCIW9vhrTIgBoHs/qwlbCKFYnUqNsl1BSlojSjnnnxTFjmb85Jw3x5cfI8zzEsz4yYqm3MuV599HpmjuHDVU4HySFeU7mTBNi9VtwpKbKk2XaSJDIP6bkgCzYLZwboNFrtyNpzbXgSAawIzWqOrCyBGc85I+Zh3V8jkY9Mc5uBYNA8keeMXGlZPIlGEqiGMqHdWO262pajAquiyaOV9miZebuVOmoFJyjVKlObUgYRbnbxYYa1wp1rBtzN/eWcSbh5JmKqaFxLWXmGvK/Dw5au6nxv83uKCpld26haD7nP8toRDXIqSBI36XrRiPMAPTNXRBJzZUClRfXfVlvDhhes64TqIimSW3uq7VPvz7ENSiXQ4nxQBtrRD9dZg2uMllEifmuGBi7q3WSUhYjBDIRCd6SyNauY/v2KmoX3ZcwI0Y19DKMd10tmrLleXl40FUjQ0MeorqVjXK+XMY6yApkLxeJUAifWuhyX8zx9+LhcXr1+Gsf16dXT5XIhTQMXrfEsSVRjP7hUloC5rTkxC2miwJD2cgkX7airoHmT0IwMRZPYGpOJylRWuLBJu9rbgvI7AH4g1CTuqZTaUFs8FlV9aAtbnOBdxSHIDESz0xNNuOBDlIptpbMpFl3hbeVqVo66DfCmQWTvowe7f/9r32MfiXsgPf6V/8u/Ml/iV7/8xSefffq9j753vRy7fQqZEevrb77+5S9++aMf/fiv/bW/+vr1R0MssNqrmHOet/M2T/kD9T2TeP3q6bd/6yfH5Zor83a7nS+x47GKVgPJy3V8+fmX/6f/4//5w7t3a62IJRs6jvG/+/3ff/roo0nYOBI094sPld1/8uPv/+j7r2JNmBSBckUQrhudcy6VmGKea8bKRK4Za82ILc2YqU1Bcvh5m1+9fZt+YZP29NijQjY3x5Mf/9l/8p/8u//OvyWjoWIXyQD+e3/rb/6tf/5f+PbDc2a4H+aeNfoDseLydC3Nasne9XiXSlMaURwqyIyuNvGsIna1ea1YOldmnpnn7Xy5vUTDbSFxVt0kkbFyZaQbv/j003/v3/53Yp1zTvWQvqzzRz/60f/sf/W/mUgY3Qc1wWatOZdauDsdJPOtsCNLnrevX1EGE1HHqaxboX6zzDzPeZ7njCImRQg0JcHrZfwnf/AfvfvmbSrfGObuH87527/72//cv/A/eJ63FTF8ZPMXt4+Mmn0q5rXCD913yS1obTvteE+ylbOvb3Trbr9zQjZ+DbM/++M/evvmzQpHptvIgLm/rPP19773N/7b/90JSYQTtJXL7nnVLR73eJ61VhV+aP+stcYYBQNbcUhl/JgLLPZn9OirZCIi13r+8P7l/Qcn5pq0ZhgnsobfMQlVbgkefnEflrlmkBjjWLPmbZlSCfcrV3oDmsJkdCDff/iAVCmi8mDH9QLiOA4bfhmXaGk29aXQawOTUC1XbVzm/v0f/vCHP/whzXxcxjGUJJbVjFiqXfNe00cy/bA2GpZYLJuPNqEyhKJjsfdq2TtiR84S+eigMGuPdrYm945Fo55y3lum7DsGlOgkfRt/mWN2iZklxZXlPdAjQ7KyJbpltNoVqFjD+rrzwfrLxtMiQlOPa/M+ZBF31qKbV+/twe0Y978f0b8wB8ZPf+svrXmbt+fg+t7r71+vVx+jgugMM3v9xefv3r7/wQ9/9MMf/eSj1x89PV0pCWFjZsw5b7fzNmdtZQhe5Pc/+uh3/8JPL+MSc86XD3Oea8WKxd2EhswgcjHTLWkY5rFCFTnQn15/9Or1RzgOGwPm5nbQ3YHk7/7uT3/rRx/FOn04AaptKz2zNmImI+fKpW/q2poY35JaUvAg6Xabi598dmaNBc5M+04kmcwlCljlQAyDnmslMsAf//bv/IW/8leen1/grrWu9oiIRH7/+x8dx4FIv+taNGdr1+spN7CbtVkoFQQYq6paUYEmjHae54fnD2XCUK0YvD/eTKTBmPHZj374b/9b/2Zm2vA4TzMz2rg+/eQv/NSP4/L0dFyO47gcl4EVc053DbiojKKQqRjJsgt5D2u5i046WSjOlel6Sbu93N69fx996X0DicinMf7hP/gHX3/99WF2HGO+3Pw4gnz63g/+mf/OP/syp7lnoZWiODTe+XMxbkfld1dxJ0LUodeW26+hFPJSLXL7SWci4+L88P79my+/SgMWxvDb7cWOEWaX7330P/5f/y/PSI6RZEZ2vefhaPYlsgM41AHdjrNICDs4KYMEAOn1t7q3mCFslBHD+Jtf/Pzf/Dt/B+s8bCiNV4kbUIG4uiFVA+icB47LkZGw5OA8l1Hox7pam+6wNBDuFnM+P79wJIx+Oa7XJ1VoTCSWiBU16jEt3Y6nV5fL5TguFzd/Oi4/+7N/rDJDJFbmoJnb5enp8vSUADXmiTTLQDH0gIfaksS1pXcEM1qa9PGZRevgttYN19sM7hzJ3QyqxiI2b2TxQWtrP0LjBvsdVlYONLHBzd7ouH+SXPc+AfspovHj3bmQ91/k3rb306NXyvB0TkDbo4AdawzcvuCs9yAqF6T0U3//7rXQkH4vil7mEN3ocrlen15dXl2frk/uA4DcTjKPy/X66ulyvZjStT4MsNGbzjyBACysWgFIIy7Xi8a5JIg5EWkIl7qFlJ8TsreiALlZKVZWcs5o5scRPuhOGz6GwcegIa7X4/WrV+us/V1PO6mAKgtreaDoAJGuFFWTqWtWXRKRgHnaOp6u64YqDLdCA/YjDaMmJyplLPuivrZ5ouhNI0B3b2UviCc8juNyOTJgJqni2q73fYDsR3/3zmjgGgnzyMCKWJlSLCytpOPKhpYd2NevZ4sG8kEVNTPHcektznOd/upK83Fcr0/Xy+WSsS6Vz6mI1jqnoeC4kGpZtayq2P0e9JOlOGemtju/JjXpqRc/RLJNoPu8sDJtDNAMS4ktv1zUBJp9jnX02LSge6K3L6HOQQG3fajKnN49Y1/xjpvZoI6Zc52gRXVJHe8/vLteLu5DZ3MlZ+Z49QQb2vzZbrLaIPIe0fcDvuuhblwhWZGq5j1Ygcxk66eWtxRoiWAGYvn1FYclHAuRK0n3EUCtL6Sc22wQtsEhwVwdGQk9W6upV6E+MwM+0syfXo9xcbiLMEpqYhIj08yP6/W4XJ+uVw4fxxDtPTMqSom1o6vM7GqSBXi5XDa+BjRpPjqfbv1UVF90qS8lKIauSbpqP8rNQegN8Gj36nEWHCiVzV3zb9fx2K/YSPzhjyQ7cbSt5t5I8gxxP8voj7TGGuXX6l0UfPcb2d1rdNzzcCX/5Nd9jxQ3k8ADeb3SBPtyyX/i1x8iYl1/JoBxni8AbPgxDhc2dAOSzgyPxHHxy2WogciNZqnJXgrftlI05aCRwiOi+AOLCIkVmtW4pkrAsWQxrMZ6ZK4YB9cZoCpSEqAz8wNw9+HgOJRRW0S4AQhDbd+6NSU8M8FcIfEQdfcgyVXFcsA5V1CxmGMR5qCz86WA5HYyAjBa5CJH0l1tlO5zzlxBw+jGdvfR6UL6MEaq2KkMLVwlBe7to0e4DeyDx65KYkWZzEwsJTRDNoLmhphwXSQjoixPAirkLpDGpNONo7oggZJINNKlaOZ0o9vwcbkca2KtdBsPQWOF3VmAlZGrIijc4Y4COlByfAwFrqzJeDzMIFJ6AGnLEmmRw0gbDQJTGotmRjOYj+OY6AVrWlyjti2q853D35dTQxce9zvupv/h1T43ICOm4htfZqQflxkxZ1yuF/0k6wg73MflKWWP7qe22hw3NaMOIrXj7pnkbVsq2fgAXoVPt7Zp1Sgy1f3ICOa6vn4NHzknCRuGUyaWkTFj7UadjRQJmluuVckKtadkurPOsbOpR7mwkocNIjkzDPb6o4+O43p5ujw9vXq6Xo/LBZmwSp+q7EA6FfllWGAcx8tcdEokpujjxBiuRgaJJ+p5hFIenRvByg2OQsPYa7d6Rho9uTrlQdRwpZ28UTO81ztnllQiioxe0SwAuLSlWBFb3jdLbrt/95R9AvaR7A1ZeGcj8bqqRiSRmW6+d8kuxSayGSj9jvcQoTbOjgS4t27fJykBsLtRl0/boeN9/233VPDi3hKrFM5IlPJT9aoQakHZyKgAJunSvK+7riBFQb8YT6WU1+V5LXYg836dyvMJYjI1DmHfACE6ZW9bmpkNz0oKFc7qJcyINbxDGVmGHp4OOceSx+/VoToD6+PcbKXMPMws5kKOasnOZuzQYk1oAhwUTiAicwUihhG020RNkyAARq5i5lRD9cpYhGcu0CKDPVYl+/yXw3qQL9qbLzJ3TnEpeUU2YkL7gwBqknhfObK2WtAGyZyhZL7WSZIkVv67HoEZ10ZI7DEgd4PVKdoNgx4QhQCMUmfqJpndUIeWq+04Ql6FRslaaVxEqMcV5IyCEVmWP40eudBqSCjY2uaf+0zI/O7mL/16r3T95D5KBSIltxlIFMAkLF3e0d2MEsJysxVpsFhrUwVz773HYJs6QhXUpVhbtTyl6VZPrUXBkjXzhMCKNdzFGI4MMyJS8AthDufwBGmGiJxqeW3bwlRixQarXGxca9HU02BrLSTM7DzF2lSkbXOe5kwgZ/zuT3+6Vnx4fj5ev/rxb/3kuFzGOIrQsUNu9ZcAqbNeUhrAinOdoFK2HlhsfTQzpxklPOUWVaZLtwoyeoZUpa9yhYz+3jJ3ggnvuRo9CQUxqTHFIOEhCJiL3EwwYYZQtcPMsysJ0ZHTNqbZVnyng3A3JLUtG5koy1MGSJU7eXz08JKsc827q99Wr0T41Tu3tzd3RuA7X9mX1GHUtvVlTNhr07vxvi2zKygd90pdfxBFoicoDSDXPFazlbEQANcMlJogxSXXitNoYa3eL7tkJB3QUDczU/xrNqA2B1KZAYXw3gNnpLm5zrPiQyWSrWXx1JpQGSI3G3S38EoQFCuyBa0QKL6vKP2rHH6lHjfLKmlYK+nuGcP9JlCQaZVEZibGcYlYYj65xagpBapp0p3IootmhLkTUqGQV0g3Nzqbd2u0ahUvy6kZMtn+v7dSEtZmFqpXMJnFhUQCcPNh2uiWSEtxh4posKDJWvXQ1fAcyE49a3VNJong8DFspC16DHc8oKrGkVBPalZ9CAHrpE7HKRCYswSO2oaWlnMM5GKqVMvFINMi1ChoGuaMRIbRLeGJw2wS0DHt2Nl7YkcKSEdR5nUeHkLqLgDsLyp2CQ3RffAD6WC2SIy5S7bBDMPJCGbEmhjVGcdMB4akYFyLf696Zyk+3d1c7mBdm/iOVkAbEUEbGzhqzb0k4w2dFenqC5LBDO8Wk8ggk4je6jCjVWtTuhsDA3a9XHIts5EZwzwiYRjHWOfU1lprqrnd3GCk+bBxIZ8++ujy6vX16alYlkWbLoa1sHF5uk7PkWmZRkPSzU7A6RkYl5EAixVNVut+0h0tlqddWbJKSA3e0LNSaiGaPr4DpITw2AJNmqbqBgieaWDYwGUhMk/SXHMdCiNyc4ruW/1Ox9lRXaFa2Z37bvquS+jHCuwqNCC/QmO2jlRWM7wJ/ssdaQ/HCh+OXB1tNPBv8H5H/rg35O+r1G4vokE5uodL3dur1pP1MwCB0SLMqYyjpIRTjtUoGFLBEmnK63shIKFWN1tm1SemtaK4+0ZCocMyIp2bN2UgmUv7wSCxN2ygicoi6a9W4MPLfKaZE+Y+zMFUsrkdcqalqet7GIM1ZUbtUgFSBeHsmMsySYMTcLMqC9CE1pVawb6wVuUl4cZIubksEXbZ60SJ6ck4rCivEzCzPe1529btsuux1WBemdraRui87ebyEkov1f7IUDqSUaTwDuYK2qhuEbwDqGZPy7JXlw/dmKL5alXYSEjPpDiDsv6dd+iV39VOvX/UvmI5Ki91hcwEHcxymYXrYMYI0RZRMQLrkNRYA0rgT8mulvYqC9EnYoclksHYyRi9xm7FLv5lt/BaVhyvB2FULlTpC+30JMHysuQW3qM5kaUAq7jrTk0tT4P9wNkYTqvUvNx2SAKI7CBPHjWrTpcRUGe3+oAK81e3MA0RcXu5zTUTF1GAM5bAQSaG21oSGo5NVWIZc0ggXxhVPtdGXq9Px3EZx8G2gvd11Eh6o/SuGr2kpRddR1smQdpa+eQOMfoBUNV3C+Vm5TSTMJfemdpMDKEgyYA0W1ydKdyHp7I6ZkakuSNWqVAkqksPqUySafxd2wqUj9Zcpsb9nYgBG9i3Cc3MFj3o3ZTbD+DOPugHLDvQD72NKdu6lffcdh50vWjfee99uvMe/gA9lfNe0BUmDJPswkMTQB+N+5tlAaTGR5kDRIRmDVhxqrZ9MkgrrWjhleipeS/64FRHhdGS1ekqbba+V31628M63CtCbTkdwoFkaQEpsUiYck7dZqbYsYIDU9axCrL72enYdUpPxoticFegiKhakxTJJLpnjChgoHymegXaOsOMGsik7nqRH2nGYFRdpGO9pI4iygUSxmBGeQ7s5a0spn13T9xTK4XUlcZBH7J+sdBrBw25d9nd9VdgVOQ0M4tQ1a8skO9d0DkZvYX8tty44SFolQHYi9qz1EkkLKvsXM9XwfiOU00SYbbhWyVnGWXolSXvA5G9B8QAAaGBLaYVk3yFbiZkA3KngvLPneEOq9s33FO3+7BUbrD6RwFCXeXqo67orGBuyRkVGunvJLuPPRvAlTWo8mPdVnYaqi1m25z7QWbFDRvBWR0NmpNkhBR7AqaJzwAJmzPWnLFCynoO5cEZGXNOC2XjCaQ5M+ze6pElFQfrC9CjVyJgDOl67oNc1R8mzavvrA5KVv5J80Qp3J4yL+6elaW5o+424LFyDQ55eZivWNpFNEtNBboDY8Xu7TMaHgIJhBTTkKToegiNi7c2mUqLxT413+nOq8PQdpY7Suyo0tgDVoH7byWy06UPT62CUjQgbxjZv53Fsa5EiDDkfux9p3qDPuUPVp8Pn5edLlYiltsxsRYKDz/cu41VKQSGmnQAmnnTVPc712bv+jW77scaTZ8w0LKRGr0UNAGih6rs/jvFC3ujUyFhmEtLPWv6kgLazOpRNH73hu2OmSuZniZEtneo9Nyonvj2VEQyzbKrQCAjiVxUh2uJtj1QacqMRAVWgObBp3PnQLQTuRAz11qpc5c17yLvHhTVVundDYZ+Gr2zyR2J9UVXqgAEGCwT2Ya6ngt2W1mlHyxqaYSXZa88wVQuPlEjGvEdD70B4F5t1nLntgo7A98LAh177G6Uegdl4WXsOlS0Lo5l1jNMmotPRQAru5Gh9pLa82vUcy/VHbzvBawrtb3D6w7L/TTxQ2c4u2FXh6BqF/V+SUjSQVKL5b8zaxbNrgyxLU8fx46pu9+X9+f3IAJ3N/QdeLFjhHa0ZfofdmBun86+QO/BfCtpttYirdLlomB4pTi7ZmR0IjIW6K2OcoeP9cMQwNC9UU2WrvmMilX2bWXIm1hwiXKU2KsYAn+76FfX3kKZWncFMrL/kWvA2fZPGy06m69rk+PcPY+bQdGfoHMLlZEqgg5SqVG6FDL0wwVZsh7/9tb1DJqOUc+1v8OOfrhz//cnt6+FBT+3wUmgy3UPRdD67QKu2DBOwlwPFruxWbs97bT2AFlbZl9o3cH97G473u/UW+z+GZnj/qAq3EVFUEDHUNz9InfIqeiOVgmirhx23LeNXN2Bkv/RtXUh5o0TO7GMiLLRokjIdGpP64/3o2HG6DR2L2pjaDosM9Nr3hUAiTkj5Cj05jMLIHtwibsVCYbg/j6GvSMysZebNaFb2yEy5lwRQNOZFiBfJZRhEsZDGhr1Zh2Dew0A3QhaONuUM1TDRaqhRnijQK305hpFFM+hhszECl2mHG05dHXIRho3zu8TCRi4trh/J+TuzxFROaEEWtNHDnk1lYV3aY27YVNLbdvpgooECZH8QlFU6XI3TNPUvR2Y9HUqHrW7xeydzeKgaQzDJtU8Av72AuUl9OwEIwP9aBXbgF27S9WxkqVT1jjZTMIY1t4wgaLP7kPMOuW763iDrzr62ef34fDUuhaHoU5QdMmOTHMnLTry0WL78Mv1eHr9NK6HuZthzcpvkJYR6z611JBL9ty3poiuIdniaCQM2NF9waIoeRX0DFKDkF67cba/rx0tqGuFV/bSyKskEQiHh2ZfbxGz7Pz03fwJuFv2jOoHIKxuZlfSUrlepXjvl4xa7WRvmAc4fc8WPNreOxR/dGQPP6O9VFWx73w1iuKuiaIw8J9/j/uvsM71w888upX7j/2TX1ptXcgdvf6TH8LGHRs1ASW3F9G/3IDBrDFUEFLlZdNyaGWMdTyKJNyF6Q6XumOZZgyjwyNIhiQnaRYRspCuyINm0mUk2fraeiPrMcVK/ij/mEjRCuoI3893VvBBLITRhUYJkEauiLCSqLFElapXAqS7lTUlSVuxdCNrLhtWmSMBwZRXq7NroJnPtSSmLV+exKicDQqv57KSCe1D1tSKYgr2ToUcBxFM0maGB2zpBXkxmLnZkM2Q1ZAd06EPC70ytJjua55Kaq8IMGQIOxGARhTf2bKmwKkNug6OqRaJLDpSzQhIwa60zn0H6nfFHxHxOaHVA4Jwm0u1kwaGzD1SB0azNLMs3f36vI1z2vrV7u7kSoJLWsOJcmd1LPIOYuv4l/Oo5GnNrwfS2RAuq6Sp4UAJjZ+rWzKLZEVelhAp/A7XUR5UWfXON6F9ELQI3ASSjrWAIgBE1dvLGCRFpyYJmCGIOZdy4GOYawaidOmREOzQENZINyTSQDMLz9x02wb/9bzbWlR9hduzW69lqJklW8tAXqYh6KPDBc0y5ya/tyl6NK46SDBaEJFLw2mtJ7BXLc2MjC4T9ty/yjCgTljR9vq1zAy4yiTovIR+LUMZ0H0pD1Yy0dBP977NP/fttavI8nn3cLgdVjUCfdcIJ9BmuqBtfsdu1affF+rRHdyx8p+/YtzT+uUU99v1L/cq5cPvaP+THO7jnLNcFG3jGXNHZlipCwHQCBbtAjdDplnC3GBOW8jUxJF2NfUFc/eZ0ywTGm+gepyVbsy2+h0gyoJY4UajVF91W5RPseGuQR5GTeu1HS6pFoeEwwGGjgCSUvtTd5ImIyY1xNeAw8fzmQTdNHs4zcfSNLtB5Cx1xDsDvc9xwl0a1+YXTyBCMxWGgK2GLhmqT9/MNoIl06QAXtAqgU1lZcIXE0gPZQzKThTddiPMjdMZfWHZkTy8KIa5jSzFwSDMrfPXKCNQ7iCF3NkEidK0ydR0NKKYKCGoHiTGwgnLuswKbCsuNZLmW2go1uarEQ+bujF9ZqSbVYBXg8JAILjjcHmAh3TQjqLILBi7zT46Qfp4BNKgOlLFW2hNBfF32dnAJgzcD5v1OmU5UKN55qKb1PH2uatjZrYj805hoRZJEHp/CJkZDhNTUS4Hjc6Qla8tBMaKQpQl8+H913QgyRVJMzfnYTFPAsECbYsA9/wpy67k60iWphpBHSsTuVdkVgOWXjegi/ZJcCtqILdVIpBmrPm9wjU0IKSi7PCAeP21aSWhAqi6mEilu7gqKYRIlEpw/VyxTTbxqnBKdpygCmpachXIyezgKgmtc4Oc7+B0ueLqKemDlr3bOrdTv2L3bfwQAaI45QCTqib2GeO9YMeHX2yHJp9azl8jWqXH/qD08Aj3CwA9JJC/cx87Kq+NmCH3nAPMZMy1MrmD9nb+IE3t+PX2JEiJOUtlkExzi5VGmjNTmdtqMmTHv25e11y0XCnsEABdTXEUN5RWaQPUsnVJmBhWM5nHcRRwGM4siYamk3ScJ4E7owUTcNQgqtoZhNPmWsMs6ebISB9OzRKjY9VKrTVlA60Bf1uu++OLDDrLG9KwyB5OzT31lJE14aTj5CJ/RzJEyd+7qQ88hnnESrNT+jkUfQKsjmtLQgrMIWXbpJJY2gbUkZL4dmNeo0UWNajaCjtFq8/1luHMu7GrZGAdUm2QNAMnZpUCxVXRlJaE0WWnBU19SnMULBkfGkAHNMEtZwbS1PqAYPowYEv1M9Wy3X+LXFSaolApOIiQBs7SdcpdivqprVhjsCq2ZcFGIBNmJYSwP4cKQMmzGtcDegeDmxNwd5q5BCPLtChwaueTNRNt5Vl8ojYg2jta2yi03uiZan41swzkSgBJmltBBB/FOpD0txmP4ziOw9zdfNgwktUBoIJYHG5+HPM86wFtyiw1G6DUaut0PtQBq9AkUQCoNmukL0wQRQyGHBFgAQBeRB7JJktOptqM2qYU1bP8iUUGGDMWE4StuClwL8Y+udZC8SkTsjAEpOzE0kchOw+5sfDd5bPLoyqccM2QzmD7aQFB3xEmNhwjQWbAhnWqJWsdapnyjjYKxCiw0/erRBYZgTJmTRXo5Sj+S7uBHWLUX3IDvMQj8sz+F9taVOjz+AbbT3QC+9HlpNHG8/PLirXWWqFGcbVjKqJVTqbehYTUnbZBrNsH3Lw9fjaNpFj5gBKzdX+UZivotEzxlixZcaQghPac3lADCdZax+GR6Rmkq6pfgKLjZpVcUXElgaT5ykWzjEgm1BebIzMGbcVSEF+hgxgCKlMYJAJLSn5IU/2U8et2XOweFR3pmHMlCYtcYc5FkCH7rzFm+VjCJlil9djgg53WyFD7cVuUlcN82VhrCXnl1gVSRi6TxhWL2mQabqXUrRXLozZDZUKpKXd3BF2sUzPDytxoaCK9xZO56TaJQAYDTMsjMsLWQhiGkSsDVhPmNIx+IQN73CJrlHH3QkbksGKUS2kAiX22odYsiPDTqISjtr4yfqL2GZgYdkxm9Kw8+SEBxOpAL+e9XxTECwJ05Qyy+MsQy6u61VT8jEg/7LgcdGeERaK6VQ5LLrScv55RqX97Rwxl/0WX0O2R1XXRXp+BbHFPujGRqwbmoOhJ5kYGVcGyyFQ3fpHi4SQl62bkMTzW0hRyuhWcTKxQvzYjYrjf+6BSmAbuXjgBEGPESLleg6CSL87IKFvehQDZyYIgHQpst1gHNgFixSKo9mVrKQijt90I+XAFd5GLfNRnRh/27qaJJcxoWdJVHayLhWiZqhJXSHa/qLKwHUxTVkglnSDUsdgx9KNxTbBLfduust9O/lR2irk/St6vT3tG1tDK7HDw/ibZYVMHoGCTcR78TRvodma4U1m2De6fvkcn9VvjdjtXLnPv25ektQGaEq4vJJKmWYPAxlcFBVW4q/C4n3c9Z71479CVHlBtjOzf3gsFsNIsajZUf4e5V4ayr9/uXNNiv5pKyUxL5VoyE24jpWQZET7U5xAhWqKcqxFUW6IQsYnVUBI0ep97dlYRfXZmYfOjhh+Xiy2kjwF3tb+bqSeOmkAfUPK47rX+ZQOxurrYi8RMwBML6eaCD49RHMktknN/0qwVZQHJIqhlwtxjVnDI6gsDAPP2psVSrSx8h5GdY5HtrQ+0VgCql9KSoMOB6rdDVjF6+MhcyuY5JFNcNUNTNCCsXbuuQo1A+jjAQTekaVaEtfkv0rV2urgi7ZrA6HjGd31OP1gZI2scgh2LI5A0R7DLAg1fkGYWYKYGH1pS800zIqyT1JLmL7AGPnwujNYcsruZeQBDqPRLZ4T1JK24CRvnmVv2Ju+UiFLhoWZIk47L8NHxKc0sV5pqaRkGj7WENCMXmFb5WrjVoLemqt8NUNeNFZ0jM91HrDAJbdmyVHNv9GxtA3fULitnu91BW0a7tzuOZPGtzm/l98sYmz63QT3RYq6l5FXIdn+76tOpPtB6solcOJGjbW42aGOHbZXfvLM1y9wqJShdyGyz3njxHluUHWsIXs8W2SYXjbLYJixK5/zuSVJNVw8H+v6V7SoK4aP4Do8wPztttPFw/f1uHrI/6eEWAQ70fTMx58w1bi8vo9u96tCYpqvro1RhbwNWOdSHpFy/dyqFAHTxpm2YmnQ07DtRpufx7jO5M92s3LserdywSCzi47VH1oPp99KFEUgUgdJMTUQKWZFo2kBVHGQ+ReQrgFGsZeoeYzPo7nsICdwV0meacbhnwLziFCsWkAeWGS1HnQfW5iGBUYhg335fQLWoytx3uqL2n3Hvcii67AUMwDJQTTFC23XaKjaIjHG4+2Ar79UmQ23Vba+YQt91UbiXxRqGEOyce5vlAle6vVSF35QgsCZimMxERGZGMfHvRw8oQ96mkpUUzkyrILIB66ZAkZk1Tb3Swug4LdFscS1qo6Z+wlmYxxgB5TZ13KLSMWiHh22dlV/JMmoo0eY+pPdjebf82cvUxY5a6Mz9NgV/gNpmtb9lChMhvWpULg9R3Ed3a8dlVhVraspjxKqo2rSTmbHqHutR5zZnZXrTyBJObyhr7NRYCf+kmv2MlR5jJ0NqD4H3vfJwuBNsbRMpGKqnsDZ3uQQlnbarVFpCeZ9s7JFlVNtfVTspEK30EIlcgYSl+uGUs6IZVuPXuzXMxxLRQ95kB57oh/lotwuStantNQXuZ+HhrTOr1anfrFYrVdvPhm2b4KBDW1RHNFBAv3f2Bgb3edTldi1w32Gn/baRqXcbkWutOdei2ziOyJjnut1uZj4uF5Ar0irvaErbW6cdFFTSjKsx08MC7MxGv9howoogVRUzNpKLdFUKuRGmCGPVDWOV/dN1ULJz+zSz6jAi1WSvBFrREqgk4rYasheaGCEWElwdWEpWVJlbS1vUitpv23spqSBtVMK9AY86JQ2M9DFgOOwwUU00GlgBi7gWHQNpc0XqQg2gpmO4I87FintSR6Xjsnq+AGpl+xjXfiRRYzEKZppxrkmaD+9qLogujPH+b7IpPWWfslFp/4rWPRwsR1thk4rBYtOW91LUwVCuv5Jb7MkySjHBzGYiH9JiiYrtqtFfl7HHju8kQBkqYucyOjG/za+Z74zthg3tI9F3f4erVHWna0pmvrBWLPOd0jd23rKbHQVf9kNhH7k7AGsOAYq6lI/r3bmUXbXORKay71HAQBtPB8GQ6eQxBvvUKCKikSF+DYAt91br3PS0Opiq3MgbVJ66H7DsU4MEVWvHwiQIem4i0x1tNjaSo8pMlCgCmIW0+GhtBUnKPpa0Uf1ekZJZajHq2Kn0tBCquY0xjuE+DLEIZKWkErBYkRhGk9mPKK2kivwfMWdnNdqGdcPQ3iz8DuTexhuAlXL1d/IyKHvRyAHbOqqBpOZ8tGVEG5MHXkNZ8r6kklxR2xV7itXD9WsmcD7c1H2V/2suXnc7Yi4zDh9PV78c43rxtWZGXp+uoN3O04fRNwe0NsL9qJTUjzVI7+1Ta6NZ2f1paDvNDlVy+yZhiJRdEMKok0jLUpGhCHrWRYLapsbuxUchZx2vwMM7g9XyVc5lKFG4xBFLd7dUnbICmgRSjIhUl+P2cB1LAgnle4qmUlUEJZGFbTKAdOmaZuwVzG6vhqXluOPfmio30LUcijYj1TaGtmLSgmFurUktcyduHhOAiTxTQWE/8CJGaCqrkkiFpwpHqI5ScXpv8uzeA01Y436aOoy6W+GUigPYapGFlo1cDyCmYME2wLJ0uygHgBX5Nhrq6y+Xu5HGTrigj6C0POohVtuXFfw3oEO8gtCERqfUIeqd2pBCnS6xkhYRUbm5e3zfl7pBRuEufV5W1KI1zm0W0A0fqNierXkFAhmGFpOrTVUJEgOj2o+L6pDFnNb8CXODVWjBLjgpk91ROlHhlpLhOjBdFu7lrk/YR5l9uDK1wyx5F+1oJ6c30x9TSph3cNyFvb0EcX+ofZcQpszWa4y16J4rVgYyxxiX6zXCM3Kuc8+eoiwAYmOAvOcZiUxWTg1mzMUsbsE2iCzaUFMwtBm0x/RE2NEo+2jUKtUvbOjUKJw7U7kNoSVDEUCyOm7LBz+YTD0qbEujz8oS1W33kH08iR02tV0rdNghTANePH49BDEcx/BAXq7H7dvniFiLJTZirKDWzOAox5WkRmA3YbTwhkD1HVNQe0+FOdatAg3ZhURoyepXQoJmqYFTynpu9LShXh38LKZ6Pz1UBq3imv0LKjJqc5mxe2cSgMHClkiZoJuFGbnqke6CTz251FxyTTHUJmNmppjjETXPk+iJMwFzJoc2p7Eap3YQxIJgVsZDfrHsXRWvqMw3Y7Gm3m+I+BA3ybJqMSw3kGQbJvQOLryX4r+GGq3bQNZG7w133zO1eze+6drSRpu4Q5/cT0k2oI5Gm+2+diHnylnKSkQ/z8bGWs327/0pNFgLX9d9ZZXfcsPorMx77Adl6Djg4Yx3L7u6CQlrgIXCNPt+2NFJuxniTg18SEQ/gCxWJaA+coP/e56ut1a9jLYlCQARq2pLTEbJ9aGQYDZWNaOmpYoTVGejG2WYC5ELGdFiD6ozmRNJ9cqXF3k4nlsLQMmPXb3ctjoYSi0ZfWGpdlQJrGhM05HIA4HlvvzZI2u0KA9pQ7BbtUmOMRZ5OY5c4YuxqkvW3RcCaweqdytBimOA1ERMQHRYxOoUe11h9fBXrjfR7aTcMv190dX3pMptrYme3bYQuFv6bCtegEyZ6mh7brUBM4c7gSGJDXu8CaWxZB8a4ppX3ZLMXIhMS6omH98x8LlJxvXig6n/r/liAuP69HSuec5Yc2UPtJLtXhFmymhbIxGSaTYKfik5eJcP2qkP+aeuTGy7Z+01azH7RFXw0OWOvcS7+lgpTHvgSuZ+2/5wGabykKDQcGxARhoyLL2zN9TaVu6BrdazZZXaZ5AGqZ7K1ncUIORvVqFRFnOgTpFety6qm3IhSv90fGMPUdRmB9TxiQJGCvMtbN/pvWBY+NtiGx08wD02yGTWY0AFNqgl5TZGOn9pIvvtE5CNVeovd8dI6xBlm7iyett26r+l92mGolLS0ETszESYGRlQ0khoNx/sdyVEuq8Vdh+W0Omuez1tby1KjDc3vM0IPYtsKYyy/7UsewfXCkcElHmWhF9ZWBn7x/J7VppG/2rgZx3W4CEfcI8xKozoyIeUOFLRKpUkbCtJSvQyDMyMqNF72RBYWKSqI1bNhYhVhtWair2f4x1wooOyXUS9313BJVZjSoWSmaLext43u04WD3uri4T1afuDW4+8EJs82yw1C1lhT1WFyOM4pLAbMZE45xQlpJYagFp6ciUk5S3i6XYuegBZZOt79FHGtobYNN9DNqFaFlgR5BiuPLe7CbFVRM1a2xCo3wu0D0sETS3cTHUrJyJTfKuIOEbpbOggALhz56tc3CeYNlM9MFy309BRpQnjSoJe99RW9J7q/PNfO1DQt8ZxDHFXnq7Xy+U4jlGtV2OQkczxfAB08QmK3Fn93W62VjXW7spY7a9M1Gg6uDWbABVblIGzfiOA5FpLOtQaNHoHgKyYFRFI3sVIHxa8Uh3YEUDmHX5oFQQutDfTgKTPuaqgXA9WlWHR2IuY2F96jpHSgKhpR0gwALDHmdm9aYYof2XmOhc+XDG7GQAHwrbvJA0KEiELCMeKSco2PqDoqsh1Wl1xC1LXLFu2IsmS/pEViAhD5iowUmT33UTTCyrzyqYkEWyKBLZqzgZRtbZ7lSuDU+6hT1qBVS5U/FPE18zWzYlY7ozMXEkfGRbJks9T46DcVuWUHZ2E7IMOcj+tBHAithXN6CKHkfKT241uq5+JjGKw1K1q7Ta1YxscZt7f5uEK6t0qMi7mmFXg11Jf5QdqYbEyChWhhPRqhmBwZVSUoeYVGNBkZpRshpnd5rky0GW5DiOkDptmdvgYNpYtM6415UyEphXOCr11AoF74mDegzoFG5X605xn5do7FM/q+48A1epeFXhBBAnUmbu7aEqWiZhLdpkgzNnGThPLuGFQZXicFo20ss1isaWr6ra3IdLIhjEpmUyNcY2ekrZdQbJzemr5hmUJBxFIA37w+vX3Xr8+hpNqd2nQsX2JYppK03F7ewitk9rhJDvars38+tWrV6+exnEx4xgawloxRz9K/W4wcb1cyisiM8OoUakFKRr8IdWbifvNP5QEvvPn2rbAQJqnWdLc6dTQRS2rD9J4uV6Oy7DDNCvYzdk9AJSUQqbXPjaozhl5jDHMho3ITTgoM+bmmrCRGbHCbbsA3Ua0HK8skmkUHMHugDHzw2wovQ7xBDvcqJxwZ4GNBD1KX1CHv/L6SGjuh1RI3XkAS8FglWgExNp3lO2rvLbiEjlueqlWlEk2c98sQZI27KIsU9NdZQ19qQUsj2R3uVY6M5EpWVWx6YkoWox6FxJ6aVbxqFwuQIO5U/0MZFRyzZwxzQ01h9HNjzRHnx90/3Xyrkqerb4kVBtt68rBIhp+3v1kCqQH6FxL7ftRRlquq30J0iWrZmNIMIAdASSZ8EJPWUlBc5lVi/tnir1XPQJloAsEaCuIZaAuOZddLBhfiBcBYBjMmaYximYHE+kDPkgVFXuGEUcYJzbXrE50dUqXegPLkrbqnWa7ZEdGmViox6KECNsSsprpKv8HYUzsuktmpHFUm6SS/gvDfdi9eXJ7rIg155RIXBZiAiqZWcI+ZJXWtXzq8KdLt9z6zEqkS8rSaTTHUHlcsW4wgagULoB7SFGRddtoohsjadShqiCIHRBVenkTrZrGVcO8k3yAtXeay/6vYtSHlExNVbJ7rpB3V90pmbQHLeVGEinTfAyRpe9p+/rwNgUtiQZ2dqJ+MrBQUpvIO1VBjuTper2McRyHOJY75Gp3RsEPH54r/RhrxZyrqj8VXOpJ183rOe64bK/Rw+l8QCyoEz4IXvzA1Z5fztISccqGkQHy8PLdboWUZUD0DguQCC3h1f5KDrtHfUaThntlHUB0164A+TE0DqT5LL24SFlYbs0dJhSC7rqWwPXDr4hHpY+zRNTepUdnx4pZbNKBqDEa+jXr7rMohJfK0wcQBqOlKcdIcpUFhADsMJq7d3kjUkosFTrDTL3QEhe6By6Do9IRpOxFBf0EUYMVubZ6WhXptB4zzJxHgXQ9WK/NrxUmAAyYu90zbATAiBhjjOEJO9wOVXG1smE6hbiXELTrTTwcnW8SCe8HpqO176MYFsOHon1gITOA2aMbemJti7Nr0ZCgIxdiUSyoXF4BUenMB8OBPs6okjfvaRk9gkCoNVtqpUOJVdWAq6pcRiKJmTqpq/pV1mngenlBRLYETk2RWOl+sFgfwbrTAFw2tucxwOkqb2QEJZcRvB88ndElBK4TgSKfcSt1EwjLdGQ7lATSMofbFAW1e0HchxxdnURyjJEzhg/IdCJ3NZ1KKiriudvSRkgVa3VU56UGUZ4jCp47R2CutYC67DaCjBWBai1IdFaoIa0AmtrRtcfdPDps2Wa7M4+9qzpMKabtdy570z/KFGSqn2cBiFD6cXtGVC+idmbv3vqWIux+k84AEwk1gT9az9IPFl7qkLLyeSTJydyLXzkigVr1kVBRU4xiDFTS+35JqNBEwSxpVgZGEe8d/VcsAGw1pO2lsn3ENsj1vn3BQ5KSGaeZAdmT3+5kRqdd/FAv67Dy6N59Q0Rcrgcnhg1p3hjp4HC7XC7IpPFyXKsX3BTyqzv8zt5wc+K858+gESVuxqz8kZnRMof7cDvM3EZyUsMCBe6qpBabbLA0So+PxflqN1HAq/qrEvhWfqsK0rk3AeFgLDvM1sstF0pitAHdMIhOGaT68M2cLRg2nIcP9WCaHUW3IeWfFPl0msHublAMJVVZKt0QlPBhB8iW62JlbQ0WiJkBYiQANah6JGxF3m7Su34Iq/My/GkcgTyMh5k67Y2AW5pvKKMTzLQlvXUUHrtHnXUOtm3r6EGXLw8gol+mBs3UIbM8523ebgK996QBM9bto8txPF2OyyBx2FASKhih8xtKqzbMC3SEy4VYGeaGKENE4nJc3CwjDPSdMJBPkwZhWREDDTGv5k8GjyKlCJrT6E6s+eTjuBzjcBJD0ybS1UFfcL4Mlxyd0ipHhsQAioi/YqmwkWm5VpMOudYarjxlWkLnwm2oDYWxzvffYk4mjFwL7qOJnQ82zoigEjcZqKiLZb5ZUxm2TWDj4jYaRBeTBd+9MwwKsMwNIC3HsAOgu8vWWWae08fYadUOpB+yDqy+ASGafMCzbYUrgIjKWKItsnba/UL1G7m/8/Dy/hXCkI5yr3kP/qq8oZrXQxhbKSGAWCvUDBtSuAD7nZULQldwSAXluKtPRG1QzDkrDI10L7MZEYD6tzvfk9l5jP4zqwQaS+MbYDU+s3Bqrdt34u//uj/+k18Eqk8I47gceU53E8j3zr6YWyDT4G6XY1yOYxxjjHEcw82L2W0kDinzDB/mnplODjNL+KCbz3m6mXsx+AFSyZDAPCunQlLnx80aC+flGJcxlruPIUmrEZq+YjSYwd1gdIzMNPcSScompKIahzrfLAwMpMllllY+SfJyufzWT34Clz6ooRFYgawVseLpODyS8UA7JJhpzI+eLn/lp7/77fv3l+tFy7JZAO72+uk6hmQ7l1u11yG7nQKd3LsbjuqdnnO5Jud6juHX69P3gUz4bspwHiZ4kzSbJBxHkfDVeIUD7uez5yJz5f+frX9bkiRZrgWxpapm7hGRWZV16W507yuwgY0zA8gQZ0QowuF8AV/mjU/zNMKv47yTFA6H5BdQKHMDtpwDYIB9793d1XXJjAh3N1NVPqiaR/Y5TGBXV2VmRLibm+ll6dKlTqlMaZ9/9vA3v/xFU50OM7PETM6m2Ho3EkTdNXB6z3OkUVWIoWY2wpPYqKaZqYEo9GJY3C34rxEIOcFZ4nSp9kJYHs+CwJYiWQ+dSZ2EfvHVl/cPr6iyMLu6m3XTbto0iQ82VLd9mAFLqRjs4aTBXFEnOR0Oc52qiBBXYQkwItE6tj3M4wD/dGK5m6ddrTPrnOTq/TjJX/3sJ16KCItIb8pMZuSw1nvAmQQwIqk3Z1INCCV4HTm4z9yRjHXOq8396YW9Mr04nT5//fo0F7depJi7mRUp/73p//3/EvRiMDNaVJWYsj0m93RkDERUipiRuZoZFwnPSdklu5uLkV8MtMQdanvoztNUSq3RwkKBwxNUuxNpslzcjb13S530IG6HtYn3oGHI07iFMpf56DjDyOR8lCRG4Byb46ZnQj/4z3/gFCIdNoQaCA2smsYoCGBQAUfBw4YD8ZRDSxvPZprCkXtiazGtegjDRCUrxaIGhpXDrgO7pLTeRFneIyLQyJzcHWZGKUA7nsVIqRE1gFLUNBYxOVLZz3GDumgE9bbLav/A4O8e+OYwAskuhcmZa5FpLsxUiwTgxSLKDqbDNJ1Ox3mqU601sCBiEXZHLaXWata7dmGhbNYgciNHYSGiaZpTB4KFhODUtAuzkZsZdR490Bkax/WK8HE+3J3uaJ6iFlEqi9lU5DDXeT64hQT/MPvp0SMXGvx/wH0nhd/2E4WyS3yaAyyl1B9/9RUVJnDJZJjdzWJes3lrbZI6F2Eoj9AlMVLH/eHw5RefmXmpJdY6hSrGym+teeRAmTnkBqcAkN323Zk2AA5HnXOWhbuLcCGvIZNPYPIq5TDXShBCoeAYixFKzAMOdoODwafK7D2C60hBxP3V3emLN69a62XK1K11e7yuZq6hFufsZPuYr0jbYyNmKr4fPB8XFXm1sCCgjBDbDrxVAEAKEbkq18JOfOglzSL2TJxB4qgEMS1g125mpmbaTVOn0vICfLcAcXjzIZsHwpwjSI3QlYvBQGwCKVFCDz6+qTBleVzZzOEaYBzBVfU2GsyMhcX9bpJu5qqmG7p1s27ByVO6VZU8rtrcSp1g3qOKm5APzCOYA4i7KiVlLI+POL053X316tXEZtpi8KQqnHA3z1HkjL0esFXqAzGHDhAQOF/Q3Qb6MzgcAEx3M5CBTDzK8B+lFiY63Z2Op+N8OFSpUqWUGhsgi9Fh6tTMlIjJXK1DTdXcTM0yPsxQKhHd3P03023YuVxwDyA7CWL5rNNdxBneqca3HbOjHPu7ZMzi+2sQyHk0gIzfzuPmz14cqdD+8+hmMFXnQqYWZIQxkmD84aSwcaPjrnwU5dzUbFwVwk8E/oEcXB4qp7lzAwh8Zr7c3AWkwWx17V1TFgXp4CPxHS0vuyt8nifdHu0z+w9EfBBIjxQpJswcDoCQgbYDRfg4TfM0BfwSM86FCBxYYmAVFBVOi8lXZnAX4VqK+WAdqMKpmy7rFmWo3lrrLRJOH0GgJStTWLjUApZSSnSTFhYpPE0zEUkRbFCNpDsYywj1rVtK6G5D+mpsCQpjFlsv1RpZCSylsrujR9dWIvCexobMQOqmcEuGatBLieAuIqZdpIRyzYiPY6tnxVNdvWM4ndyW43nEg759d1gzD+kGd0fKk8ZIs+haMCUcDkUAUi3Rr5AcM4MzmSRA5BFe2mAzO+DzNFnfCkklUlV31CK1yGXZ4jdvKa6PFdWIaAzBaKccv5GQ1DjMw7lBiITZQ2opc1YH3NSZQKq9bW3r40eZGmd5xg1m1hoRCSXs4zmimbLpwrJcTYC5jsNMlDWnOPf5p5lWppi5QQC7C/FNwgID/80ahUYwHTl7KnHS2Dptq1LUnWM8XBGxIDAiLh7iBNcGZoJIqVPet2qySJi0EwqpKgFTKa01U+VSyB1duXAVVAFHcNAcRH1t3b1ta9xD5P8U+fU+wclys4fmdmDdZtE/mLBcoHbPSNm783VzJ+GAGsboMFdVFnZzF2dmdYwfInuYCNnSMoKXW4BsntdJEZvnpqeBw+aHjouPW0uUMLvlOfsfn4UJGen57rfSnO3mP59UBgU6xKXH806oN96Gxjvs5vHmb8IADOQyP3v3sQNgyCujtC55YWaxGZN6lNEePCykm1mw0t1VNbPn0QeQoBgziJa+NfPr2oR43Ta3sVZjAPIA0MZBvTmjPafwrBSMhCh/6igi7EbMJERFuEgRBgCR7F0rzMfDPMAfnkIzmcXJhViIO7QWnmp1h1pHNPLnSSW4q6mwqCoFIr22UFXsrZtbVwsWzXC+5D6EIp2IWeOYmatbEbR1g7tqDH4EiA2hEjRWY1j8aIzOpxNQtTtADIrmTmiMdXciJzdhYmJJIepoxTIi6r279oilQJ5aDfFhWdUIY51hBkX+PxixwSe3iApsMOnHc8qdjOFLRwqAmJwc4Xya1BFE5JN0de09ukQNbppd9DHEkpwaiEC1bSuFaoYrMUONEUMEFXBXEmHhII76DqCNw/zsQGRuw+oaqUCWzWOK2qAMZUbqtKkWcfbEjL0rhT1TE2bvvfWoImailJmtGcy0KwFF2EKgld1D9cjMDOY2aoEjposQLrzIqNr5SKfDJEUsbGbOlOHCfnoxQq+hN097/1QOxB2/6laZpRRj9K5ba5ZBLo9tkcaMCETshK7ZKeWh3uOeHYggKrRtGxwRKrmbiAiEyHvb1nU5zsLCfd0cbq5Sqqtx0o0j/NCIxWj/yv1LIgEys4i43oalMBNcQibHf9DWcEvqgvbtMd1aJGogfusoIcvaQupQmQ3B0thFQfNLKgHhmR7tCHE8Ik+NGb3PqjhZOmKyLI2kWcvZwvFgsWNzt1uOSDS2X8b0e64RWYZZqtj0cE3OzKq2Jxk3dxL0C1Xkk4vvRw7B6ePGio2bstsRhZs6YjvrLQMgJu/51xBByfqSD6uc9wECgaFuW7dPl6UD69Yr83VdKdMEy4XFHt76cAhpQXbrR2MJRudn3qa7l8KipAwVDr0nCBMBLExOZBnI11KGYSRGNJxwESGi4pIC9AxLNhvcjaRGOFJEIohlohITTYnNVD2Oc/prCj0JyqmnDldT642JUQsLwawrKjNJnOMI+OPl8UYGsHlW15prht+eqCulo47qqjGReR6n3nuR4mShPZO+I8p47kTQRFGSYU8J6hGDVM0MHSpC7nDPQrd7UhtGsSikz/OhDT5lOO7bAbGMm26M3gHQwmOgpHtIu0REnPyZfDMiGLmrBRMe7l1NKZgoEQ4zQUdlz40YbhZdRdo1tNdVzfdTS05G5mYgS8A0gwq71bHTlnCUYYEgEnRzMudQeSKiYIXnYfQe7EIRt87ZHE7MwTYmV1UNNTyoRvhsCZYxjVaM9J/uUf2hzFmyrjLKPW4Zb/Ew9sScbT2wZMTnIVekNw/qSyACQbGMU2/mhSCS0A1UtSvIuFSPSbwWZQgH4EalStipqKhoT7Q/agYhiOZdKcpaml1D0TOoqt57KWJmS9va1tWCPCQk4l2BVFkgZhJhkXjuQR8kpm7dzGAmIk6k6D5q52kGhvGjVK6V7O70AEIxEp9R+Y9UlLn3DoBJTIPQRxbj5bO5AHs0TyOF2vNJTodshavHgCAPXCCjdBo1IYvyhbuDzJyEaAwyCzsEY1OiSmorp4ciIonzY2bElkEpu4MjviylEklkUKpaSrCER2+tZ9OAWswQFN8TlhEdpR11z8yVxkBSpCNzz23n7kysMNPBrDMApG6kCneRMo56JjVOUPeITLtjc+8Ocm4DK5YwJmNBw8APkcS0XRixZKzEbvpvCUIwX4wgTMJUaz3MsxDBDcxurgRhrrVWKSJcp1pqEYQjSGlHiiammINoFg7THDHJRDXnQkQYEPbQMhklVbUM6sAU7SGpY9xa71FlIlMzKVRBJhSIsAwURiO7thQDMtdwAGFV7QePCpE0kHs0oYWaPAk8ZN/Vjd2LR3LXuyIcTRSCYJ7tT6SmPjqVcpvCyLmrDuEHVxsx4FC+VdM0VblF8mHYzQVkmpHUMcdIFmxkDgSKnmB3ZzVTU4INZnnkJA64Jt9DiMSsM2cLs+3YvaBrZ6Q+mpuDDTE8QHX/eMsM2d1hyN1M0QbsjpxnQjTIHkGEoCAtaVb1g+9tak6W12CmZte1dXM1F4LHRCJ3dgWsaeum5KHqzLuT8wjE9qx7380E8kDuAiZKqP1ZXBeGYVi9yJEiiw+/OwCAeD8lKKhkRPZcpZgAb73DGECtxcQ+eNUAAQAASURBVAmtKwDVPpIwzxAh+J/dwhbHVQ670t2MnKuQG4RJ1U211CIkhYwYpUpQRLtq5mM5IpM9eoOZyMiJYhwYD2YIi+xcZCkF0NhU8SAG9HyDQ7GD9SmpMmAFGuHLiCt35CYnsEfGFqsZ/nfv2d6jYfizP8e3IjZ2JWZ1jR1II9UM06emJQYogbLNJ0at0v5W+cyCWc+7BBPc83vEJEBP7k5ODAnawS5Ln8EZhlmjDHRhqsPI+25GRkGVMrdM0MqffXMc7QAM3AaulT4vumOb9pCZ6sRTkCb2jezuahABoRsa0IDmTq5q6kSINubMuAI2T99Mw8IRnmV2yYa84VgYXwWZLUpRLsLRCgYjElE1651AkQHUUoWLcJHMthNRVmTItTuwH3AOb2YujhjtKlG9dxtbK2hnZkkJIE7IdxwmsEss62hIDtkdR4yFtmSUWaSI6u6ut9al5GfnQyFyGI+QwNyZfN22cjhMtUopcO8xfQJJ3vLYJEilByJzy9nqmdCZEUPVa5kjKEiLbGp78LBzAwZcF0tkpg7aQ9kMWTOez8AqvUG8LXPef8TunBA/Ebu7ZUsIqzkskhJlFkuOcAAtfaTWpqpIXQgJ0MMT7qFBYc64KS7Y3FPEE+OCQ6jVMi3PTNEhRF0t2C+U24PMrbuZ2rasy3VlEZaCaPEnjwzJgG4GEaTjykeXBoySkWeIrg4QfrCxNTBokJNT6orSQH0zFMhW5cSebkc2rIB7BNaFQASFO7OEUAOl8IYRwCJuIMtQoHdjytHHCDZ9GDVyjrorEULjjKi3LdonD9NMwDxPram5i5CQFzYRMjMmaFe4q+qmnadDVJKjRqfWgy49HqubGgoSQUAM42OnIK84ZfZptBtbTifgw5iOv9tuLcay+EihPYI5GjnWKOSP/YtnrLbctE4jIh1vFl4CcCceQxHy8VBE02N8Xob8lMyK4T88HRPRSGNGfStzYafh2ZySzLHbwigjYXdtWUUYxjtKTiDWrvsFw5+Z+OF64MHfTmNLA5y5pfdjlkfsnvRWZpfL5XJZiKROk1qQp0Sym989BEgij2b2pgBg6fsyvhirHkc1tvAzqA14/hRuz3d4onAAO2yY5E/hIuLUQYgO3qC7JT2UwbGWwa4Pa0o3JMxvbx9pLPfeeRCeQlvFHe6q5qoGeGs9tobleJBxHyPbTHQDaRNj33iCNG67BGq8In7JbIDA+Vr4ft6jJ8stKg0xaRNe56kUUdVaKzELaFubmhGxg9WVnDUBGHM4i4ztjh2NjE0Uf9N88Dv2jKh80Ohu9TFNMJ6dpfZe3GrY8cEKHg+a86xyAl9ZDoroGMMdBnpl0QBJ5KppEAGi0RqBkCgJLhbtKpKuZh5lFb+tNm7ZNBHYsrQX3pqQQBf22D/Fdt2YRM2liAHqJkXa1petm2vvfd1aAN/ZShQnklxdI9sYURaQDRSI+nC6JY94c7ws4TcAoZ0XeVsYuWFuCB5+/RYOxYEPAuAtBbgBXRkXPysDAsRQV7ZQGDYy9UyIs085EbJ48+7u6KrBJcjouzc39L5tZqfjoTKXidfWXNXJxh5XB5GQdW9mravbqlHpdwf5jmEO7YXhpT3gCAoHb2a0l/7IYM+VpS36RfIXbqaKhxXDD6we7ahOoHA0XuCD3nnLyZ5/3VK2WwocnpgipU6DSQS35AsNY53vEHTh4V+H1fHdJaTem9+sNcfwohvIRSPHvikFh4gRPeteTjPDiDLieHPOt93XINwU+VB3jYvcPdwgM40nMjCLTE9a156jRLKcGe1LEWqm+hvG04xdX6i1Fo4ynmmO0xjduCPhwmCOPNuxdFsx7FaVUHL778WU4QDzcCRzkQJxDt0Qym1HiYPnbxAoBdXADLMIK5kZORLafcQd7inAoB6qW8m/MrWhrX27D2TpjwxukmYdaefDhqZzi8DfkLMt4dDgJY0AxIex8rg102wfcxDRdVmYoKq1FBCrpcEydzXnaO4AAQzXnbw/Dp22bmWezDxCAM64JiWIHb63Tea/PW/PLH2jh1kfqYGHIRsBOZD1J4ISQYP6+fxEcEnFc1VECKMGco2WaGKHsu+RFOen7DntiPYD7RpMj4xxzECQIHnnFe4UtOQ/QGPuoCNqnYRAYt3NSfhyuQASrEp12i87H00e9Zz/o8G7HGqHg7/K2Tgx4pyBrEcuigGncahJ5REYKmW7iXOQ39qrHUSDIhgmbi8XGYh8pyekn1BmL4WYa2ttu1zds1/o7u6u9+6uIKi5Q0FcRKa5wOAuzlBHD7fkbE1dTdfr6grtrffWuxRmhwr0/t66GpG2buampt2MbCTMlC0aZoQcWFZEokeHaccE0/6ZKhwknF2+CcfvLtYdgR6O6GKc2GDC5ZFM2xAg4uhkHEkjRqDwA5NPt3/u8Rxof6+RUjC5gVl24YTE2lIWbD8m8OdvH9iKp+MYNgsZctHYOdhjfUdODEr7EvH2sy8af0aulM5qzBrBsE3pyYJeiz13obRLaWoziLJ8P4xvAe4odSIWB5GwOSimXe5j/miH5ULHkOAueR/JpkrcGwPr3Evg+Sc9v6X/P18EAoL1np0dnOgXCBxV8P2M7v7ETN0EefAx8udx69menqjfPrWAxj1FYT4wdBC8m+mI7PMAhowBRY03gCNydIYMUGK/L0tlttjKHEVlRXdzONnAneKR7fMRNSigximfKAJCnSYDXDWKk0wI3nnvBpiaVWb1DHko5A2G+UjHrhYAlyREnmF6rJCl3Y9NYQgfYobsCsmc5xZ3O0CkbkNnxgkUFTaok8AUrnBz45RJgYgZwROTUzMzFyP13A95fpOeBHPdZ5CGNh88A2/bu3TIHW4KxEzH+AqfygDIok+RiViCdbhDSE7o7nCwxShzef/hcT4eiKP/PGNQwm0LZdUk+NNqGrxAp/CVEY/dulj3hClJJADGyNK9pDKaBhIvMAJjNIWGO3WPN72lG0gOT1oChAOL08sE967dysRV3Nhd7VDhRg8zrBI5m1vvUCMuFSx93fLYglR7ATFJjyQHNh8mJmnLtq5r722aC0FMQA4R1q1HYGRdTZ0mxsiviBzMbIQhq5mAAWVWD4AJtdTeu1O2oXAQE28GgvbjScMZ30L5EXPfVgY+ElQkA3sPe39o8YddJdxwm/jN3WaF7Y4HZNE6zMw6+qLHwUVSSYdtHe+7JxHj90bwnRGv7dl5spbTR93AgGG4nhlJuu2DPfveU5CIUcavjEW8hd57hDuuPrfnrRy1f4w7UGsxYxpw5O2JUCyLSTpVdzNoZxQCUk+fhHkohMSFZUSXrSEYYdnNwe0+aiwYMZXbVWKACREkUiYCPpY+hHdCqukZYJce1qPK5AZjHxnIIP+M20IWaEbUn0ExUWo9ZxvvUDeM/CZTUc8MfRjgVDEcg4sjqjLLYmK+1B3ZbDguNeFfCkI/3JyJpJTr5XqcKghTmYjQu6baoubmSr7SiNERdqEEPQamXmvdeqcGITBTrXWEXcN+pZYDuZlBPUgtub+zlTzaKnaD9Wy4OZkbM1top5i6UL7coq1It35t3cRJey+F1bx3O8zsBiExb1EpitodM8xNoikuAz2Y09bVnZN7KRRCxGElbCfAmjORKwAXZhokW6Pgv3pYmjg/AnYz7Xo8nR7PK5GQcKQ869LgBoJItvtG5s3DEkVVcwcMKMUOB101svbB0outExL6MCeK6XLjHGDPq5ywD4hHGv5b8MEBDY6jvh8DUBaWiYAitF3ObV2L+/EwHY8Tg9q6UleCkmvbOhFZ7+Z0mKbu2rfetWfGKgIz6k5MvqVdqcVLkd67Iqq4gWAwSQ6tiel7CZYFCmqWmrOj7yusa9iFW/4EMLNqD//AkBsrOu0hCL7bAfiekNoIgn0Q2zAwjRvgPmxhwnC7P7l5gt0CPDOnEdDEEx6kIxCIWfbgNy+e9hIqbk8T+4ffLN0Iz5+x6p7xXJ9FG/myEU2mVRmZRPx9n3DnaWF/YOD3t7nVroe1HAaRcLOTnLEXRswcbYJ7kBoyfCOwHmGMOdyLiEgp7oVCCDaf29AQ8v8Iqbs91T1wx+2yxxfBgZLKEnldhF1LJ8LOkSXlw4va4BibtYdtqmqu4dsHPECmpui9N2dozqVAxI1uRhwqAKNQNsI0ykQid15GI6NlB7nDafTSe6YdIB1b3ocjI6QhAwzOt1exmKOEGhMxnK/na+vb6xdfhAnYtlXde1dVA1hEttZ2aDHid+acysagaEsOlompCjkxaVOpUqUSiJwjSlAL5+cAu0X51zdVzuHBMPUQsUpzRcRwRSh8DWjCrBRx9262bs1ts97MAakObt0csq4t0otqptqH2uQt5HZ3mDrYTKNFOzZvMwcFi9mD1ufkTmApcJgpNKOvqMLDGEQkFJxI05w4LwSP0i7iMRkgL+7vfvvH333145+2devLtjxd+toE0B41VVbr4RsI5BHgarAdEjVDpHZuFN25tJNQ0nmG4o0P48UIVx83D3UVyBh8Ft4wFNxuJmT4HApndxNnpOAmKFpTajAX6OkwnaY6CcG9zqULhIUI8+ytt9bMSWfRmagTdSVTdDPAO7rMxd3vX5wItGzt/YdPZnR6cUc8geDEXR3ZWUIRNtEwRhFXM5GOiRRMJJkA8NBDJjPXpq1tRMix3gOz9ZxsQUSSJUwiAoSjMUgcoZkYvpyTJ0MxQyE0tYYxTW85zPsPUAwAycNNU+0Z1NOzoDBMjJomhjzMIo1LBMAsu3Xz/8CWpe0fxf8hLhLhOxOrB6lynyYygJo9JWH6j940Y84dSxmXO3hjt9RjdwPD/Q3kY2xD36Xj8g6Skka1FLgTM0bmNrwIcsyAg8ymaT5KIdcRfAOBSP9gLW4hzp4WRBlsN/0Dh7s5y4IBn+2QUYTuYagjFYg+/wyAR+00rPNIttnQe2vDlaZLNChCUSed/xiBxCmLF2NCho6VZy5AoJhznW7e9gEbyJrPLXvwIZ5sezLpwV30Zo0RsjaIFCGQXnUTLmZGSmAT4cM8f/31H14cj/NhUvVapLembrVO67pRNKPGoFpKYXlVkyLxjKNpqDedjwdTa02lsnIXiKq6oenmsKbZxJJ+xK0HCuSsCGLX4P57jHpX8RJhnXpjZvLiqegOETZHU2dnQEDYWjenAgZTnQ7btppq7x3Rn5y4AXviomxq2dkzgkgpwkWMBG4aEijkngXtaIX38FRE1M26KrNIEVMjsLZ2mKaYr2ymQkEWYCKoGovd3Z/cvW3Lw8v7D+czvNeptOtVJOQNY0ACOUtXAKRmHOKWICcilpAklrQ6YhgAMeCh7ADzEJF9boV8sHGIGS4EDg8FYw9tm4TJ3E3NHJai1BETmUmWG8AwXa/LeQPs4cXdm4d71w4zIZ+PZVn7ZVnNQcSn4zQ/HFjgTtuiqZ8Mb73B0c2m+TBNU7QIntxb7707Czl5maaUSRd2dXB0Y9GIp9MnBeNLmAuTcB5WAgXOBQ4pRZRatTU3D43u4BqQRIsiRBD4GiHF6yidTQQb6hllBYjiITscpLJRgcvoLEpyP4j9kSachX3gm5FPpC/w9L8+6r0BQaf6+AComNhUzV3CSqTNCqTHzEn2PBEYIWyG89hrvAOQijSHBtIVsS6Db7+2R+sYdD8a5I1MRQZmOEwvjWA1Uod4bZryFOEIu2eUo75jTS0dN+0+hRwuyUogkB+Oh/lwbMtqXdvWkrWB0Y0EYNjX3B67Kafbf8Z173wdACDmklF9/JApmyUy3QEFXTKOJ0JIjfKBBzDt1FW31s1DITmUeBRwU7IqEaRzTFEnRHuio6U1h4MUrqEP3LsW4lIiHjaCwTpFy7NqJ5gXD2NkKEytafghhVFSFVtEnDAIQkTJU1p4Z5LADR6aR+bee1dVkfrx8fFtfRPVGDV3R9u20Ps100IEs+Bl7JiCaaj8E4bAcu9EwvM8359mNXOwCPPG1oyIhxRYZD6xNjQKq3sZYEQPQPceO0fyupMz52ROUGOLphj1ZtrU58M8y3Rdl+3aUmecgSCtjmsGYsR9ApgEMjd2IrAIae8m0tXhMNdPj4/mUNPWtJTy9rNX67YW4jKxqT2er8t1O1+vn33x2cv7FyDeljZVCYgl9LC7GRcyJzWzbfvpj370m9/9jvWLw1RLpev5PBXJRDZjSA5pVzeFqZMTFHAmNItiPAGsasTiDldV68oe8apaB4tmZ1lgbeJSet+qHGBwNaUOKgIS4p0cOqKUUQy7oUfGKY9mAJn102nuDdu6+taXy3kqUoWJsC2bqVYpJMUhTdv2eCUGuZBIoKs5KoGI2da1q8JA83xYl+V4OrV166oO9XUl7TADUzc1UHNXGJkxOXMaRxZWeBGUGM7icDXv6oXcXWKSH7n2HvG+KwfQartxGvFmRtADrkXOZsgkP21J9msykNWWHdTxQRHFqIvFM038YDcaPixy4iyI7kJ4apcCod8+upSHqXL359Y5Pjp6kIRGkoYo/tvI7BOBGTp7e2gO8qER7bsf2WkA6UJ32GN8lud/0y/kCyNE3dcJ+YsemSeNMZAhPZaYr3uERHEvQyVwNNkREbmacZGu3Z2mejL1wzwbk416Icb4s/BGg5C1kylu0OgPfdWzajlA8ELDOYysmRwM6NgeueiW+qYJ+4Wjw2hzNVNVAycdKkpEEGEiMOc8FmIiVs+ALVgaqppShiNMyGeWJjYefO68DEcpNZwxQgkLwl9aTwz5JbBzbmRkeBNEB2Z2N1UQSYhgu9tUp8enc6nz64eXzqhT1as6XFv2+EgtEUqDMn9zMxJWtXAS67I1tdY74CJ8JZCIqUopXa1rt9DF9CB8YpQ1M42zUbgYRa9kEPUsX7s5g5TNCkkwSFuzSjU6r6TWrW+9Nd224NoSobXGqZYa005usRkzmxnCFlEcuEjTSc0CfJAiy9b+/u//4cc//QkR/dM//q9vPnv9V7/4RVvWu/vT8XTgqa6Xy69/94fvP34Skr/5m19OhbprYapSem9cKPRHRZjMmW2ep/sX98t1qbVMtZSa0KePnDcGwrl15jilMMqqY+5Zg4OpiCa/yaRIYFgw51KdqQSJz4yZSsiXDwm4EQEZmCO1RCaJI6wLIBQjYdqPt8eiyrb0h4f76fWDa69SamVyb9rIYcQgkjIZSFjWvi1Pl+t1LaWwFFB2twOuqsRymGdi+fTpKfp/1+tCTFJJiMhciNQAJ9XQzVKB0YgOggBNxEVIhGsRYRIWKTUbeglcJEAGFzFVluIe/Z0eGQ7tOG5iLdnf434z157NN4RhccwdROYxazcVGXds6hkSMQz+zhQNU0PPAIeBMHs84gFQ7Oj8jpsM43qDUeL8/8CepZWmLMz6/qJbkgczMFsMW731ByFPRzowdvQ9V3huSXcq5ahCP8NXwhmEITYHEKO+9sA8rdfOyE3R7F0JLRAyH+8eYoFMVYh5W7day6ahLrq7UxrU/+HQcwOTJ03jtnb4j74c0TSyl4Ke3cpe7fFRK/LsuDJDDAeKtfWMZpOMs6eDTkFvZQegZmQgQQotYGD8AKInY1RLKL/j+yaI2Isk99EIYvPF5hZSK8OBuRNZqNyEJ6E9S2UeXl6tC9eIdELYXSp356fL04sXd1OdluvCgnXZPKdpe7SEuLubDVV/ZBADUnMHRcUAIC6FWUyNSyEREAFsBnVD9i5guACPJoN9Pc0921JHFAW4kbODjRKGNlJCLcIhYQcBgVh6VyEyuKptXQvDAdWh/XgL58ZKw82UQ3YAcIeqQdI9tK2/ef32zds3v/n1b7/80Y9evHr5P/5//5evf//tz/7ip/3rr3/+F39hqpfWqc7ffXjaluva2t/9278R02MVUhVOhTICyDpTFEL8dLr/53/3j3/x4y+psKpJBrTunqyLiAwi3kilFItq8V7tw+ixIhJJ9N+dSUDjQJhXKdNUiDmkzOMAFxaO+pxjMNkSBvVs6BxwELE5WNjUcrajuZsfjrO7azdhKgLt2lvbWmORWqfm6trXrW9r21Z1tw3uZLAWneMBWnVHWxYjqjJZNxfXpmWaiYiYa51IGKCYoBq6P6XIjrCGaAa7q3qREp38pZRQdBdit56U2l1fzCm6BeOwpbnPDTEC2B1QpozUfViXsfDugFmP6GU0vmVUmyofGPXO8bM0rM8MT1j84KERopKTl/eDy9hf4cGlGc8rUkakcRrfs9uHhAl+BnikVcKAj26uJAGbm/0c/8k9kd7qliLcfi0/Kl7MeNYBt4ME4TV230LIolTgIrSDXCOfICKDM4nBmKR3/fU//xpCfVnePjxc1yXGqsBvKdW+xmNt90t6hiuN6Ga/MQIIVMbKhq5j2p2hqfmD7DCdpacNprSAUBqzwm+vcUKKesHT5EcGZpbyGqlZgBtiNT4sifB7uoVbznHzSJ6m2HNb7xGBjwqQj+4436OOfT8jRM9Nlbn0rgzqvU/zvC7r+ekCQu+d3Jm5a08QiPYAZGysccHddN02lhKqhSLUTa+LgoBuVPq6NTXr49pj244ejn0/+1hdSvdq2Nc1mS0MNS8MMytlUrPWuwiaNrh1c+sO5h68UEJT7Ze+th7wGxGZOUtMfUyu1M4ctKgaqSq0KwmTmTnZL3/5V9fr8u9/9asvf/LjL3/85T/9479+vJzv7g/fvf/4N3/7t+CytL42B5V/+pdff/6jz9++eemMU51A7LAildwogmk4Ob18cc+Q3/3m93154tTjGTtkFMF8FEuQu4lBZOY2OiNscIbUNKd7EyO7omFq8CjSeJ1q7GAhIYOTIcdK7x/A+UAj6A99ixsendsdwcVS7aqn44Hc+7ad22at16lO81ykNu19U2XdNl3XBpJpmnma1FRVQyWklioiXGRbtpA0PxwnYm7SWSQ1fBLgcDcPHWyOqhhzKfIsnXfmSK1jrKCNAxCoi9GwDZaAAzymxTH7UJcYhjAREiQ3Jk14RtmR7++GeYBlO4I/wBb3Z+T6PB6pTnIzw88MEY2ehYidBwq/eyS6mfQsI48jGId6R16eATjJmvMxkG9vyAss2EeY/Qy1Qd437dz2wTS7Lc4II29GFbuLS8s7YIndx8Qmy5Yp8gSmGKoDlaKx7XfEZhAcSDgO/mVZv/vw/tOHD1999qZ14yLBj6fnVxc5zoCq9vsKt0Kjpy/+F4hc/LAM6757iREODaM8ngXdHCXt783pIZFZnvtQqbs9wXzmPuSIc94Kj+1xu9jBIMoP2tPAfLmP7qEo4HgSK2Pz2GBDZpqCBO4ce1s2QrNo5zXCYaZq3Uj47ni8bs0dl8ulTDLNtS3N3ed50t7hHpOJCeBgHI0LhuNyvjw+fto2ne/upsMsLKbmwu5RHEU3s3F3nnzZkbAgukeTdrc73eEG0pFTxDQwAgxCsCC0XK9r662tV8DdpUhtSX9hwNq2cCpD0K5Fk/vyBzs5zI3kvg3z2mFqxNK27T/5679+/Pjx2z99+9kXX374+PjH33391U+//P79I3H96c///M3bz3/19//+4eXLpv7v/+lf/u7v/rZOBSLREmHq5RbX8bq048Phl3/9i3/4//yPH779vpSSolbDA+bFeVwx596LC2YQOZNbVzdFdQAkSurQ8BIAcchywBnuW9uYSUsxlkGfjzFg0cGJsRDkjpxoYIORgbERcQscyKmtfZF1nkS1m6qrMxcWCtoMzJ3YjQ6HiUmul7NC53nmUoh5nidhUTVVm47Hrj0oPO7w6EuA1RpanOaW46oIsK59bSQxpMwow7nQryUONZdSpORSswBG5CjC6gYn9sBjc7lHDpunb4+3KM0hbtE7JfEv7X7IdWU/BtFIjPYAPa3zOL5E8D0S991sjo9zJ2KzBh+xeZzvvbz5zML68xfuH7CXH/aIGLf/5vcdoEHL8We7/vaXHxo+ZMB0M0K5NhGjDxwozibtl5Dl7D0BiPrlCCNiwnOUKCLmzkg3srLdPjsMQ/2ChHie7t+8XtrWzLqbEw3zlmyXPXIZngw/+HIMr/dsgdJrUwww2ZsKTdWE93x7/30ijoJlQPlRHbEE1xL8sVsmczP6cYKd4Cm/GJuGCanlYiPIHfhXPDF+lt2AwDBz+cGdhZlIzUxL2zpoy/nK4R3yoqKLFEZGzOF/zbyrMnyaJnUw0+PHR5C/eniQIm2LfjjvanOtuROZrEWwEGGDcSlgLlNJ/IcJo4su5NrbuqllV01qVxgC/Aljlxp2owOAkLp1uZ6eiEWYRzUrErVduNn1uroqF+7dmvaJ0N2XtvS2MuxwqF3VRkflYIpQJokUvlOZYyZR0PtAMQuFqLWNWZbt8l/+7//L/8d////67us/ffXVV31tf/zd1w+vX/32N7+Tcvziz744nk6Pn54++/yLX//mt2+/eHu6+9na+91U0IxcUdhGtmTA++8/CPP9ixeXj99Hw29myNkRE7strnIH7UDusOCzuohNM/NcShEi7t3WZd2unRDhJDGJI3jrZpYBHdyFqYDZs9PR3cHRFWyj1T/Dj1Rlj5ERHOOBQURcuM7FVK+Xdphlmkpfu6r284VLKmvVIlOtIG+b3t8fT8c5GPulSsZ9pYBg3buz9ky3eRIAJMWT6u/Jlau1AAQUKVzKcaoiTthrgIgpc8ESEpFSBO66mfbmMCIKfyOSNMqgooXpznve06FBnRyWIwPH50l6Yt+U1ZjRDjZs881k7iZ6XOjNIoXdsuhjsAz5hx0O0+mhkUI7sXskFjfbi7Fd3C1qecNtuMOZhUm66u2OKNVT9qj72TX9gNUTPxzdCRk+RrFiLAgN/+ej5p1xZixsGtisoVKe3WdkJLthOHEcs04SjjlTMTcikTqt53Wa58N8jOx2OMLdHWaMv6fOu43fTeWIK58lB5EqIZNN2l1oMsIoDEIAkXt7Z4Zi8f/mSVof1ngA9EH8dUU4t+CPBcFzv+Q0CClll6dNJG/qWa//eMXYgTt+ssNBWT/N7MHdyZlMhnuMosPAOzF6OtyISITrVI6nY611XVc3vT8d+7a1dSNHKSWgf2LSkNsYLQpDw9Pd0Vt39VorCbfe19a6htJh9tGrm8PVrEXIGBKo0acU6vZhejIhwNB5jS1Fz+41BhlQ125OrSsxHU51vpt4rjbRar2ZNtelrd2taX96ejpfLkJR/Q51LaTXMXPVnPEU1R1VH/cVKtlFCpnVMl2uT//Vf/V/+Pjtt9v5+hd/+een+fT4/ty7/vrX//rhw8ef/vQnl8fLy/uHu9PpH/7hV+7ZxAciYpipwptpYzMm6/bPv/on7f16uTKYWSIO2LcHIewb3/q+3ZitFkzFDwd69TC/eXN49bLenaQW07Zq6wjqvrubMlBLiWNlMLNOyX+IVNHVommdLfVrgz8+HukPgrhwRYgAA0CRev/y/v7+NE2zkEy1Hg7TNNf4iwjBjdwEuDvNrx7uXBdCP05cGcep3p/mu+N0d5yFXdfF+wZrsV8rk5AzTK2bdyIXJhEqIsfD/PLF3atXLx9e3MXcoQQPYqg1HAE1xE5yiHCpRUR4kCaG7cBeSrnZB79ZfNobKdLge5q3IFgHJc5VXTNFcEQPUJ7hH6Sx8dZDVcR345yGdKQgaU9zfrITgDH6E3v65c8c1cjpR3Ad9iTnnWXeUmuZ5qnGNNYIf4f/8KgpPbMsGXruqURmI9l1NQznswRhmGB/9qO8xWep46B147Yg0S00lih+P7D3HeUeNxmTEkzgx8NMwFTnqU6xG2O47PBjey4zkoj8zu3SKG/Jn3tRhxeMR4aUY0sagJlqknzMAQYzQjm+m3gSQofXDhwSgGUECR81g6GGmaFtWM6g6o/PzTUytVqL75c98ogw+VluyiwnuPA2NoTvjzDQjigfOgw+lIQD1wVFbTpIRK23aZpf3L9kVzfrrd/dnYj0/nB3uV4I6K137UWKK9S8mxPFwEx09RguSwTEQBYzdI1Z2gDMYapqymI9vEeK0CEKIfGEHN5Ns487A6xAt9zdmHIYtbkjJ6IzWyV2My1Mqvr9d99r30hKAz59ON9JubR1WZuji7swrpdrwAy9q5uRlD2u6trnnTcGmFvv3YuaGTuZWjQJCAFW37375r/+r/+P/9f/7v9d+OVf/Zu//NWv/p2wtK29+/bbX/71Lz/7/NX18nR/d//Nd1//6etv/vynP4qirgWTmClArtbtcDc/vH65fHi8v793QKPK4u439V31rjFoITANFiez3paXD/e1kvm2LVcqok7bqq0ZEZUiurm756gDAodM5/gKFNAJaiqlgMmcWKS1rZQohnHqyFri6J47U1my56P3bqrM8+Fw2q4XAGUqpVQR3rZmblMttRYmNljQlI7zMSQhzftlXWMAc63T5Xp5PD/O06FwiU5v11CPxXSs0zyVEgllttZbV2Uy6246elvhDmFyt2B8EnPEPa4xaqE07THeXVV3xX1EGYUGtpgWMlReYw1Lrhjcxw7hmBUKwCHgbj14RIP8t0ectO/tYUnBPOK/kDMxZ2LV5kTqWkgYrN4jQ+LsASffZ4RFvuG7oRvGwQczJxqSKBmREgmR+44l3NxShrN72Sfs1ehGHgaUkHQlDABhQFF5k89uGCNz9Ods0hHDMo3GlB1t9D2yCfKbh8UwBgkz4JK6j2TavW/Y1gPTgYnJGVA1IwRKOEhh+ZgIz+smI3UZOA2ef7kTUbEbCONjRn2Ws5nd4r/CYVlj6gSTZKMAEZwKw2thIQWZRiOpcVZ0KMSpzHjkiUl98gxeeJw0J465ChIPyYYuRcbwIyRxd+2do8UzcSgaKJR5IKnu5t1cZYdRHB4tn2AW6tprnWqpBKzrMgm7u2ovUpZlORzmqVRVVdNpmrRr7JOQmdaeft1SVpfUTIR2AQGHmxmPbkmiQHs8J1j6rrgR8wGIPToV4zxHpStwCSg0inaBNQ0poEaKOk3C1NfrVOS8btfLmQ7Hpq2Zfnz/ft1aqbxdr6fTNM0ltoRkH5WzpMx6EZEiMbY3oG9mzpyOQU7BsBKSUpl6vV6v//nf/dv/5R/+gQ+Hv/vf/mf//E+/nmRark/X5fHf/M0vvn/3/XQqX3/9x9/8629//uOfqIIJZKFn5MQwwnW9mpbPvvisn05f/+ZfhYXhZltG6ykymKa2zrN1BaNWgdSXb94S7OnpUYoToN225r05GZGLqjEJGN2UKOVGyF2EknRF+4kI4XEzJ+8+1bn1FmfCxiZSwIdQ0tD1g4gUkWmufevNlYhKlVTiVKOh2OG9e4lmNS+1WHcQmenWG7G0rq2v0tvd/Yv7Fw+Pj0/mFsXcwiWoaq037epw4RhiQ2YWs14i5I+pLZ4QukdMKZKgEYxGDwBqLVvKJw1wJa7TMq8ZyInDUibEzLhiN7BhE3ejZ+4W8+DA5p1IQoky4uzkZ99ek17K3UPmM/4V7xBoqbhzNpllFKsaT1CFo4CcEyVGwJ0mO9u5kcRID0YkMYHMoMNtD98P01HspD3G34N+HpWKoAQTWCI4jYKimslwFLGKz9clrD8R4OwwGiKasSi2m6A0QJGXKiNLJ9mcSICRBfLkIAJ7n8jenqY39yfyl/fT4eHu8EfrMVlOEVNURn4DT6lwJJ6AwZ3B8Mf7U8xVuEn/jRxPVT3p6engKO3p0OCFe3I2Mmm6tU9gpEMUcuVkcDXr2pFyEZk/DuxkMM9TnSblfeDOQ4U0lxVDpuNWaQKQjRUphTbCtXFDJiiDWUocpShHhL1Sipk17U3709NZVZv2eT5sbWORUqcQ0kHCXMNkR0bMQ0A0AgULoS4ztXGFGAlMXpCZEziy2iD+hEuIJmJ127PpTGaf/S/vzWFwdTdAVUGu2rR3ZlrXK3kn0+Xxgq6X8yOzBxBRC8P0cj4H4cxGghlhQpBlM3FKZ7pr5w2B2aDWEcA0Hw+q+vrtw9/8zX+ynC/H493Lh/sipn17+vD96TSrtR//5Ef3dy++/fr7dendrYc4oDtApu4K6/btt9+JyIv7+1JEu/bebWixUfDBCb01KQxAqkyHejwdTse5tdb6VufiDnNsa+/d1Rgu5MI8JazAGHm0DRM0fBoITBGcjADOVTPwhVto1EWzYvAvc9ImUhnXzVvbCL6tm7bmZtu6PT09PV2enLxO9XicKSNcKqUQizl//HQ+nxcz1DqfDvd3p5et+2Xt1611hwLL2i7Lcm1ta9pVmYu5q1rXrqYGiz+7qt02B0C3EbKq2npT0wiSGNGOJ6313rW1DYDFaCOOE5HU/v3wxnl2H/OEQ2bKM7RS127RRhyjYNThxGypZGDRfRyKtSPqDihgV3Aam9yGubnxNJ2Q2s12o/ZT4imUGPIAXsYTTT0iesbpimQcptq7rtvWWh9QhKeaBZ6/fWAwPHCULEYkMu6DU5lpyMC0RsYMCox7uK6RSY0rTNA/2ipi+0UpMvxCJmBRJseArvJ5BISCuZY/e/Pqy9cPX7x6+ebl3f08pbQJ5TYeecru0XZE5Bk4ta8O/QAcQgyECbNL0VzksUX2a7mhoqH11i0KiczDxalaa60H23y0hbjpuCjKSF+VRYwot3QGvLesJNp2Blo01mGkM7fdExeDvZM2HEAwPj2QJcuoI+OVXd0oFjyHWCXvihzeVFVTao1ZkhAZyiQRp9DwhcMBEadHVYtphWlkdhQnXeZglIXMg6lG+3FEZ7HXuyulnERMRuOBwyf4FjX/Ib4Sca1vbSOWufibNw/bItflG3i1ti0fP3S4gtytMvVmbVmCZRAiFoh8HFmhctMUiizsfnvc9ANf624wc6l1va6vHl7+5//2f/Pv//mfXxxO10+Ph+O0Xc6+bS+OR+39dPfi/XffPT4td8dK5CWEatynUrSUddvuX9wf7+7ax0eRYqNJLaAb8oT1ujUzW7dVCoPEsS3L1YvOpwmqEOmLuxVXQIWNAYYmgMnB9oocKs3jD3abj8TY4CzxoMm6bluLEWYEwLubRqtOQKNmoVQBU2dhAgnRVOelXax3Fmlbc7iIqHsRNvdtXWlrpiG1SuACou56WZpI7V0V1roycXdXN1JzdBiI1PwY1iSVA03NXGjk4mGyHIj5QERmPZkOIxIGKCiy2vuwRRE30zj0DvrB4JcIG1X1wLLj7Ll+YUFsWEFPNYhngbmNAM2HA87Y0/aUgHbZIY582uBm3YnZecDZ2cSbdpTyOD2zFbsHoBAqin9rauhT6AhpVyM3U5JkLP0gm4GFLCxRNPCMeUbxXpwR9Z5upHEJU+v7ZfygRBDfuy3QWOZx98MEh5dKDDjXQx0S75ZhYJobMqtSmNk85tOR7S4lATAfBJ+wluG2BvKxe2Ki510R++WW4fcyeBrH3ePKgzMWimSU7SNZfnKPrjZ3d1WLoa3g0dA0NkG0YXbViGaNnhlQHb4dGVtRaNaE7dkXNP7FNKiSYfRTVW0Y3litUKoNag1r5KV7/8tAAAB06wyeysTM3fTD46e+tXXrJDhOh56saQZTa62w5FCwPCORSCAb7cLMc7TrRloD72oRHGVFcPDWk4NhPjxJJBVh/TNSjikxWbogg3GMrzOALHRQY7AIkRNhnmSup8PpJ49X/P3/8PdMCve5TsSynM8iOB5nIghxj7CXU+uACBxikswBAaVRSFZDerhIHeDmRB8/fjod7nXzNy8fPn/9+g+//5rV27Icv/i8sH/11efff1pfPTxcnq6fHi+fvTrVmS2gCUDVaJZlWQ9zPZ6O2/tPa2shBB9PPxRSmdjURGqp03w6kPj58ePHD9+9efumMLsrmC5PvamRM7nABSlfSu4Kikzcdm+5R4txIDzLYAbOaLFvrbUNjqnWtrW2tamId+OdUWcBdI6+0G6menecGX45XwA73R2Z5Xxd+qIiPMBZqKq69k2Z2bp26zAHw42K1NZWh8KcS1iCqGC7m5W5EBNLIQJL9l0N5l7eERN5yCWJhKNicmKvRVRzyhychKjWyd3NlIXdCepIiCwH+WCc93QbZjwyi/gpYdSRKYKBYajczF1NJQIvayllOI5kwL3PLCYjJ0oleI0Q4Rlh07AsWT71EeRl4zMct5LVDYVxQG2v/SQDB4mBsNrwYZTMyYz89og+wZlICkeknzS/Z7sHw6jSsCMj5PdbgDnudl+q8fL8jSh3B+cPRCFTE6SvLAUn4RYpxIsqVbUzOcsw1iPjSas3ULfhYzBc8rjB//hrfLOkd7qF0m5ufNsVyIgoO7+YBlgWVYBRXo740ilEBfa0I5dsVIuTKs0stM9kIkaEainIRyOl2/dkXPBu+hP38WQlpD32/aFkQwSNkATuyKFQkR0WKomUupFRYVm2dr1cSCZrvXCXjQdbE6ZGBaPjfMfOaEBhDqIk2g+8iNiybyz2smMI2Y+EeuRUmg1ZGCWaUbLe/acbE2uK4cEBMytS3bq7XS7rh8uHefLl8fF8ubx7v+q6nc8fztdlnk9mRqSH4+TWY5L22DgYw91iIKMI5ZGhsafDNeXaEVg4BFvAtG5de//48ePr08uP9f03vZepbuu6LOuLw/HyePnZz37+h6+//XR+crwhYot0jEmYpdZP7z/95p//5f0373/0+vXd/al3nSfuPfYqgZJUzkJcSNn/+Lvf9+36+vWLw3zsfm1r2zZ1Y6HqBkaJ8JABcw1hg1D1IR+0EN8z4XFgMxQ1YYEZeq/xK2b3xwMOB7jxGBtCowclNp+qzqcDMa9rE0Z8SO9KAoouLUQbgZiHxJ+VUgMpLEWiVFBJCCYEmBc4u0sMPdLOqEKMpCwkqSe+3G9PaY+CCcQcmm92PM13L47rtblprMMwKJGccwAHTqm1CU/TdItVh3UYCIjD7RmOs+fgIaoUhiKKmukB3JT2s5/577CaI40AYLekgjs6e067A27os7uHFkh8RSXGb44KQHAqRuTqN1ucejkjUbCRiY9sJn0RUV7+SBB9N99MN9h8ZxAEgBX38sPMaYdygsDqzKRJaBn5SthRChmlqK5hLM0NpEH2bEZ9AO5uvY+Q2mIcNFJPYuQWmd8M6Ox5gvLsCneYaz8ORFSeJWuemUt8/DNuqKfXjbCUfdB+4xKHOUugzO25t6TEUnaRz1iRIU02khMAoOhwGds6TWD4hCxV8NhXbqOwl04hd0Y+fXenUQzIlU8f5JwxdYn7MjMzBjFIWCS6lE2t905w4TLU/IdkKdH+xx4M5MypjBvoJjjzg0eQ3zDsFxlXGsqI0SZreXPjlOwLlv4mww0TYhJ+//7d+2//QLZR39Tx+GG9O82L9+NhXtd1uV4Phzq9PLaWW99MY9JCFgsJUSrEYL9nFrlntVnndzNEC64Tvv7u23k+FLAUevvm1dffHht6OR2fluWh1tPpWAq/fvvqfDn33gDJqJXZCaZmru/fvz+U+VRKmSYWCZoY7YOGCRD+05+++/B0OW/Lj3/yZ3/1lz+rhbfrVXVD8W3rxEckqBrFIoVH9S03bEZX8dmM24PDMBVqIR5KbnfztK2dJ57qZF2199P9KcgYcSYitKQEJ9C7yt0kjPV6hdl8KHBiokqybIs7jscZoFKLi1ewgwuLyKlrZ4NIMcN1XYpApHRVEKZSpYRmKgdj1a27d/jtYGeYlQcwtr4x81TqPNfjcb47HY5z8e5PtgmXYX9NtatDwK5pBwmEGAzHt2LVvqVzZyY3OEEYpkgCBpAUExfgAIQlZs8NXDFOM9mIRoeFwTBYvr8J4JxM1pz5NawBJY04t+VArxN+sOE/ErMJ1t/O1AlGUB4dZiIZNv9W5UJ2L+fGuJl12k0TEJlWMDHCMxEA3405Zf9vXlqGbbhZnowdaX9XHx+9m4hs8Ul77yNCCayfybQTsZm6aWA8I7vBMx8wbB8w7ml/po7buozoYZjL1GfOWGf0BYykJL1ifEOzVGmDwgMMccvcnIRYqchHmZlTRYoDTI/3FZLcdJFHpshCZhO7GcLAoP22nnueOJz286Ai70zdnSHu8NE6O35l3LSbeTAzoQaupUyTIhKR7DZKGbIBhasp3D21RX1UN/KZAy5RZSYXTm1v3DZKjuZQhzrcxR1mGNwvJ2LzOD/jUYz6ZMZNu5sjV1eFmRuT1FIfXrwkp8NhdrPet3W5rNfl04cP9y9OX/74izpxa4u2Jhy98UKITti8tmi3yZ4WADsJF9i5a3twpNqnw2Eze/fhExU+3s1f/Nmbt1+8xjwtZpdl+/3vvwbw7tvvXr9+2W3jElU7VnMizsacHhrYxlzcoZaDhcP2MQuD1mX78P2n79+9r1P56qsvT4fjui7rtpqjbQ4wufetaRZ/LHctj9w4kEq6gRjjWQ0L4iPp6gbthTCxzQzWPhc+zNLXtW+NCSxiFsKNFEPrQfj49PT1N+/++PU3T5dL1OPM7dPj09qbM5OU69o72MDMdZ4OtUhA7VMRFm9tce+neT7O02Gqp8PhOM2FuArdnY6n42GaqhQWUOySGyN1HDsaHZlM5KaF6e40f/nF2z/7/O3rh5d3p1pKBm2Uo+B5n6kSqUAYfiZG6D8PxGTk2dGmom4aWQ88hhkbcu9GQ4C66QAcUnaCiNxzOohHs+uO3iTW6dGORe4MlBwZgQF5/iDDyQ4Cc7cboXO0feblOOBGDjYnczIjS8/D0fvoGqhqGpQw47Gq7qCQqMIA0j3njoYXiaOS/jZ4s54NTc+gCs9kzdO+8ogQB3smc+ooHIbs73PrkfkV0iZi4EIBy8AdprvJGB+9m8pRvg6L43u32g/M4rAne2iauVTJH49qxNgBAfq6IzCbYtaImVj2/GmH74Wl1pm0UxAl9wCM9tQMIQctVUgkehn61tVskA4xQn2YeXlWns3L9SFR7WOFhjMYoYAPPTUiUCCtMQn35kUGykQkBCZhM6tJRFEWzu6sHI5itdaQsOmthTvRqHqNfDceR5ajzWBaas3nx+kIwGKhxT/Mj2r2VDsw2ImjS/3m5Sy2LmikmglrkBCbeXOHdyeuU/n8z976eq2nab6///r3Xx+PB55qa4sqMWGu5eqwrsRCrORgJnYwyN2zRzi0eqIXIU2NuxqP8huIainLZXNi7Vbm6de//e0v/+rnb+/vXz48XIy+/eb9q1/84vd/+PrueHe8O1CpUxXr2llqBTGZo0h9fHz67pt3x9PpxcNLJu5rn2t1a5ntRu7IOEzT64eHu9f3P/83Pzsep+vTk8BFpLl2Z2LemjLLYAzD0tC4U6DalBEEZdxBYaRZKSVZQBkkkkgtIii8LUsw5c19PhxIXM3ErE7FzGLLg0Asq3YH9bYI+Uf3u/ujiDw9XpzPDt+ubT7MU51YSKBCON0f3I251FKLcJ0nV1v7GuGJQ7jIPB/MTbvHSOruXUoKHUfeyxSTzm56ZxmeEcw2qD68uL8/HYlEAtVh72oOZ6HixeAa1WYdm263uEza3BwSCDnlcUyTN8hzA5FI/J925YpupC7M2lvO+A6MNlvhswf7uYUaycD4fobJCZDvP0YUDTn1e/ZEebRiwtyjOTPj2Fugu4slDNTI9k6bW0Kdof8IcAbgkQBHpL4ZlRIADEndYUizfSL9hufi7blE3uJABxzZ2UvmMczLwiUQovUBzHDFnpKNKr8Lc+89gfgd78nI4NltUAo6DERptybDVYy/5hoRmKlkVhBv6DocLhHlbNtginhSBkf8DdXQAgQczsxzmR0KL/seCu5kCi7Dx0QLdjZhMoaNbCOr8be0wARgGA+af4ooxdy7lG6PyqQDlhs0CIsxvJ3MLfgzwQxB0ITM2eEMV9VCVGuFGyM6QbNKa9E/JtK1cy0OkIirOpO6p1UxIxqoIsaskj3couBChSO0GJNhMcMhbjp9WKZW3TQlUke+Fa9Uc2KPVuzctJFw5QgPr0WgAl3X9QLqUgtxq7PPMpU6nx/Pl/Pj29f3C7IJKyatC0HADBaZpFYhEopVMr5hQQh3Hs8/KtRVpqW1168evv34vp6mb9+/13WbpuPy9OHju+uv+ev5UFvT9ePHH/3sxx8/fktcQRzxXu/G1WHWt61rk4LDJKDW2jaVqB7BXYmEgLZtb96++PGf/+h6vtDdsVbZ1mZgt+QBwDk9/IgUgxfhFDN/I54ycgaMs4LfhQ40wokQtIFh874tK1xh6mzrsq7b+vT49PjxA7MT0Fsnh44OFVN34nKo5UBCZE29TIpybdfuvW2tb9usen8kEda2csFqWmoRMqm9sghRay0I/STsZOiNAAKbEpisqbNrVURF0zBohgYyTi2tUXMDwZWgVfhQCsl0mCY3gBM4jdibibgUd5SkM8Q5ADw5TnFkebBDGcSAEFXmwjGn85Z/Gzx6CQEvzs7k3gk+FUGoEkkJg8Uh5pqBWzYJebIczKP3zRJLcUIm9ju+ALgaKANhithIlYl7dBIQEwuFkBvJc7J7GnVKFwOPLRNHNm5zn507/g4QmEnMe9Ajgo9HoCiY8w0BTp/GCCkLAMQ5+tuYhJIpG/sz0DYArq7EPhSgPWjWhOiTCnBlxDCDAd61h/W25xhZuqid2jXAHvoBew8jVsbwEvnaEViXcdqjnJOA0a1qC07ZBSQoyBRDxDJlIBCxMFgRofzeCxWaODZOG6ePZcTgFO09Y/LoY0E06GaeSuQ0ikLxv0RkiSzn5GJIz8Y/sk6ToNCAMmi/6T37B5khdVHMmflwmEB4fPwUtJ7uZsxwOLH1fHbEouYGNqdImTms9MDJ3GKQvBIzFZZoDyKmAR8TUaRHAZvFUUqiaASl45EQ4uZ1oIYhSY9nt8PEiUgWKcxV7o6flnOZ66s3D9fv39XQ/7F+jGnlY54fScipoXJh56ip5G7OXgTaj1/sew1TSW5qDGEyqXUqlQ/Tcr2UV69//JO3/+4ffydgqL179+7Pf/aTp6ezq1rr1+sy11MtQg6UIlN9/OZbLjzVmcFwryWa50Z5LBssjLksy7m1Nh8mFu69r72vm3b1UmZicomAlIBU23KHEblLnHYeEWfsZPKRkMbpGBtirzoQkaqZNicnoePhEDZLRIhcu2Zo5zA3VV0WPxx4Wxs5A2JOXCorXZezaSeRtfQDF0hpvYNUwW5KG01FIj44Hg7b1qgTcYjKuYiYkUw1MuZgVQemaggxWSDp4ZnB3044kECFObHUUtTh7DaoLhgoCzF7krAHgfAWnYMJrlqEr+eziGjvrtouC3EGKAA0xtjANeus5K4wN1NX094KM4F662ZeJzFzDutvjjFsJ9SIIlTsGfuPaA+D3hrz6wHOvBkxL15EVDV8gZn3pi6pPT/S17jUAFlst28Z3IbqiQPEXZXAEfXSiMwCY4uT4GaDA04Z6O8miUbWkSE+Gfl+eszdgJxZlMYz4u0oW0NdARMOp4cQREkAZxCvCFCzwuxA773U4jbCd4qaV/5rv7W0fqOUuht/DEs5cLTE+0rum3Er9Cx/8Gh2hUeLo+83n/THTOnMXHu3nI7+LIId6UZHguIszkaq1lrvTeM5xC7gva7u+6WyDSguoviQUI10dNjQnanie46zF80ywwxe8O7daFwMERFUe1u3bb0yqGkHyCWFLVh421rlEhllpGnBCo+/7IsPd86EERn1DDU3IlM1NQMzhfIMxg3kcvPoanA4OB782I9B6h4fRKB9qEucYDMzVyfwVOfHpkz+8PJe6nTdNrw4sNdCKFGHIaF9UBcRI8iDdMt/E91KlxbVw5AIjeZPVYV5b63W4mbMpbX+stY///lP3P6V0Gb2QyG5P33zu98/3N9HVZDBTtZ7b0/n63WFAYzr5YI3r+JhmypGSp/7lG06TI8fn2giuLVlm49zSGm6Iec/xGIM5GxsTQwSGLLylTm2OSxGfCXIOdhJ0ZMXh7D3yC/IiQxwkJreFGbcxzAtwNGWzU3vTqepSttMyI1Q57JtVuZ6uj8Woda7wso8S5W+qZt3AxMKl5g5qWqFqxrUTR1CMxGrqQMaAIE7MXvfD+5IiDOwpYxFzEITVNXphiIM206cnN5RENBcQ0oHScM0w69PT49PZ3P78P77PcjD3s8SC00AoC1o1paRXAypVXNtpUiJ7Bns7tr6h+++b8uWVilxBjM1YWoD73QfxhQjYWB4NxDa1gi0LIu5tq0ldcGgatfryjeSR1JZ9gZYyio0EbGTQcNQU+/WWxcuMS18n50yzFbmWAMu8gEVxQ8NHvMdk66lpsLF3GIVd5WawMH2yDvBK3JmclfVXqUSDIbeVYREZOAAOgCRgUwEtHYjpGZwPNwpBqq1RwY3M77bfx/51UgZUg56HJ7/6CsKk0QU2vThBAlCHBUeApF3W7al92b7m9Dt/eLSwGCQVGHIum3np3Nr3dVb30gjY2JmY47SZOiZjOFb8ViS4bcbRAKyFX54YOAZymdjpXaq83DjUVwxOJtZYSamkPSaZd56Y+LWNnYqMZfTzC34L4IQ4wkifrYrx31GNw2VWmLmJYtYlK1YCnPtdW0xqAzmScKwUc2Ii834btfdc6KdBcR7f1u4B2JixNxvNyaUUqfTfD/Vp8ofv/5a21YZNFdXzJM8BmgWvLb8gwfiidBZJELmrwOGAkDM6h5Ae5FqbYOZdmOS5krOHz48ffvde5F5W5dXb+4/e/PFx+/fb3395V//svXter1+/uZ1bOLzdTHg49MnNRcmNe3bFqmkCKuRwzkfMzGTiDy8eji+PJqaNSuldIV3Jc4p1oXFQZ6jbvxZbEajVLS3lITJlD3JerbnjaLIEccuZz9aSiuE3Mq+l4NMaCjEUWatU72/O0mRUvzjo5nZ4TAT/DAX1851Xtdt3Zq5HQ4HN6jqLFKkusMjdYgxbSxTqVEAcSIWMXIqkkEAfPTs7lHtQAAAN2dhMAWj14xKkVLE1MSYmRNOAByQwqpOUf4PiinS8YdZYObeG9yY2VUdFCPbWBi7ysCIXFDgHrINxCxAcCXgmg3epdRpmtZtY8b1fFnOFzUjlh1Yj+Z5M0eABZTndkcgzIyJWm9wmuv0/vvvp2lalqswMYtpz4eegXdkFCM+HQZ0hAoI4fX9LtRM1QlmvhPQd5tFz49qArPjDQFEW3I3M/dSZIiFkHY1IhHxaN3bza+7FFbtLOHgXU27dt2U+VBLgeDydJFJ5nmOBxTeVERMtZuFNWJm4ii+hJ1MilHu8oyMdmd4s8GjkIH9FuBGFDPpMe5ulL/338R46Vgcz1V2zwZZimmkeQTz/+I4P/MCFOQwybGdGfz7qPtlOMNZ+7yV2fPkDc87sIrnJFwa0TAGTzI3qD9jzyNDUVDk157VLwZwPV8+ffwwzROTTMxFZK+HF+G+baX8YIAI01gtEDJMoNAOQIziC70hPOc2B8yQ8MNwVXCMiH7sseHKPfI7eubxnzlgECDMURVkeGEupaww1346TtelgcRBVPj+/v57vo4IJ8M+JiOERLTtweLOQ4gMmiIlxND1DF0adMAAE6lL33pbL8vls8++ePHq/rO3b/q2/eG7b7760Zc//tFX//Iv/2vX3tWseNeu7svW37//kBiYh4oJ1LQII4nmNirlEObDXKdSeJK746FOpXdTs7apFws5VewtmQjPNrLAkRqHX+NIe5IXtDsMZBKceID1tql2FnEgJDeIwpH3fV9FC11bt1qzLrP1htZY5LpcHHRdFjJbF5IJzHi6nJfr2tpB6tRX7b1DWN2nUipIQZu6bm3rfZ6qmVEBuwuIZB9hPU7nfu3Yt0hYJ4rgvZbKwqXIVKdSSvcWw7YktORMByPDB3rkI00awvQgN9Pe12WZ5tnImbhvHY6oDTjczIQl9XAssQ0QNKd8k5lq3wIAMQ3RFzNlV5NSuLCUEg3/zNlx5uYGy7guesKGIQuCd611uSwOZ+LCXEsppQBQAoeKd5i/gfMM42XDgHHmtEgRUAKZW5WyygonEQnBY5CTxLoYRqXV4W4Ghll6yozY8nNJTcMKmVm0g6oOcV24k+/4ug3l8+gSX5frJJP2dv70SYSfHi9c5OHhoUy1lmpqqh1KrTczzHM1097VEycakVr6v4GAj06GfZfve+gHyUB+Z0hB5LrtJiCdCNHQ9tzNkntqNlDgiVEkCXyVs0li/6jhiujZWaXsJgvZLCAtWkT8MXpY9Taj0NWJ3ZiQilGIuipkWKi8l2DMxG4I++zPsiEPwmX82IhIPObMS3n54v7zzx609cvlum4bwz0aKWFr1yLcto0ZxMVVkeMVYzg7CDnSvat21a0bRBiQUjyk20jWpkYwopxbnCUDHy7aCKLeAwb3qLsmuiXjMTlC+ColADWeaFg1qPamTqpkk9D9q5fT0pZ1vbub1+Vs3pkdUBrEIwaITUSJlFAkU6K0+6OmFAQ2c+LAmUw7ofSutRZTbEufDsdl6yLTx/On//Rv/9O//5/+h7/+67+upfzlX/3i+++/ezpflOWzz64TeWGaT8dP1+//9Kdvw3Cb2VSrpAZfCmmEkhoGq0pEtmU73Z2KlKDnM8thni7LxWFlqgBtWxs2iPZwI2o9gzXBwy+QZySrgeYToQj1aGV1o+ggA0CDDUUjtkxzCWLiIr1v20Ztu55OcynlME3r1tWCOkEGv1yud/McY5ucsbR+b9hUWcr1stVaNu5hPC/rqsvy9HQ+nQ7MXOpcay1E01z3JlKAVLuqqY0DgJGywEoKOEaGBwJEJNveU/SYzHQf30jMprcqCMGBLMQ4QMyHeX795g2LPLx6eTgeoxASq6qmTBIW3wFhUe1EEOHYq6pKjL72dV1//ZvfPj09sUQFy+d5CtPRW3M3HjRdjccxNAUsBWGJRdq2sYh7TjJYl7Ud+939sWgpUiLSFeEIojJXdsoCgjlufaWBmKVK77AL7t23um7bRqMmm3BPdiknT0q1kTMUkRXlz9LxQF25RPciIhIMm0BM1i1m86X2YyAP7m7OhOv1+v137+YyLafDerlsrZuZGY53Rye/Xhdt7Xy+lFrv7+/Wbfv0+Pj67euttbA68IJkGCUbiUbJ5Adh9zNH4DvAhYjgyd0LDTGK/9BBjH/v+BcF9xMDMMBA2z0jqZvpH9m43x6Ik0WIdxuMnlcVHsZS4oKZpJBpp2C8JZRrBHBMIvKsmRKiWpzRvu940Z7uUDKS8txEzxBx+qB4Q/hyvVw+LYdaDkVO97MQE4OJ3UxERGi7rl0bUCp6ITeQRp9pyJ2m+gWVIpDCoRHNGWZLmVa9sohaAKZpZkGwUR0KD+jm437TJ7orETPYo5AFcSd3iAzaHBMLjrWyVyIDVj6Wmenu/tRUt3XZqj0+XXpbhM2dZAwFI2k8bcJdypzlmqikjgcaCAVGXyU7UfQQwMm9sJg1VS9TOV/Otujv9Pdt6//4j//02ZvXn//o84//+Nh6f3z33Y9//NWRcXjx4t2H87a2jx8f7+9OGjYlKE7uQ1WJQIQhC0HE27qp2eFwMKeYKQ03g1UuRNpNk+QXWk90c/RgsTF9CTAyDqBMhBPuNSWCsNu2kscgNOZJLLJWYXOP5zW8yGiENAWi5rV1bY5JpEip6FZK7d2YhRml8Ku3r4j4T+/eg3jr7dt33x+PJ4YLcTctJJsqjKhUmNXDQeZDKHVzEQ5MLmu9bqqhqKgWoIsnrYJj8L0zkalKEd8LOCk6a0lgJWIELyVmYFBXDXwzgf8k30B7OxyPP//zn53Pl5//4mf3p/s6FQIMiHnLYcRbb+6Ah+SaBh4QbdIhCrOuy++//j2REXM3rYxPHz+6+eu3r7/4/PMylXhctp/+9HbZMIg9EQbcsa2tta/nwwHw1tqyXvWi1k2klMJmFk81QE7KBolby9qIDXaY30Nuy5p6EmlsIMaUYIeDWOBYluvT02MVLqWQo2vLriYnLtFc5uulqWpIgrty9EGki1FzVwoqSbw/wYlU/fx0/vabb9H7q4cX27Ytrb968+pyuf7ut789nu4A6KaqqmaPj4/TPLfe+b2YmmvSYYkSIKBnKNjAPP6DYB+jLLSvboaf5eYR8Nx875mUj9KPRU4WLLT8bfPEgWJM8ehei1JFBJVJjs3BwNku7jZCYALMWUIujLQ3i38KiUS/c0TSIXdlAtGuQ2B7wHZxpaYj7eDELMIDDWwgHWBKfEA4taIZrr31vm5dIc4gEY6O4By/F5QBqbqtOYK+FB9vN/JrVnWpHM0NyS8LdnvGvAl6ITn/ielH0W8MSxvOClnAdMBdo3/fSJmqATJkh1X7XPg4FTLatvV4YMfkmzXrcJ+mcn3c5rmoNjcDGRNBhIiYjVnNNrfZHWpWqvhAqQJtMFMEQpTiKjD3ULkBcRHpvRFxneflchZmLtJUz8v1v/u//T//5j/721efvf31735voK3p13/65usPT82sdW29Fw7EzLopSxlarmlzQW4wg4NZTUMpdNs27SFl5K2rw7kW5uLJwrLsjt+rKjuW5B4eP5wcs5CrwUXYW3PrtZRla9fruq1brcXctm73dy+JhUn2rDefDkPRnXopVepc50JCTft1WS/btZYDQYj4ix99LrVsW7tuizqLlDdvPzudTh8+vFd439QrmDV6gC+XtZuWyQi0rJuICGPbmiEYO8rCpBn6RwgPYE+bCB41J4zpZYRUs2SmUoSIChd1DS6TjSkAGlCcu1nyr/ZaMIBt3QLHjzMI5sBvLeaXmamFwqjvVlZDU7f1bV3Wde19cyBK0yykTVvrIvzw+vUQDNsjDBsmKLPdKB0Ls8Zg9KbffvNNb+0Ke/Xw4qyGVAXT62VR1RcvX0xzzYpJ1oBircLpWd6ne6C1IUjcrWdXJA1q+ZhcOhw/vfv22209W+/Hw3w8HNS0bTofJqbS2lYmkSLLsn769Ol4untxfw/nOpfrebEUBIQOcdM9pzA3MK3btizXy/vHAlfTZv708dPT+WpqbW3n83J3fx88lHVty/K+zvX+/qGbSa099DYGoOG3lcQNx7lZv53BtTcVOQBT48ohBZGB504eCByYiNlD1xlGQeA1Epbo0oY/s77Pg//xzai/3z7SyZNfHNfZVbV3CenoqGw4M6NUgXtrG0+zZS6Xw5u0u5VulkIakcv78HDk3t0YFKI6lJIZnO1UyQxI1fvIS0qRWviyXmuhwl5rqSLuLrV07apG7G3rsTFrgbA7RDFqLWFK3MyUpACICS4AKKSboh3V3d2ZWXXve8u8F5YbUAEO1kzEIxTzC6JNIeq2nLPRXQErXHgq1aKrwabC2rqTlqneTYd1aUzcrue191JC92LfhVk/YiF4jC12NzIdgbg7GZIqFZUNotabwtU63M2iEhAK36jTfF1X4vrhw/u1rX/xF7/44sdf/svvf9vcPjw+/ewvf/6nP/yxdft4fopwVHMzGgu1rod54uQmuruTCHNxcgOamTKb+2oByo3qhPO29WkqtGd7PmrI4BBSiMhFiAN/JApj5GEeC3Hz9q///E8IQoj56XT8/t37h9cPXOpcpr6uEZqQZqpLAfIyzG3dNhZqvV8vq1RxwtvPPieSP/3p27atv/n179+8uJ+m6enpfLp7sS7r7//wh6+++nLbGjGsG1OSN8xs2zZnbFsrIqr2dLaHu5MIWTDfw4dF1p2AuuVzTKZd5thxgtw9TTiMmWK/mRsRWGIqXEqMjcgPUZWxvLto/2aZavQLl8KmyuxTFSnSuLu5eiei3nQ3QHGBas1Iidy0E7O5UZHsdUdvpp+eztu2HeYa7CFNIKHTbvkTDFIApuHBOwOuW5XIkkVEuHJvjRlGOK+XP3/z869+9FXrbSjDY9DZQABlsSzc3a5p5O729PT07rt3IkwsBBpaIlkg7Nu6PH1aLx+0b9NUhQuY+ur3L+7a2i/X86u3L5+u5+W6kcONP//sMwBTldZad1svW50P5F4kWNhpMojgTdfzZVvWaSqtbeuykQgO3pa28nqYjp8+Pd2/fOBarx8fp2k6HudQHQ4sgUbgSXmaM173bJUYjzcCbPhOWUygZHiCgID2MmM6ycD0+RlnkZkqSSlShIWpCBu5Dnp7Yu9EMB+9UDsZK4votNchAkhNuoU7xhiyOPwxB8ZMioiU8M+ePXy3ciUhqY3xYfFRUa3h4X+yLr8jpshmjCgWujmLxCyS3nWuRdsyHaYqIalIl/MF8CKlb1stEjO8XNWix3hwDHLjuhONGsOeQ8XjGNRGJurEOx8hz/NAuOIKLT0U9uAOQYQIp2IGMZD4TpknWtra+pXdT6fCpqUWYjY1Znp6fDyeDr5sILCwgINTn/43VKWIUm+LCGCCpfdmihahUbzxYIyGsgfMqkgj7r6Z6fE4L8syH6ZaipT66fHp6ekqZbqeF1Wr0/Th46fpcPfxD3+M5IwZfWswMLOZurtp8urCxLGwqq+tff/hI0qRWi9P58osIqVwbLCpTtE/ncGaJzzoGNJmyMSRwufng90rQ920v7y/21pb1+384fHy+Onu/q4tV6NlrnPsHHLiUCdO9Awgjnbxy7Jc1+uLe5+m+t23H8qhni+Lml3P56Mf7GX5eL5er9t1+Z6Iap3fffeOmYlxvVxOpxNdL0UmVe2qYOAwjLtL4DYc8KKZCG+bEcDCpZZpmkJRPIxYpFMinPHlwGiZGKZFCnPo2ikTa4gBsbOT685myGiGhKkPHCGF2Fzdem+FStfu7F0bg6XQsrTL9QxLOfSIqLZ129rmXbetBYM+TmsA38Q0HyYpFJOKwZAcxMw3y+SDBBS5L3NixdksD9/DKcK6bICvy4KIpAiyt8mNvGQEoeQl6D4p6xAHtfUts96xBgPWhalNUxWhvnXXxhP3rR9Odx3b9XJ2tWU5f/poJHw9PxWpx/lEZsR0PT9NUyE3ImPybAkYrI5YjLBe23XB1r01M5uPR3Ij075ugLMDXR12mibTPp9mdYaqt44x0YFGP8Uedg/Ckt9INDsslIAJdscQsghlxO++JwD7+RFmcq+lTLVKIWEpQiU7s5+1jALRfgk4DTpjPHe6BZ4E0Fh7ZJKWbRtELBaJEQYZ5taSHCzY6EeN6UgpZhxHkpm0e9wvE8eQk+FvUsc47y9Twvh8G6QREKhKLYXZu5u7sHYrpfTeaqnRAGHaHUxScumZoUrjtMWV+ihJUwKbPpxdjJfyMHb7M0i/4Wlgs0A0DJQFw9h9pBR7s5gTQm7UveskfDpMwhDYsnS3fnrxYm2dDMfDsberG7bewLAeMo27K45kjJI/8Px85enxPGyI6Wa8S9yZqRpJoX5u7hoTd5mERaZpNvfLZYmU4tOnT49Pj3f3L1qZr8sqUtalHQ8loEJTq6XGiBLXUUKN4+o4X85/+tM3Tf2zP/vsvC6z1GmCJd4YaMUovT3bSkTQIHCOumBUypl+sGlN+93xUL588/HDpw/v31eh+8Phxas77dbND0XcOlKDZodMKTRaQPzx4+P3799t2/Zw9+nu/o6lbOf+7bfvjqf763VT9fNpeXx6fLpctfVay/Hobd0Ox8PlfGnbNtVSpB7vJjc8Pp7nw3R3mFlkuSzHw8QxeG+EbVFfXrfNDGa0LKurDcB6WOpAt8ABiFHGbWKpMdpDcDcGU+4Q5Nj/CHAWyVII0bH4BA/9qt4bETkVbe2yruodICExNgk+ILO711JEeDmvREbB7absIaCQIPTQ1h4XMFo5hiHxHYUJ1N4dDjYAkLjGcI1MZCxSikNDDNVtP0yethB5VMOABBB/IwgYRcuuqbGkql1Y68CQCOit1ane3ZV14W1dXKHrcphk25q2rm07P/W7l/dTLYd5fnh5fzgUmeTD99fr9VrmephLqSxMnAp+IyZGanETrAgq/Ol6PczTYS7HQy1FiuDhxYFs89V1Xaep6raWeRYGXAkGSMbcGYBiB13Sqt0yvL3B6pbzRd03xGDSAey2jG4mLHi/JhwYYyiEW7degxUQ9jQYyqFkkSSndL1A8n2jEg7AIR6LvMN+SCuJqKk6MZOZt2Q7YeiqxTMf/FAiYTCBJZqozUf7FVN+hLkjGrJhlFe6J0IcmIODmJncumsVIqeYYKxZkeZuPWAiCLVFnWDEQZrL+J3HpiHyXeabyd2riGkOVMiKjd0yL895NjszNNHmeBhpjxGjl9JRpNVzAnkVtt4JSkRdjZnmu2Nxtd4ZmEtpqg66nDe33R0mfAwiAhPKnsDkc88wISKIOCY02mjgpjHNk3NkqwEQoQbftk0Ian2aJgIR+HpeIrFblvX9uw9d+3WzwlKYtTU3YsK6rb31KrX1Nap31tXJhUS79d4vT5frsq7bsq6bSMzydVYPIIcKZTUMg8ICaGQvgTY+i20le/gI5K4q7NYbKk/Cb9/cP308fvf192r26d13tUzdofOdq0aKieyCvPlKM75etsfHq2qfa2sfPx0Ppw8fPnU17U+X85mFP3/zxeW8xiQAJoLbuq5d+9P56Xq9aO/zcT7en/rWPn38dOzH43xAa2Zmqs5EMbOTiJngXIrcv7jvzeo0v3zxggZdKmxlbm5mZnZL7UUi9K2HE5ci3dQdLKRjCMdNWhd7nBaBVvTAZW99DugQqrXUqZCaqsSC942jKT7maAJMQuam1bpG+E9DFi22nGIf9kf7Zssdj72sOXJgGspOnDx9xj7zMbYzsbuziGVYicGr9t22DIrDCEszSM4UGyMHQuIEg0sYyXc30752hbs1FS4v7o9lLpfL0pu4OAm9uLsjw/np0tetvXx593AAq/Wu6m1pdy/YLUeh5LkOzELNto6uujUWrkVM+3q+rOfLVmRdluPd3bqcaymnQ71/uOvJMQstkDG62TLo9GfgaJK5/Zm93z0D3dZl/2/0AQSGmI0zoRqe00WVLMucDgQRJAg/qSc4WnDzYywji2HMMo7cA39zzziSoo01hPPHjLromhUpGA5pd3P733ZrXkUKcUNnglMe/mcJ3XD3YCIIRllnfB9p3owYzBnXAk4k5qh17q0tl3Waqpn1rgA1dQtGTDrALJnupWZPXgO5obs6kcDd0bWTFER12lxH4QYgh6tZ7uacyZbEoFRqhRs8FjqrmUQgr4X1GpOM/Px47edN+kpEpkYsXW1rDe4WnNp0y89igOEQKZoXed8YuZmybBTpV+yxOO7CZMm6nafD5XwFuUid63zFAqPeelt7obJcr8v18qevv9He6PASBhjauk7Fq8hUChFtfaul9N4C4ujaTRWlnJ8+PZ2f/vTHP75583p5evrNb3/705/8zOvEM4gKRMZaRMhno1A9sKwRzhDAsQEoWGTKgJuSaS2sasvlosvZt6u7Pn64BMgMY3Qle5YxZUpNRGzmas4yHe9OD29enx+fiPi6LHCajoWEy1RDA0BI1LSrTebkuJzPYVtY+MXLF+54PD+t2+pky92pt17LjANpjo2zRBTdhKVUEXFApjJxZr/jUWbJN7TjmGNmEHJonaX0ZLZZJEUiHRr2WM090mlW1VIlOrAiEWRmKczMBJFSpfcQuCpVttYCwdOuUbIOgseg4Fiwg4aJD4HowYjZ2eyBVO9mIrd6blgf4NCg+sUsYvORqsZqjN1Lzy0cDVs4sNW0/3v2wyOIjvM7/EHEWnBgmqt3CAmsM/HhWJ+eLsw+n+p0qk64PF1Me6kMeNO1qZD4dCzbshYBkTvtwv/BuDJykEN7a+vKXfvG3rUQVaHPPntZp/njp0+luPbteCjzLDCtpWxbd+/pq/cbG5Z192H7jey/sa/MyBcwaksgoqEFFL/svstB5+gixA7KjRZmSQf+GDo3OTjU/JmexgAPxq2nlEQmfjuOFzCPUcnyHMbFS2pzGjhFwc2cwR5ilnErQZ1xj+jYw2MFzGcgRkhEJkSc6X/aCaLRPU6RVYEZ27WxELn33ntr7JiKLOenaSrNnMqJiQtxSJfsOJTvVTAfbszdrbNUhHCKqjvMTNViQK5nx2+IEDiDgovho1NhdLq7kQOSeZyD3Nkh7GRWhGRi6QIdHeSIySQEt63367oZzIXTdRshx71jjLx77qA91LBpT8z3RNw9bKoGikkEePRiudn9/en9u3dWlKWejneX62VZt3/553/94qvPpqkw4XK+HI+H3vrjxw/YtsvT+TTzi/sTgMLSW4tGMHdnFEqqffnV//QrFNy/fv37f/2Xb+r07t07bP7FV1/Q/UMVYaFQEd8dmu8R3y6dB6QmWihAZLsJGSBMTbe+9fXyuC2Xdl36upD29Xpu3SHy8vVnls2xg82WyZ4z8Xrtl8v6dL6A79a1T+UoXJn48fzkjMNhPp5OrW1SpdRC5rXQuqzzYTPzOhUiEhER2bYVhPkw16nWOk3z9PLlA9QpOS6uXUtAxsIgMlPikBy03UGPWlhY05tag7kB3lpLwDNodmPbgQYsmn8xwCGEHiE3a/TaGfUONyOpwXSwmBlu0Z1qDDZSJkYBM4PJ3FsnbD0R3zDzMRNvBKA+gnQarMSh9ZW7bgdLxg4EiJzJO7kDTD52soMymtmrBAPmGVbSgREeRJ/NzfeMeDF7euDDLuU8HqZ1aW5bYagaF3LyrW8Q17apeiny6eNHkWJq96fTPBVhTMd6eXq6u5911bat4XNI8pp2D0fE2vXl6VCZiki/LJfCpxcnaCc3621bVrmbT4ep93as01SPvW2hiQzmMHEjjMw336u2GZvSsyXALf7L7MqMmAoxm3fAKRqyIwwgxhA9CfqPqptRZyInkciimLTLNBHomQ6Re7TMe+AYEXsa2Q3ChoeOa2oAAiiFR6zOTA5y7Q0e9AuzwNw5kCaYdUSBBc4wJhchI0rxZ84JQQ43mIiYm5kJMcEFg1PjWkt1WCEuxH/69pun9+/YlQV393dTrWZ2/nQ+ztPTp6fXb17JdMBEbBpqkNjlOR3ErKmejsoMEtMGRtOtlim6iJ0cgpqtwHGCsjsEQDfL9sGwUAlBhlJPiADHPA5nokoigiqC3kCYDtPMJDhY38jEHFW4qSnRQYrTgbqy1AgMcyQwAYh69iBgBvnUNRorcssm4hFRixJxQOsOQ8wcJ1OYE8s8g7k78VT7BefL5TTfF5kqC5qiGoAPHz8+PZ0fpukwzYW5XTd6IHOU7EXPDCcubpqm6/XyeHn8/v33P/7xV0+fLn/84x9f3N2/enhx4Xo8HVJXljL3cgBMpoqsDChIBoYVMU0QzJ2JhAkKqH7z+2/ZV/Ku6+ram2ktVErpMZygRL0H2fcT7j5r49S6g8rj05Xw8YvPPmvdiKVMVYSZoX1bt7Vtm5luW4PyNM/BdOutqerHTx+79lrqum3MXFzABPHj/RGG7XrNmMVT546I2tYJlLxk3qUrM4FL25V7ykaKRwCJiAXzlcRhnMOkYhJ1BE/Y2eQh8Usk6g4SMJdaTDUWWV2jByfMumWdipyCdx2RMwULmkFDwDlsk4em7y3KQzQghGir79DNiPcpr2rQvRkMaAaWlvOfA2EG0ZgWu7/9nkU4kQSu5UON+WYIsTe6+jM06AaKFRGuFWab67rq09M5RrSyUJnldDo5kXZ9/PC4bss0S1/RyaCtraqb1cNd0lLSM5FqouDWFWZ9a1MVEBVma02XbTlft2VFh5Dp5bKJvHx42bfWrR9fPvTehVkRbMMbTwyjWz2UXTAw6syCR2aTSxKovMPUikdhyIyFYkadqRk6ATA2VW1tpNPkiiztWLoxV2PiwiLEmuB19hBxhLYY7dq3HA0UaheBWI3Y3d3UNQYVYnwrZBuYwMwS5JfYSG6uvRQmrmvrTiCwcNnWpUhx8qbG8CDDMbFrUNwGe4cHgyhGuPXO2l/cza1vk9DdPAFu1wWtzYUrmelSMJGphF+iIREYgYNFIQ6mat6LSEwH1JglQgDYjLTFXOWQHgh81Mycg++WcV+AQjlXJ/clA5DwHfMk86FMhcj4/PERqlJga+vWmTlA5Gk68Dw5cHl6sta6NsthckG4ig0RipkZLsayW6qORf4x6p8GOOlIVqKsyEwsqFW2dTseDh8+fpgO9+frpaue7o//8tt/ffvl67mweGNYa33Ttqzbl69erufH6CE43Z9Ue+9NuHIong+g1slevrw/HEVhy/UMbXPhX/3P//Pbt28Px9P1ci5VCrG5l1Jph9EoNJqMWTznXcFBzsOlgXpvNVbW+DAdC1i3C7oxkzBf2mreLps+oLdt62rknqrJMekI1LdNahERN1235QLhL/l8fppmaUratw0Km4idBFKlGE9FDnNZl6uTz/P84v5kbuTW20oObd2m6dPjx23bTMHMpzrPcxXhIsLQyKuFqTWz3vdM3pObFPnNYCIknEssHJpFgQGpqvDQpwqFkSgWjAm0SZhyMBWktIkRsbC4eSml1gqCQllZXABmbgQhBkHX3sL/mrmaOsE5M1qiEeXjpoNMYYnY3QLeHMaBgaB7hA0bbapmxoV9Hd154ZCR4d6erRosjY6P3ABwaBh5v0EilMBJiBQMiMMS/IzzkXh6nSZ27+3att60RbFvng6tt/PTE5GXKqVw27bzk5XC5n2ucppO9Tg7k4QMPkVZntLRELlrrVVVqUrU1WzZPlyWrlprKYeDbluvZePLp96P9/dq1NYrh+cQcbLdrwyHthP2eBjaDLjjN1VHfuAw81ILgFKEFCzMap0JNXQxGHBngtHeqOkE5rJPEAu8Q0SqWw6OwEieUhHCPXFs94Q+hiRjmBYfAoTMoXkbvUAwMzV08wIQvATxjtzcIeJg5okAlhpS4ilrReTEZTp06+5eaxVVkLsaUUyepvSUyLyP2OGhm9vZVcTXpZ0fH4/zTKDluszCL17MQHMNzvFg2gVkEcxIZ6gXpFaLEwkHf0+hUFXdGgkzWyUngrpLDGCP58PEUtzBVAxuTr13hRqgoGQrGQPG7DPXl4eJ0Lkrs1ey01wmUdDUO68KqaiV3Z3deuuHeYIUETbrZug9ZiMJATDl3khKb62UysRdQTIrmEiy78+dbIinQjr1zXoptZpv3WztqlBHMxzuX1yXNp3unta29u3lZ2+elvPpMD9+/PjFyzcQUSIr0kmf1vNPXn3+8PalW++9BSDcuyYs181d2b0vC5fAOfrlcnl6elq7ffvtN3Wep0Nd1uWunJLPO9IlAAQhEDsZJZOyBLw4QLuAhBjs7ncvTu1pPV8WIpNigIJ1Xba16flyCQZoVp72MCo5ptv1/BG9vX44zXU+TPzHT++FsV5XON0/3MtUWm/b2gh0vD8divS1u1KZy3JdiVxbJ/Pj8eSwqc7kIAjT9PhpffXmZQQm6ta0F3LtXSTy42CVJZhMzAiawMB1syAQZhTmxAllgAikXUGAcorkjJQ80ZHMO9ncmUsppZsWYzVV601RvUroBZpp76ZD1cDJzYXFYNn1JSYSc96S/5NHlAYyHGBsMKdZQl89I0TbkfsBa2lkG0GaSIZ6mNFnAWUUgHwnegxjRARmQAN5j0RkvCwLEomGp+z7CFQ9FEHWdVm2rZJo19abPbYy1VJkuZzXrRExmEupAHpXwEDVVAt821rvTSRIXJQUb4K5xkTuiINrLebq2quU+TChNeFyOM2HSS6b9XXxabLWzh8/+XQ4vXwZeKDyyFh8EFJy5hnlhBwfRR7kJh5/JDpShrpGcTMiTHORwlOtU51FyEyZweBq3EtVV2EWkZw+Ha4WTiQggmVtIhgLSCWNmD2djQmg5JQEI4+y1GBuGqvuasHNNwvsnqKxV9UIoBIUGjbr2qUUFrZauRlE2BROdJgPa9uEmTohGk2s1VpCJ9xABgu+afo314AGzRSkpbq2lcymKu7qTvNcyTTIyKZGIokLpkBSbiNykGkUEoQ5dODgdjoeRSYXk0+0bNu6rH3d1q21bbsu1+26tb61ra3b0tbWTbs2J5Iy/flf/MXr16+0dcxz6CNFwssgdohTqZP31fpaImhhM9M6FfdoEEct7N4IUAWpFZJprr4x99RQkkLMKNFkIcXTHCQt1AEntpgkzgJ1RUiWM5tF0s3wwyTSUeuBaelObVv7en37+uXHT++XyzJPXOjYzh8f7k82TdfvP8g8ffr0eHd/N93Nh7uDm7G4WjMLxIDdLWoqqh1iBKq1uLswXr588fWf3n337TcPb17fbfdHO5rH2M7RxxBRLXjUuPJRMXvuuCH+kXkn+N1377anD2QaoGfvum1bh5KQWXe3ZHBbZtZscECYtS+HmVWJvRO289OH01HWZb2/O8zHubC4te38yN4rzDYtXOF9Ps4s6MzzYepb5yLe+ul4cOJSSt+admut43t9eXcgHOA2gE8m5LFAeqCAQRyUvEmiGA3PhphFARBMk/aSZZ9oiTMXZh0BMvKkZhwZ+SYBRaqwxIx7DmUuUyVW1d56b0pE2i3ZIVG4YhlxPHG4rFFuCiLD+BQPaRM3oHBotO34wCBSDMfhiMl6PML58ZX08ESebeQ/cMeAxj1L0YEBeiiDxC3vQ052LzI+ntLDsKkT09Y2UHP0uxcHqtTWzbobKeBQ5UogtK0x8+PjEwvu7CDCL16+XLZt27xOhZjG5G2M2nK0AKq79dYJXkXqUVhADW5mva/XJRzt+fHT28/fOKGZu8Uij8f2TPceNHTYEukZBc6RHoxqQS6feSpjl0Equn05fAzEdWYmZQkQnlk42RRm1tUlxho4CvNUaoP3riCy6GjxTO7cDDKQvFGL46jbOtytSokl6poktNCYpRjABC0sRA5TAJDGMBaUCbIZgWaJLq9u1L1rgRMRC4mX7gaBBm3JiWCR1ZA7echCeexPYWLG3f08HSZ2Z+LT6fD0/kMXYymlHrrmdLo94sxJtHDrrQo2D74XS6nC5d033/y3/+f/9g9f/+nb77+5XJd1WdvWemuqZqaBfcFg0aWT5V4ylv/mv/k//e/+i/8Cbq5qFsAtFMQOOjKEjZ2n4tcl0KSuJFJIGMpMzsQxZcndAZ6nw2GaQ6fFk5Cb+Kp5QAHGEMoJ6y7kSohOczeCaxoIJlInt+NcrW8wX63VgqZQ8sJ0fP3y8dOTox+Izut1qnR+f+7rBuu1HrSpm18en+7uphcvX7RmjTpZjsfIrenovRMXA5p2ZuYeQwGl985CHz99WLfr2pZmvavNZQqGD2VMa+CYC+IYY29xU8enLMGFHXKrtTZ4a9v1umq0JbdGTFOpVaQIh6paoNvREB+FByEjdBE9HOrd3cHaUqydXh4ul8vd/4+rP+vVLcuuA7HZrLX3/prT3D76NqPLhplM9kqRIlksS3JZVEHlBwNVRgH2S8FPRqHqxYAB/4JCPVgw4BJkuAqQS3YVJVGiKFJSpiiKFMlkMvuI7CMzmntv3PZ037f3Xms2fphrnxusGxkZtzn3nPPtb++55hxjzDEyrFaJiMiYeOWKKWOXvdaKgIjc9Z07xOanqDngbl/VDZBqrf16Taju1ZTdBVAdWrCXm7oBoJrrx6HqeGHuhuiNrwhm1UBKlVqj3BCTBaIVAUGtRwyo5jJlImZ7MzcPw8emmYEw/XEQtxbACe4EJKbmypy8bbKAh2o2HlUDAKfonxoduPwH6GN6luAQlpfVqMs2OYBjtPKL7MeevJ3Li4/NOMRI+rXW+QbAjIBOSw1sB0NjHBrc2MaFyxujHSgOgKRm07RnAmdVUQTrOBOiiw5DP6w7NTg7GaVWESVn5C533fm+OHitLibu7AaRXtrOmEAgQhkT8lBTRCenLqeUoBs6laWhNJjGGZnTwSrlxBzHfHzvBgvcv9DabRpsY5D7k6NtISEazY2gVarUFE1TqXMwyKaQOiQwYkyURUTEDDxBIxHNnUMZTORmbgqOOTNgZgDvwTQ2V57I25vdvUV8FYNayHji5kNzQkBARjS6TE1WdVUV6jpGAq3EMd6qmYNXAM/sHbupUHZEIJJMjjmH6YmKUSavauahX7JliwjcIiT9khmnBJSJEFJiB5/KVEtNSIygIkTdQjEtcvy2Thbm5mAq5uommJkTERgT3v7gx7/3z//ZerM+PT/nlE0tFnZ4sWsJRRgSqxkDgQESV4TzszMIeyzX8DFBB0ECh8fnZ6LSrzqpYwdO7kPfceddAnDvckaPkVy0CCYKAIf7HpigVfU2GzN3bTaSGmOigZsCAVDk1gf474CE5qCqDDh0fZlLx1xk7hOD+5BwxWgAzLgOBKxMtuo6s4s6E1id9jikw1X/wTwdrXpG2I3jVObt8dVaJVGOrIJaJWUmZgc3UEdQV9OFKQTfbte73cVYxmmeVKVIGbqOmUOUxOhAbODIwfcwAVI48zXQNTix1v5QZt2Lua8Ptt3ZbneyR7R+NSg4pV5cQ4cWn8RhgZAJwbXv+GDbrVa43ayOj46IXKbh4HBLRKaSE4KDVsopMXLqAFHHeWJOnBJDAiBxP7/YIfJunHMRIFCwfpUd1UykIqx7CCMoc1MJg4Zo6JAukd+la209XRjAaXD7LcoqVsoQbFmMaAmtQR0/GdCX46TpYEx9QSkd3IzC855IRRnJiVTCnRYTZyJEyEixvmkaacCtJBknLlWI2FwWSRU2yEoBmrUJAlyiFq2qRV9qzdMlXvCTPh+WNreZPiz4PrbRBkJM1xTXT+RRl6A5+iUKBss1aPhwfF1w99RxZ12YVcy1oFlOfS1lNeTcs7mpuZnNpVLKTLQf59x1BFhKrbOvttCGHl8wroDC0AGdE3XIq8xaBQHMVVQiGkzVhqHPiRyanwc35/amuzdwIvZwFtVm7bmgeZeTDSwNDwCGx+KlOshDz528McJepbq7kitQIkD14gJOtaqBqXEY1JC3aRoMiLB5HgMm5va2UN++fCyVELq4gREyIQMgWIPqLnlpasBSgETxS2rEvYkDZASTAkDqotWn+SxhGufz89MzqQXAxv1UyoiIu/2IlER96Nc3bz5NHn2TLyiUL12DmTmn+LVRWEN3aZ5Htg6Z1YSRORGQE4f6JZoLYGpuNtHLBHvNCMbQMbkpExLI65948fBgLW7cNiWZ4nFTp2bR7hRr9VLVXWOcJjo9PSllNgBHDucScHADca+E435kJq3TqqODVX+wXjt4rZJSgibiRWToVmskVCNRyMMqp147MRcAJSTOfd+vhmE9DEM/bEIzwo5rwL4jd0RZeiVAc1eAGOrrXDKau637PnWcMec+m1ruOkJw8/003u35x2V+/N6HU9l94uUXddqv4eh4WB32+aDn/e5cxiqi81xMTdQ6yojKiRezKQ48wU2Q0dUdPEJmTy/Ox3G3u7iopeTcx0iJi+gNLj1zmAHDswqbcUTjOcEl1uhjRuTVwcasDAfr4+Tj7sKlZsSziyn3IlXCsD5aQ20FxJjh6tHB1SsdkieOAUnT0BGBuwB77siqpTVzYlcDNDfZdpy6VE3ncULKKXe3rl8Z5wpuWsXbkwiY02Y99Cnl1FYZGvUUXtnoIYj7mIwGlrf88ol3BGRiQKQUXkmtAjUxJDTdNi69NjZ2ZAFAoNkQuTahW5wjzGEL2hJGQ1pK7ITNFv6SjV56bQ/r6cS8248pdyZLnW/ye1d3BOPFHszdmxVQq/ZLpW612f4XHfTCW+DykDyBx3wBvtzAUP1JrE9gTCHwanwy+OXfDFQNTNuSlZl3Q0eUi8wGbqrzXJgdE5VSRZRz5pS7bAiTma261TiWriM1c45cufACbc61HhJQQAcnwma21mVA5ERQ29FDAcAlIk4q0m96RDRtKj5vPT62vh7a79hi8ry8UsdLD9S/dBhg24ZzSxEUjoQp5dj5DaseQAckN0BzUFdzUiNEc4+tKSaO9yggQkDkWuOXAHBpaokERo4c1Z8cMQkvJ7mDg5oSUWgSlxvZ0NWlMgGhk5vOY864280X0/nJycmHP/kB6DTOFxcn5yePTxGcU1aTxw8fi3g0mP/1f/lfrfvhYhwVBWKYCujDPPz/2uKBOaK6KjmCeSLuh14M1iuUuRJCN3SUWRUc3VCNzBqD4bFljohmaipdQgI1s6qiUo4OtsfHBx999JAI0X21WtW5MLOBOjkCqwISSJW+64vMJhon/7jfNyDDLciRS5mXGZCDghGxGlTzlHvOgCoOgJwCKSXsIi8sYU6KOQ+16jSVUkXECzicKqaUcoeMTA5IgNxx6swyYZUY40lEACkzs1tQ9JToaHMkdfrwJx/86PYH9+7cu3v3zoP79/f7fa3zNI7qGlb+VWQ/1+s3j303X7t566lPfO7m9etYx3meu76rKo8eP1Y1MeswnjQLGt1DdCQC6Bm5Wg1fWHBLRON+V+bx/OxsvVrHbknM+m37CdHaUd8MeJHCGtMdFLFrwkICZEo5pX7d9YfFgId8dHx0dn4GSIdX4ej41mqzEn2Qc98uaRQTt8TUd8BEWktCHNY9c+c1+mVsTiQ9MYFpNTNznafRQPvaI6X1MFQ1LdOktRZLhNvNCpmNWNtESTH4B8XdAN8njzRErmcb9cHDxSwUooH3x55kSqylOZYjIiO5Uyut8YMWZyRaVqgBoPkPxUKPuRsiA2CO+ObwUQMDADN1c4qQAGiBM+FAvRQpIEI3IyIVyxkuixEuPXiQfW50+drC57yNN204QYwtyQXsbih9Yw58AdaXT9v+460jBgwvvOVjAy9vIwRhizrw5QyAS7QEgIhUF4zS0dSRqB/63JEjUkqcDJENah5yRGCO8zxejMOQNgdrdxfRJldqC7UNfwkem5gYgVNixtz1zHTQdZwzJwYgVY2AMELGLlO/IqKUkrt7Qw4UkS73tJZbAv7Su/yxkQeWDwsz12mczCWBO4Dbk/nCI5SFiZBIzM2hVs09O6C1hoKQaJrnfsiM5OBVa51nF0k5XLIRWoYkISE7o6M7ISaihMH6OGAkGKgRsUei6HLrqAqAkSu76zyd3L/z/o/fPbvYPzo/qaUACKhwAoIkImY2q0kpcynjXEDsrc+88frrr5dS0JVxmeDRmgbaDQESEbqGmTqYMeGqz/NcYsuBAnuhhbBCN9Dwl19GLCQiUwVCNVWtCMjA6AYOzAndX3r5xdt3PgKElLnMc+IE4Qlq5hGwZZ6ijXQAAM7M6hcXZyqVu66aRDUzN3SMhKY4BphcEaoJppSHBKXmrisGiVOXs5m0G9qJHT//sz9/dHRjmmUcJxHnRAcH69ffeCPlTAS11mHIgFhNCIBcyNGdTL1LSc0APFKSiLDn7rf+4f/4h//mi/vzczdxIEJMxETITDaXiDzXSQF8YD578Ghw/PDdd3/wkw+p719/9bUX33x5t9+h+ZVbw36amj1DNF9MEOnrCx4cJ2tb5nPv+3x+cnr96k2rtc4zNswami4+jEQWmLgty7aKHz9rsmhHV7dqqqaTVMqZasrM11YrUQXi3G0oJSJiZilRx4EI0D0l6Ni7hArYZUJQGUXFImyAGTAhMob8LyV3t6HPl+1NzonRKxAxd0Tm8PhkN017R6bE5iAp9YyYmC5HTGz7Ut6eZ2uDztLMPaH2AFp0RJM2YhjFtW7PFZeS6k322dx1AhY3twjjBAhEaWmmAU3dM6nXqirm4EDMVUtiJmAgMKlNO48tsPDJiYUQawJIywcsQEs03AbuLuBh2voE7Yln1SGEuO3EgEv2ok0tzSJlGRgueQu7/DSE7UBt2eAL0rUcOssC5pOTERf1lEutLpXcAcwVgHEsszoDkqFTZq11fz7mnN1RVPq+7zpFAgfklMLW3s0xERKAxnmGDoBElDjMrzil1KVhNQybXqs7es4dAKXMYGgO1GUgRmIAEjHMHMi2qkZfQh/TBjy5OJdNP7YBi5hUBBBzTiJs4gkJ2RHctblVIFFDZ8whSN2QfAJgEM3BeufcqVrqSFSZk+WKiaf9TtD7Pp4Mneeioinl1Pc5992KxURqBbOUklVxb86HcClkNjcyIJRaTCsYdJnOTx49vH9/vT1kx6mKmlqtxKhSFMwNu37tCB89eDDu9l2f33zzs1JNVIiIDdSc2T36f0AECQ40VmY4VlJF9qPUuYpWotQzRUoAo6V1B0QG6miY0dTaDjI4Mam7mjMnQk+MCEyESCll/synP/Xv/+TLZAgAiRNTu/rLRAKGRkQa3ltuIeDe73YqgpwwtcMLl94n7IhDiqvmqobISHm1WdUq69XKAVQkTjdmciAGvHXrmWefedmBHJCIEZ0QTKfTh3cJORHVWjkhM4uUAE9MLKdkajmxmqkZIfRd/tqXv/J7//yfrfrUp6RqoQxawjatHxIRVakEICrmFdSlmhMyGCPcfv/do+tHnnh4qrvY7y92Y0AaFqfqEi+EEFijgXpKqRRBRDBdr4bpbDedX/gNkVKmadysto6OhMyEEJlDHgL3MIZEJF4SehaXG0zMBXx7uD17/BDJKXO3XlmpqtIP/X4sXgsgqJmIxBZuLA84KjGsurTuEwyJyEEJuh6gkUtiikzO5MYI3MQpovM8E9N+v6spcgIhJRCp6pBzAqa5KCF1iXUhcgNOdWh8LDg+ebaxvSIm/Di2axbzedA8bta2tc1s+b2GqlBTiOLSM7Ydbw3rWQxzaQt3xTiDRKqKBIUgqo0qMER3F0+czJtX9sd8Ki6Jio+dUctA497s+UKtABDNvz0RAF3Sspe1GV1NDUzdYleobbC13nbpdRe0HxEc1T0tgBA0+Y8TUkO62plyuVG43IHx8sBcVUuVnIGQCaEWBUVKWF1Y3URXfV9VKLHM8zZl3hCgalUiQO7dA3xE99jSonDMJCYmZqRh6FZDbwDIDMiOGlU85QyOnNJ2u1ZA9eROwBkpvBTxsrojYPOQuTzWntBDDpcgGiK4MzG6u2HiBJQSEYj4gvW1Q9H9crOmbYZZ+0oerCAgVKkppVKFAYvV/+7/8ffW6+HN11957tlb835PBJmp67vVaiUmB0frOtc6jpgHt+Y+joCxQ0CUAJAa0mCAIFLNZJ5Gcpvd7j18sJvnD+7+KNbMUmLMXZFi5maQc16th49+8tAdzHG72X7+8z9bdXJzVQcCRiZEC2aLWgQEghE6IIE2paDVCqomXqWkLkOQYU4m5gyUGBxDaskpCPCAYFFVI2Qelh7UzKSW5597XqS4J1AJJ0RtXoOxF4kOUcSdYutEBJDHcay1pn6wlirdOGfQaJEQokMBUnMRl2KVLaUuSgdz11BvWmoQ4FwuN7yIGU2E2U1V0FOmnggQaksOaOrs8DdVs5haojO6f/+uq0qxcNQhAjdLnFR1s1p3XUbAKrXM834vdSoQ3hWcUQtbmnYXdZ43q3XmbGIpmtPlho1i8QTENKNMDbBu0KCNu12Zp4uzs6PjK3OZ+37F7ZMgIjLQYooB4M4tzAJbA2SRzUQORMSlTlIVE5gjUwbycZyrjEhMnJroq9lMoqpRGA45qErInWUWB8wpI7Go1nnGhGguVcySu7q7q2UCQq7FCPN+rEDY5X4q1QwdUDW6KxvnebVZ5UwY9GWo/p10KX4hVacmRWtKlSAqomw1qSsgIhoYUYoPIoodQGBkM1erhIzg5ESAphb0tVmOq9WiXt0ADQPtcSPIyLzfT+iQmWapHbGpIVO4xlgULndsq73xJgIA6pMEgkYSgAOBi9ZEbE0UkrBBB9GdhSVGK9HhVYEO0PCcOLFiFGh3T4BX8DGiAZ0AScHaXggQITVEqG1BL7fWMir65VYaAiCqsyj2/dCtSGapcyXCItphcsOpzDkntXnajYlyn0hLcdD1etjvZzEZAMPZDIgBycDCggvNGanruoFpvR4iqyeawgX3B0DoV0M/rFPuqrk7p6HjTO6aIuIAHMBjIGMg8QqR3gHtlTVG4xIdcwAEUUmZDw6O+iH3XZ+aYKc9X47kZmZIDoagSNQc7Q0AUVQZCRBNvcv9XOacswGUWv7JP/qnYuXTn3zj13/1V65fvXL16tGMbhe71TCWqrPIZn28OexjmzxxmucSFHomIuRosmJRiWPL3ywnXA3Dnfdvf+mL/2a3n+ZJJqkIhEzc1kHcDbrMuevnajkjWLr19DPPPPU0+CxlMrdEzAhuSm4Yvq+hCGpkFFDLukIC7FI/iwzr3Oc0T4WMuq5TNQfnnMiZnFIEGmG41YZmyQA859S8O92QqMj8wovPMaO2BF/E5ntq2ERQ2E5nREDg1GIj52mUWiC24VsLFL2aRcC5uRNFzgOJuyFMU+l7zKkPHDb6iygFKbEZ8rJsn4gBQdzQpda6WR0kTkQopu6opugYx5Nagxosxg8EZq6lJqauYxCjlDFF0lPNOW0PNyqqIpEVNayGqRZVDVAy5xwGzWW/l1oi6UvVGpQWkduEIAHggKggGRIvdvBAhLVUE99f7HYXFyp1nqcyzDkNsdgRb2Sj9cADC4/Kj0CMmIhNjRCdwi6WDNFEojrVorUqA9d5RpaUEhFlTpOWFozWqjDN87zq+sycegq96FyEMjN04zTN80QpO2LOWc1U/fz0AhH6biUCZtR3nQqUIgCcuy76CWLGit2q63KKxMrovtvlaemv0ObGaOEWBCPOzSemQOBuwMSNvmWSWmMYUvNIrHvCJCIyURWh1DUoGBqP5LFT6hr7FOHrwEQCSByScAdAc+eUVGpjhht63jY9l8YdCFEXotWbZNMisJ4uOQ5Eg5ZgQ0gQcm3E+IQx4gU+Q/SE411gb7+0NoGF+o6pDxcnRVtOpSYWWigDfMJNLHxAgItI3HdOIiowa2z0mrm7JjVOVMX2+/1mlfuhJ+d+6JjZzPohp5ymuQK6m6mG4RW3Q9sRzdFbXpvFCkci7nLKPSIAASeilIATd52oppxqcTEl8sSwaGptIRUuoz/xYw47lyPN5dnbnJdqla7L6/VmGIbUsgrU3ZoLUAThAoIpILOVGl8hZtdIG2VmM08pxYHzk3c/ePj4dK7jl/7Nv3vn7e++9ebrb73x+tWrxwcHBzknICwALzx/UE7O14eHqovzuDkCGjgnBoeIlQi5DQKgW2JG875jQBSVatUd1L3PXfSkYOpkc5W5qgGK4yodfOLV17uU5zJTIo6xRaUpn+LRcWAEjOywmNAIqgkhOGjf98RYS1EVM++6rhoQIAhQHIyt6cC4iRFRao3L7KbqaqqpB8R87ca1zXZ9flEc3FQpZUTXZovl7IvezewJuOk2T+M0jYdorZ2CIFTQHCIMN2WOKRYQnRFTInVHak6ksZpmwAQAaGJI/GSwNW1dpCEhuwEwlFqIc+JMYk0baiHtsEC3o8VWEwBXVanWpcSczM0AVMTdL87OAbGWwpn7rle3Vb9SkzJN0ZwDeplnM4nQu5Jm0drekEV0GEeOmi5W2ZEOGUyNpZRMdXd2Ua7P435cHR6O8zz0OSd2XEBlaJS5Yew3mLu19Id4H91dHYDAgIkTEwCpuLB0/dD1KZt3uUOAoOU5Di4LRtYIUx56SKRuDKjuIrqfCyA9fnQGZO6oVcdxB04tN1olZdyPk1ZFZjPt+wGCIGPYz2PfrZAC4RMEijrChEwE6kRo6o0GaWb9i84OFuKy1byGciM6Rlo6eXh+Qcz00MT9qpcf1wQGC5jQJjA3c/VgWmMljhJFRSkiprWdIqgAzXD/sqLychR58AjtszeiB9q4EtrwpR43R4dLq7qF9fgYtXkJQdjihWeXOP5lh7vQD4uGIrTe4Ms3Zy2cgMDC0isyT+PBCTuDS7TKEPVou0bsCJXIVXTajQDo6imnWeftwSoPmd0Y08HqIHXp7OIipxyKczWrVYcWDuONz1jQeiZKnCEM/tApEzISExAhIyghgWoFMFONySyxE4jKTHkVb5ohuql5W8tG4GDQlhHmyaVrb267tJhSiicixXtgZvFGmoUdAxCRGDC6qItY7iIAOCJ5CZHUQhQERPC97/2gVJ1GGbp8/8HJR//2j/71F/9gu1kfHh/euHbj6rWrb7715v/mN28g8sUoBqSiq2Hw4jOjVG1BFqHoA0BHNxeVUubUs7mst+vTkwsw2GzWSLmKBrYHCKrogJlYRFCSr+CTn/ykSFUpKdzPwTHWtdwZDCIP2j1x5B04uTMgcEafCaloTZj6lJhTqdrcVgBdlAzIEdyd0GMpFAyaSVbzvQL3lEOCCF3mp59+6ux7P0ZokSp0mdsX0gaj1uGDETGYEqJqkVoCpcJGxRkYx3mzkPbuDGqqCJTYi3BalIOARC2nAaH11w4ACT3OPHQjIKflsXcw44zVpe97M0HIscsTko84EojI1LvcNQd+JI77KUPVSkzjNKXEgU0gBdEQcDxDgymEiF1NxskPdbffV1dtkD9GZLK6EYK6mCkzWFteMwRQdWYAw3EcCXG/210xMxMzU1HKtGDjcUKHtfnSzwJg6NOsVby4Msw8DEkqTFIQKKecuwzqxIxAKaXIdCVEBUcGBHJzLTqj1GkG1NR1xOnx4zMzyF1XxHYXewCaqwAkUQPzdZ+GzaYWAyYDqybJq5qu+q7rE86KpO7AYExGjH3fQWRZADi4xVLVYtitLsEDB60KT36EGjKGBwIAFXV1Zi5FVRTaUhuqLsWwXXEPnVdAPWZKS74AAJqaRC4zEAB0w7AGGHc7Vau1eIOEMVFoxoLTr95s12w5XLy16xinMYA70mXmYJvZLlkgQFTV1DKZ4/CLzsZhoW2XpQ6gy6SiyzMx8PyPUcW0jB4I3L6Z5ZPGBPWxr9JOEQcjqEO29dB3HRepDrRZD1pUqniibV53qwRENpf1apUhVZHtdm2x4szkpjUpMYQFdNTiiIdRcGROXZcTccegjoxO1BoVUTBlZ0Z0hGHVy9IMBjDYuCCkhIgIqihazJ0Wz+OlMfj47QFREZaZMtT8nqztVoGoooMtBvJIiJhrmQGBE5VSYweECKuZGbt67hIRk9M3v/mtqYgBTlWxemICyKcX88n5/Q9u3zPTP/6zP//tf/67165ff+PNt65eu/7ysy+99NIr3aZLTKoUOQOLfCmYaCyl7Kf9eDE/fHB/fbD5q7/2hXGep6kgohlosSqKDJwG6ODRvUd37twlx8Pj7VtvvSkigOYGaIrckIClyABgSoymwsCEYWKOYsYAZro+OKSE9fyCGbucy1zTMFRzc4EmwFjmxdaqxCaLewAsqd3QOZOBvPzqC+989weZhgWHRea0kHEx3qIBECVCBYeoL++9+6O33nozV9iNk8oEQJDCUhHBwQQIXarvZ7vY7a8eHjYhNobvXWQJhz7EW3QnABJQyOrN3J0Sixa3vs7aD1mlGlAxBCdvrqWw9EMhEVEx2x4eADoSmklKCdRzSnsBylF1SEBV1B1Szg6gqkgc44sbdH2udUY3LWXOpqgSe62I4WyDiECoqo6uakxgbioK4BFQ3nV5nmcTLfNcxrHvB63VKYG5mhI2Z4QQgcSWdaJQkRgCmBtzVilEHHOcm7t5nQo4mPk81yKyGjoVM4NEiIru3sxbiByoVDeVOouDrDFDrV3uYgQf9xMYItHQDzl1s8zTOAGjg6eOOCVAMlNOQFXWa+yHhLD1hKqeKPXrPjGjikGo2+1SowJLwbtkPRvRE6sOYbvvHi4rzcbCjRMhxijj4IatW3c1z4mXJsFVvOsyLT46zE3orKqqNk8zIkmnRPT48cnp6enJyWNzOTu7OH18+vqbb1y/cb1WFVEGwIRMjE2tH8YoFS9LODi1aovuGpllredrZaupXmkJvVkI4GXRyduY2KxZGwMAi2ao+T9AY3aD8Y1TiAw0xK3Uul73pVS2gumXqJWHqNZNEDM45JSqqKu6aM5MXWcuqApq634IFWPCZoGaukxE415czU1gceTAReUECM6AiVOXQsLAKbinjEjaNrGpHzqIGJ3mX+/MASKDefNPi3meOQNK7IwsFPoTNOzJ6diAIQcAESGg5Aa1qoUKKKYAVzdraVqAgCgtd89ipxwRxBzcEripFpV33nknhrjYv62B7SKpmVd3B70YT05//IMf/uTP/uyrnHndrVNKz7743H/1X/+Xapa73hGJw8PAxAEBp2ky32am+w8ffHTvwTwrM9Uiq2FIXZf7rl/1YkqUh21f9jOYMXUvvPDCkFelTmA1EWqtnBjcl1xFRGRQrSpdSoQqIi61SkHAru+6nIprnS2lpK6uYqbQZ4kBCR0wQOSFJWuZVFZqHfrkGG2mhReWlPnTn/7M7/3LP3SxEGXAgvqENC6eP0JkWrp2MK32j//Rb7399ttvffpzr7325o2bN51gLiW6J1GjzjERxpgtSojAMTC0Ez/FEN4yFlwjX1Mdrc1YDjhNc2IC0MTZVBxJzMQAQAK7iD1gbjiiExASXL16tY32akqkJmZ8cLidSwnRRBzeZlrm0udc6gzAZk5EzCkzgRojuIgFPq8abuNBtJoZ42W8MpiHl5ZpFSY+O7uoRQ19msd5P5b9BFsL4YC7MxMu33AzTYvXSoAERGTgEZxAzDEuiFT3Ou5mM3Tz3cU+9bQbJ/DeQ/PWRIZhw0IK7okx9Sl3lDknZKbc5dPH52WciLnvM3SISCnnYRiQN9M4R5Fixs12nYhyTomR8HCcRpXd0fGBgO/2+8S5QaFmxAnRELVxRxBcNF3GpjTBh7ctnIZghFFvCB8dEbHL3YXuHHx3sduPY5mLqJZSCSn3+f79B0ThxlPdPd5+RpYqXdeN++n89Nzd7t7+yNzM7fHJ48ePT1VkvRrW681+3L//4/fc4fTk9GC72W7Wm2FVZzGXlFMtFRZ+MeCbxtV7bLQYLJW9IdXRAMbR0dryJS24tc/NoKGhSY29jKep/f2ljWzB99C6/XBBbQpRhMapxRF1mXHxRH3wZJuKHMJLFgFMSgXF3PXd0CGDVJQy911C1dQldBIXrQKILirY/I68PeXNtQ1C143uiApubrV6t10jOnGisF0llCpE5ISzSN/3AARkqsZIjX9EBDWEti7PHOUpGK9ltm9XcKE+oJUfU8NmxmcJiIESoCFE1EPj3VFRTcQC8AEEisfSEcy0T0gEotKltLvYv//e+1IqJWoiQjNzlyoLtwxaNWSXGI982bv7o7PHDx4+ODw8TikGeJJag8SrVRUMEER1mubVsFmvtlLL5nArcy0X0+R7NUxdFtkd6SEaSFWB6Y03Xu/7pFrMtVaTMmfLTORIsUeHGBOHm4ioJcpImPuuWhFzF5/UNut1GXdazc0xc0Z3VAf1ZEBmFthG21cnJFMnZEQ0NVPlRO5uVYi6T735FoE7oEjtUg8ABpASx5yFgJFUSm0Hj0UFmaTUd95+51vf/g6ArzeHTz/79Ouvv/mJ11579bXXrl65st6uxv3Fbreb94LmMpVV7mLC4BYl256FdgtcbsogIpKaOrTAWABHdKllnIth3hcjrQgEmIIGc2u6cjNDs+ODY8bEnNHUBRzQXLZHV+vpafvIllcOSFBFYj8IHYeuS0zzPB+uV6TmVZBRZM6JEQAJ3ZCZte0BLRoGYNdCRNXMEU5PT6exqtvZ6dlmc7DfXVyRK7E/3Na+Ak8Lt0hzDKsgM07ZzCkTIZprW+1mdMS5zHMtXR7GcUbklDlJTpyYiTEwCiSItRB3M0cT9yHxZtiqTHUq8zgS4uGVtVU37/ouLw26mfm1o83hleMqtczFzXIiYmB3zinzGigKkiayKpZTR5yJKHU9owNe0jrobvEsq16qItsfUvPrbfnYHqJ3N0baXez+2//mvwHAWAKMVqDhv4iHh4c5dVVkAZTIVQHgwf0H3/zmN46PD8309OSkioD78eFRFZW57PfjtNvTdR764Zlnntvvxm997Zvjft/16fjoqF8Nr7760vG1ayl6mlj5X8D4Noq2W4UsoO6o6JfwTtP+ODUf/1AlBUaET1RByNGjmGr0/pHFtLAFtBwMaB5enIJOGIEWtvCi/sSI9PL7gIV9AMQqqqJTFZE5ZBniBrWueEgI1JmZiHlKvauoqlu788yN454kCo+O2BvldqI5YtgeExHmvnNTB1R3RuSUyUnVwImcawVAlzBUM3RzRlzskZrXXpt5ws4n+ssGAXk77JY96ZiMQ39IaGmeZgUFwGa8otEixt+n5qJj1i4wupqlnMZ5Wg1dbLfdv3/vYneeuxQroEHPmhlzCk83D0thSuEpgEgiBuBGLkAK4HH4iAZDm3IYL8I0zxm9X60bS+jEKa36wUxXw1DFqlZT5EwXuzL0nYi8+vKLrgLuWtSlSJ0RHFKe9xUJu9wRUngwiMxIWEWJbZaxetkeXC9zHad9B1yQnYzRcpfVxJCNzNCjE3YAVUtLGGnUHTcnpn7IJopE5Jj6/MzNpxLgWEo/rBw9XDvaGxNQo1tM+4SUEosIuCfO0fl1XQcit9977/13f/yH//aLTGm1Xr/44kv/h//jf/7UjZvr558igiFlBkpMagbI4M6JXV1dMTx8iN0h6CJAJ8Dw3Ha3i4vzytncu9UK+n53Mi9PS2u7mld767386MrR4dHRvLsotaTECMiU5mnOOavUxisGEAFuZjl3U6mZEyOCw9B1KTGBlzozgYkwhU0gigmH1TEQGIKRu9RaASD8/RFpt5soURnr3dsfPfXUM1Krq0oJH5YcJx06qNSU2LEB6fGEm5uja9DD6LES4cRTlZw7ETXTx48ewomJG2M/T2NoD+OVL/aWaqrE0vWpH3KdxJNCppx7QPMB5rFQo3vQFfPQ5ZxNCyNs1tnBQc1Eh3W32WzAYS6zqHaQyEE7Ozo6Kupx4KUE5FotyG1GRDNxcjONbf0FCoEoroRgLgCUUofosRJ47dqVeS7DakXAibHPHJNuyrkGcmXFxCRSfcP8T3WepocPHpVatps1c+76lalSTseHB+5+fnouIg8ePIjast9PERojQmenF3Bxfv/evWvXr08CtUgU8AWuh0XsEDzYQtCBQ4sPaQUqxpfmNBoaF0BkbpWakFp8QTPpi1Jny8h2yRwsq2EtxcPRoeUXNkzpSWsMAA1MazNXOM0namGyxFgmISJkqrUwoqrmDnPXuYGBuqmZhvcnJ0pEpcwS0QihM6FlCokpJ4JPANebTcoZMYu6I6qDzkIpqRgRidlc5uiJh/UaKCNmVQNgRDKTBV5rya7xwhst316dA4CpLR1SI4mjpib38CAyUW8gbMMaHdAvEyRitooRS0V4sXs119t3P6y1SK1IiJziK4ajqhmaO3NAZAZAzETMsRmYhp67nhO5aZ95EgG3hERAidjMRDQldk/MvVVUwJSH1dCLlNVqnauod0VkGss8TR2l7eH66aduuldEQ1eRMk3jNE4GuD06HPp1NZvL3KU017Lq2FyJaJ4nQ8/r4Tvvvn/1yjXvDx+cVUI4PDjS/cVUZdWvQlAR+fIhT1hSblrjmSiv+lVOWOtcxgoAq2EYtquh7z/zqc/8xV98vZRKlHLqMLT/wJFfH6yMgzOTYWKuBp4Sx4MAZl2XzS1TTsTEpDL98Aff+Qf/7//h7/ydv/PTn/uklDlTAncVi4wviyyExvMAJyJHA1OtKefEqYomzMDQdesyjzuxucx3fvg+d6tuc1Ugq6OGQikCbeBSU+AE+MlPfepbX/8qOJZ5SonHcbdBCGox7h1119qiq80QHKvV/eju/sprr0/TfHK62x4SQ+rzRqtDxwjRLkh8CnRGIARqDnpAgdWLqqusVv39+/cQfNzt5mnX93m7XrtpnKfNAQgs2BAHpFAxEagZgjOBqqY+A3BarevZ+bAecq9lutAyjvOoJreu3egzBQrW5DcWP0E3yagy7sZ56vtMACnjaiDExJl13TMShnRHtMu5VgGrBp4pz0UyM6/63Hcitc/90A1VqrkN2+Nqaqp9zoBhx2oiQjg0835wxIaPkS9WME3JHh2fEraeOIY3cL929frR0eHF+d4QcmrbNszJxLvUoxNisMptqHB3KbXLueuyVR33EwKuVpvZpnmqu/MREURkniZimqY5911Vie1OlrIzuHrj6sF6c3h0OD08TYmZWFCoId+NVwroHRfRR4w5ES/mAL7wBMv31LrZqNqIT/a1Ft1nfM5YibiEx5qwqEV7Njwnzh5b2v1lloopxX1RITlYc48ghvCFVbVaxUEJSURmdBFdHxx1q3yx28e6FBAgo5gmzEuXTwrm7Thpy8kEASGkWA4oVXCc+2EQNSZWQyLuhvXJ2QMqkrsBHE9PzrbbA069G8TJLWLN0Nm1vZLL13NJZX/s2I0LDv6EGUZEd0shooiFwWCeIEDFaFfcsa0ZA4U6EMKtUT2RmWPC9997X6oQN83uwvDE2YsEoKLQIhwwRHIMRIQKzjmbuqvJPFud3cCRTVHCT2YquRtQkZwBcT1sYo/DwroOTKqsNuvzs/Pd7gLZbz11fTX0+/EikUut5u0Ineai5xeCmHOPkOZqRLQf55TAgLp+szoqf/Tv/vjxo9nsx0p5c3jQk686fPbm1WvHB2IOmNwp4nmXXT5roD26AzBhzmmz7hJvyzQBUs5s5vuL/Ssvv/KVr3xt6AdwAFcFMzMiUI0MLw9BnZiaaUqJU5JaNdaEHMEsEnSnMiekUurVa9d/7uc//0tf+IWLk5NbzzyVMM3T3A9dSiGhRQCvoqY6DL2qJnIzzSmllFX1MgIjc37n+2+/++P33nvvJ3fvPRjWR3/zf/u/g2ErtUJDcoJYNI+WyxEQP/szn//jP/6jgdPm4GAa99vNVlQIsNYKgMQtYQoApAEYqGJCdZ7mi9Ozw2uJyKUKbfMmH8Q9YhrbTt40cuhVBdETk6io1K7rPvroLiAy0uHR8cP79+7cvvOJNz5x9+5HKXcA3OdeXYFMrYbMJCbgRgM4mpuqgZuJqFY3G6f9xcWpaf2Zz3w2oYnpzWduuum4H1er3qQ6hu8xNfSM0EE7TlrKarMeupW7OxsjavW57pm57zpKPNWZEdlpFnGw3FNKjOAp+Xrdqzk6EJM7mlktmnIOrd1cp25tucvxNBOyGbVpShUZHIGZrQWNXz7fwS2iSPCFHOT2LKXL+Mbrb/75V76SKCFQ13UQCKQ5IJRaCFjF3NzUtDExmpiHvp/GeZ7m4yvHKbFZhiC9AGTSnDuR6uaMdHhwcHGxQycw4JTWw/ro+GjcT/uLHTI1LudSKIpRf5eGAswAvfX+AfPEP+BuCNQ2UKAxtO4AyLGUFP9rIjJYeAVYwLEFyWmokdNSFBevIVgUGA0LAgfwZjfX8PGGXzEiIzrlIQc323lS16ozoNeq69UawY1IVMwgdxkIMSLLCRh5wWIh+Gek0KiRqXuH4q5zqeYOwOYpQ9H53uPHd27fBcDDw6NhtVLR3f2HCuSAzBwajWjjL2kR+Fj5XZQqrfg/mQcQYKFcAo9L5qDt6MCAt+OOj8ugatzs/Si0dYDoYH3OET7p4B++/4G6EXGtEl82nDSWFGhAjhmtbfLFwh4DdSl1OTNYnzCBcCKkfD5O7ixVVcpzrzy/6YcPfvSjstttViuwNM91nucupce7083Buopks9VmjQhS9blnnyHyUmZFS+SbbmVD54hF7OHJxXsf3NaiR8fHfZdTgoS22fTUsXXdo4/qvfv7R492jx6dHD11vSTXqUKZpNQbt25iis4qQzjs+jJ4g8dtaqYp8Wq1Sim06xA558wp9fjLf+0L/+Sf/o4YdDkS7gnQU8rzPDsgMYfrsrmnlClhKTMChKGlm6q4Fn79jddU5aMH93/m57/w3Xd+9KnPvHV6cnrt6lWtbIn6bhVnOjiYatd3AJUQkWjIg6sSE4KLVOYUUjip2nf9n/3Jn/zRv/9TVTkr0y/8lb927dln3/3woRgiOROqA4RhVpgAIhapL7z88luf+dT3335b5xFMRVFFMeU+dxoNGLi7iigixVTe9x0pPP3izUyEKlpnH7qpjCf3H0XGefyfCphbYo8eNtKC5lLBDInKPO/343qz+ejeQxO9/+hkfe+eA/z4gw8Tp4QJlmCYkINePnWhgXJow6zHqqpH5CEMQ0bKXcd46GUeYzzr+nXKHYBLEUxdrDgFkgnA4Pjw4UnCfSLKuWcmYKSUwDFYsr4fZK4i3jeDQAGAnij1vYNRZqk6jSVz+EzQNM8qrWGTKkiIlAmzG5mTq/KlNsUCKF1YGWjqr8DFCdCRTLRLKfLbJ5l/9dd+7U//7E8JGRCYCRxbVoQ5AooKI4VkzMHVVFT6fkBCBwOCMs/TODEzEJkUW6zyA12pVbquUzFOZGruPpey2WzPLy5yzo4eq16B8+ui6jEzIKDIfWzC/9bXxwpY25BsaHnT8sRU0+D54Iv9L1lg4rJQthABDhD7bK2cLeBPyylcyPRl9oFLngEBgJEA0MDnWrE4gNRZADxnJuIudTnlMouBIGFOmSB6pUC0zQFUfZHANRQr9LXN8A5dzcbdKDkNw0AZYvIxtfPd/sMPPzw7uxiG1Syy3R7WKn3ua5UqIqJ9F55kIfpcGv9Luc9lY9Cu33IGAC7/WRp0hFRrBAVq2ykDc235Bd6w7bjx7MnCLmKtyn0CRFH74PadaFdjKR+9NcaXND+0fRhq72r7Hj2TrzKS6NO3rr3wzFMXZ+e7cdIuz+61zqcPH00XN8f7D+6+//6Vo+161akHagZIlLuu74a+63PXnZ6e5i4j7F94/vlaaiITEEIUs8QJkPohIU8fvn+7Vn14enr68GxY85DIvDong1TGorQaDroXrt7aXt2O83Q+j1cPjtJqM86K1FFKZmyK4BSFgBCb0B0MQDlFm4Y5c95uY+JSd5BysD0cupUR7Xe7ruty7pi41pkYQ79VVYkpETBz5nyipYh2XX7m6ac//clPvvryS9dvXn/muac+unfnS1/6w+dfeOb8/CJl2o8XB7KmDvZlXndDu19dh2EtUhB4LvuccxieMCM4FKm2rIcaeOpW3/vhexe7fZnlyjM3PvfTP3f/3uNwLjHTNhV75IPEwaeJGVP+whf+yt33frI7vQDw/W4XzvWcEiIQkai4mokAZzdlDFN++8QnXrly5eqdOx/tTk66nKjvrxwd9F1n1gixxareAV2tIoGpE1LcUs8+/9z28GgYNgYw9F3u8+5izl3yTFJrpstdJiSkOAagLVNgcHFhgBkq3TAHM28pKTnnlLar7cZUuRsx9WKghsDteYnoWyJQNWLqUj/vq4sTUj8MKWdO4OD78113fLRa93s1Q+/XHRJwNyAiqlaVImIgtSgwjPOY+54Aq4oBYyJIBAxA7qYIREBG4RGFbcBHCuwaHRb9epS2xmS2ConsjuvV+v79R5/65CePDo9OT86w60ydE4XgCgkZSEOT45YxI6CqzvPc911OmSkB2jzPfT/wEDuiBObMjABkFHDQ0A8AAI6qxsxSBSD8z1EvMXXEpjtvVSv8IAGWCgZG8TuEkf0XBRsXYYvFBgMEwPVE4gJLqxuXAv+Sb1CT+Sx4kD/5uOXPbFlKiCrZKAELWs7A3HLuW4qSuwOlxODJwoPNqVSrVZDRVxT2DJEypQ6LnzwyJ0RyxBBERFkF5FiSaS5azZ6PUuqLVAN/8OBxFV1vt1V8nOauywawnyYLsyYARGdKtdblAuAlt7JMP8stAq2PW47HEOZEdASmWQXcg08IdjiwIYRFRghLKp4TxC6OA5LHTl2p9c7dj4BQqvapW2gZAEdFXVjoZoBxGYoQqoZ1zz3W1PEbr738M5/9P5nqn335G1/7+jsf3H2gbqh6+uDhG6+/9PobL33rnR9Q5vVqi8jjuGsgIlBOue/zaljVKsNm9bnP/zQRU4ZSqharaKu+77quG4b16uDBR2f7abx6Qx89eiwPCrk5mQNT6kQqEt+49cw8TvvdDIirfnVxvr+jeuv69dV6EDEkgth0J2/Lq9BOOiLre9oeDOBuYrVIygm5A/Ohy88998L2YP3o5MyqenIVUVEHkFKJXUTEERGIrNaCK8/MT73w/K/8yq/8jb/xG/N+nuf9g4cP3v7Gt0bZ3bx5tdQ5dfTw0aMyFWY4Pzsz85/+7E+p4+OHJ08/88yjhw+2hxumfHR8fHZ6klLSWjabTSICR1EFB2foh6HU+pM7H0LKN289/Zv/yX/y6puferSv6ILmBA5iidlQ3ZWAQ6uKZmb1k6+/ev8Lf/Vf/f7vgREO2dwQncmJQgNAVQFTmsGAKRF2DHWeqJbDoVu98PQsNqv6eLGfSshhgcJV2yCsmxd/UA9PM3NEHVabK9euD+vt+W4fpnUK4GLqgkRqTR8OAIQpAFAM4g1i9QXBjZ0i63dpwrBWqTKrduaeEzu4Kk1FzICIqiqztQYTYNHRw2rVH1858FoTd92Qq+o8lZz5+NphzgkTpz6DAyRSVVd1gI7ysFqtAERtptkTugF3eZ5EtFJHeehQo5iHbB2dPNbxENosBY29V4Cm5GtoRhSSqCkQrppITAa6309/7Zd/7bf+8f9ExlUK8RAQQcRtMF1at0U9tFqKqBweHj588Ch3ydSmeez6jiyk8cysbkCUmMQBYoEgBCAReVRrifqLvkzJFE7R3qqJe2R7ODoDN+ugS6xnwSha1V+mAWjyFLhkAZZfRaOyKKyXdtiXf6LhjY/wRn9GJbIFQmkUg4ftlYOZgLloSK4cCDllU92PhdEQsVutElKp1cAJoZpZM8wAQlRRNUgcUYgppi4VJSYVBSI3E9OqaqK5z+NcMBEzkXutWkq92O2ryGE9doci0nXdkIftgc5TacldpoaLTUiclpevOfZgEMAdoVmpBhHvtmSruUVmXApaXqoa6EKStCuFgGZOEI7d2EYcp7jo81w33XB+tnv06DEhUmbi2EQKv6blYCLwdvpGLCiqRr6hDxkH1pzBIU2783mSe/cevPDqS/3mKPfpqRvHn/jEy9/6+rcfPHy4XveK1A/dNOt6s63zSMjqlsCJkyNWtetXbgzDMJbJxlLrCOCJ0lg1Z+H9NI363AsvnZ6fnJ2fH125WuvopkWqGXBOhwdXq3sVX20PENTNhr47vS+qnrvBnXGR3S9KKiDGOC4JCNRtribCzIiUmAgTGHapN4CXX77x4osvPTr9Zt+noe85peKz1KnPGZkNoUs5Z1aZz88ez7P/0hd+4b/4P/8XPsP9+x+52cXufLc/PT1/eHx89Et//Re/9va3EO2db339N37jP/zh9763OVgfbA4+eP+9F1566dbTt2qZ3nn7nZdfefHg4OBKf+Xg4KDM0/rgQE0AqetzqVVVRUrfd99/9wePHp++8Pxzv/prv/76K2/Nu3E6u1AxTGxqiYm7xICqVussZmaOoLIfmfyTb7yx6bvbtz/4zne+627jXIhwnkp1lapSa1WxlM1M3CDlw/V2yPnwcLs52L797e+enp6fPD4fjramFQBTyrE/HDdmy9FojoSRp8GIXmqp5+eGiBTBYQ0VSQhqRswL1hlu+hBKTApthMUTr9G9Lq6aDuC1joADUw4LZDVjMzFVU6JMjEhYZ2EOUZU4eM6dmrgpsTB3QFyL932/2Ww4MQDA4DllJNyd7+q+ErMTiJk7SDUkdgFm3p/Ps9h+ruXsIvWpX3XcJY1pjkM+EzR4q4TuzYjfXKEpE554/8bhEcNbzokTu+PZxdmv/8av/fY/+22pplSh771RpUG8m2HYcsbuL1at0zTfunXr3R+9yympTLXKfrcfVn1rIPFSw9YaTwTgJhWhEIlg+HRCO8MDK14YM3eA2De25hxnS33H8ElZWMuloPniZ0kIl+Eti4QoUn8s4upbviigUzOQcxQQbAUp6mQYncEl5xwVE9t0pZckNVE3zbIbz6VWd2XKzBTxmA9Pdg03QWfG1CcEnMs8j4WZwUFEXWS9PZAaDw6Z1ZRZxAFA1Uxhvy9S61xqylnUVKLvhqnOEQY1jsVU+753gzrKZrOJ6q+1LndEc2gOt6W476PrjqsYdk8fA4TAXcFdVZGcOCXVEn4fiOGREodvJOFpUALtDokjE8EJTGO9ER49PNmNO3ckwi5lcESm6vXStLwRD4CXzAszm2BKdLDZgNo8z13ms9PTk8fnZ+cXtpvf++D9l19+EQG/8/Z3N4fbq3K9yP3zfQWH7fbQpPa5yzmv+p4Yu6Fzx6ODg1/+9b/y8NGj8WLXrzskL/Nc591qtUo5ERNaunLt6NazNx2w1EJLIExswBHlw+OjSWopFdwQDNSGz+RN5nWX+j6LSEA+AItyB4mIVSohonOdbNpXQkldB47ukHKO43a/2z/79FNf/vKXc+Kzs8dICdz6zKdnJ6nrHp+eXr16LSWepvOLs/0LL25//Vf/ms31nW985+nnnt5P493bt8u8+/Sbb7z6iVeefeGFT/7Um99++7vf+Pq3vv+97/7iL/3Snbt3Tk5OLs7Op1Le+8lPzPz48Hgc99dv3nC3WqtaOPpBYw1juGaaoeyn3ZWrR5/5zGdfeP75Wsbt1YOj60/tLuZHJ4/H3XxxdpGZHKFKuTjfnZ+ezrMeXdm++Oyzd27fuXJ09DOf//xnf+qn/tbf+s1hNcxFqlQRNdVa5935+f7iQgz6vmeGIXe3rl1LiU/Ozjfb1SuvvuaERvTf/7/+gbunzAFAEpK6AkBsKkS2DFHc0y5uXdPGN6uSwBAI0cSIUGOnnFCtEnPssrm7EcASdxHmiG4afz8URmKqZu4FDImpHzpV77oOQkNSJZS1REBoalKrjfOMSJv1etX1jsBIR1eugoOoi4VYMFV3cnAkdUJgQEZO5OCu7pT7njidXowPHz52QlEt+ynvaHu87bpLWw8kRGAEA0Ryo3AMNFcE4BQtY2RreFMjoAG0LJrE2dzrXK5fvf7Zz372W9/+NhJLldz3ke2iJhDOz+4ikoiYedxP7jYMfde1gd7dSikpp7jmvniqBWdQmg2cBPkV/T4BUayLAgA2ASQuyzPWhMKAyNqMfbRxxE3hjhbF2g28ZSJjkxC1r43LCBAnkJsZ4eVxuGA+6AAUGwPgS/3yhQEAW5Q5C4QETKG49ZD2vf32D6ayq2UG8FriyqcuZSQOZxZzRTRD67o+dZy5RyJTVdVEeHoxzVNhSrHnE3JWNctdV9VOzk6nfen6bAiJ0B04s4rsxymlnFI37qaUuKBUECY6v7hQFRPlvjdVE1+4G0AM1YYToWpbPHYAgsbkPZHnxNU0K7NihwmrGmgt4gimbg1hxKBuRCphhvAwIiRIlBAABWm77RHgwYN7ZS4OiJAioFUt9NiBBRG6S3Pij6xHBPecEzNu1web1eGD+/fff+9DlXr3/sOLqQDTK6+99tKLL3z1j//dh+99eOuZmzdvXVeHr/zFX0yOp6e7oetWXc+Jw1lhtVmnPj/zzLMvv/za4dWr/WoNCUXEU99vKaccNol96rsqgZeuNmtASEuSGSDm3KnbQb8FhNiFYfdE2BOhqWklZEMDalsjxEk1zKZiGmWDBMDYDHMycDLRuSoRnzx6dHR09JlPfXJYr6/fuJm6XMb5cLNerVf7aZpKWa/WVco4navI1avHu/35977z3dc+/erpyVnuuv/sP/9PN+v0lT/78te/8rV7d+/97Bd+/pd+8WevH1//6te+9oPvfu/Z555949U3hq6/d+/+53/685v1uu+HlFPmDO4IvlmvwS2nhIRzKUyIXeJE6nZwsP27f++/PT64/sMf/uTP//TLv/M7/7LM08VFQSY1S5EAhdj1aeg6UfnJj2/fevrGr/zyX/nB938oRXNO8zwfHR9utttoHLq+B4D1ejVPo5RKmDarzXrVnyc8efx4f77bHB6uN6v3fvJB6vIbb70lYqaGA4IiIISHBMTqvocHGRg2t1V0ye5EzSUsWlADRzduzXwbbAmbnsOteQAERhIPy6ILAnc3QzUoVRCbMEbNSxVRl6ruwJxCfspE7qDuADysjnPXA4E5FeNwriUPL1+Mdcmck4OhoWKXhp6IgMiB9tMUBqlWxnE/n+92u1E4sxoYsCidn8+M5eCAmXt3CpssM3c3V0MGIpqnGjY+qkqUTSRGbeb4yLAPMiKeSwGyqUy/+R//5jff/roZiHjqMoa9afNhQjNlRnBHglpLrXUY+sOjg9PTs67r1NTcylz7vrtESxa8zWPzscwWZUXNENnBCSl86JbOI5QUaKbe9rvQwzfUDR3VlUL/unBPDTI2+1+qGx0WrA+bqaS7g6Fz+3NfzJIBvGUYtuzghhKhQ/BO1rpicyNmdXXDoPMQuVT9gy/9IbCvhiH3zJQ5sapzSnGwxLzZhlSDaZ5MIdx+qkidKyKWIrUKItWqHaKoEYIp/Ot/9aXcJ8aMBJxSShwYUUjputwBwiUdAgaZUz8M9+7fq6ZUxMEZ2RczY9NmKGkWWlLwxXUPFn+LWANCSAgsVfshccL0cz/7+TLPgf6HVCCmDEKMtEiwZqXSLhuBA6hqP7CBj+NunmbmFHFX7hC65Mt3KVB2d207Yg4KbmZ97q4e3RLh81F3RX/yw/c+uH3vvY8efPDBvZdeeWEay43nXnrp9df34/7hvYeidar+6PxC1QlUdUopq5T9NOXHj/r16vM/87Ol6iQ6m5NBFeC8EvcKgEI5c5mFGBO3frLr8qrv4uYhdAQgTuBGnAyMmBgQVBOnlLNWqMBgkDmDFwTHSFfBCOFNojhXVGcEXPXDNM5zmW7culH04l998fd//19+8f5H9z792U/vxjqPxdEOjreM+NH9u4B8dPX4ypXja1ev7PbnF+en9x/cu3vv9snDs3/xu7//qc98+vXX3vin//Pv7s4eP//80web7R/8qz/40r/8w898/nM//fM/8/yzz3/72++89am3nrp1c9xPn/rUJ3f7HTiYxmAMpVZEKLXmlFQVAVNKUqUfOiMbuvzScy/cuX37gx/f/ujDO1//8le3Qz8cH8FT+Uc/+sn5+fkw9DduXL/z0b1x9nNHYhSTaZrfefv7jx49OHt8xsylltV6tdvtUpfneV5v1vvdvu+7eZ6ICIwIiAhTSujedTn3GZFS7on54eOz7eHm4Hg7jjNjCrJ6UengsjHkaGhiCmpWAZG55y7H3YzN8MHNkUNB4RWZW5/oxkyI6KaBcIhWJtZQBSGQo5OVUqs4UAJEjfgjzkOf1YGIKZFryxXQWPOh7vD6LUYQFc9UwmcQPTOLCinOtXDiBC41vhQRUBWJTlfVVFWqqqk5KKTz2ZJRysnMdruCkx9utw4ZqXfg6JfVzQwMCDlvNlsRAUBKFJYPsf8RODO0NWhwA6YEBg52enHyyidevnH95oM799LQqypTQgTXIGfBwRHJF4JhnMajo6Pr1288fvTYExNRnSs6pBzZzAQmIUNBdxPtU5p2jgnNxM2IeJ5GU1BxwsXUIbz+gjxrUkXw0Jl7W8z18BEJByQIS3B0Qwh36GCAW0FDb6x4I33NotYHPt0gRERAo4UfBceI9YJFN7RIgADa5AEcgWWIGEOPg5dxnsc5YhEAoYpx4mg9mpQSIDaKFrUB4YJSAYCKEoG5qqsCEbObUuaL3c7PLKXkS0xVrZVi5xmckM2jXhNdBocQckqr1TrwMjMBByOI6bmqhBuIX3rPxYVd3DKQyOPlAyDhNM7TOCeokgjdOUS3Ds7cMD6mQEo40uIAMWhPB+OUzWHWenJyggwmwDkFonqpOTVXBw+r0pQTLpYlZIiEVeRwc60UPzm7+M53fvT+T96v7tvDg93+Rx9+8MGdD+5cvXq83ayH1TD0/e27j7phi7sJ1GoVokFNJYIsHNcHBzeevvXlr/xF6vprN66per/qAXHYbIGQgE0lU6TIUNfl1dCvEKZSE/Gqz83qqAizD9wN/aqpexihZfNG108U/gApqRt4eIkCIhBTv0rDdpB5LlqHw1Vn+sU/+OJv/dY/uX3nw832cFgPb7/9Hcf8+OGj1aYHByZU1f1+v5/GRImYnnrq5s3r1x8+fPDUrRuPHj9UQzX44pf+4Jlrt64eH5RZ3/r0pz/3sz/3ta994ytf+eq33v7W8bWr3/vODw4PD9949Y3N9e20H1fDSkWNDbxhrO5OGAsHWKaSMwO6qiTOpdRrV6/vL6ZpevzSJ15667OfnKf6e7/zr1fb7bPPP7053VycnwOSgZ+fn4dunRgd7aN7H52fn6koCgIiMJYqTpBSqrVuD7bnZ+dq3jHXWt2BmYpI12WVOlXJfbcd+vNx/4N3352nadxPoQkhAnM20zAkJ0QECqWOiFat01zHMg/9er3dEid355wQEQwNzLSkkFWZqTtRJDEHBNpKW4QNugFySzoCBWR+fLobqyElUahVDKiKnF6M1TypNUMGDIyBznfTb/3j3z082rgTgIkogIkYkE9jQSRREZHQTsXPOdJ1HNTM1DnllLK7jfvS9bnL2dxdDBBShtTxet3funH9ww/Ocu4YGTFgCSai7XZ7+8O71A0yT+BoBO5k5uEdiuBIoNSMSsAhMZ+cn+Uum9mv/tqv/f/+wT+cpwpIeZXd0TyGEUc302rMSFjmuru4oGfwypVjTixVODEgiGiZS1rFuBVdtItWLJj7BIt6UtWYqO8H1RME5JZhiy0ElkJ74+hLaCxSGykCoFEDcIOWjhePXsBHzYrxsrICuEMsRQdsv+z2LvKMOCocBSsCksUNhQsN0cbBVsVDaoWIgO4amIfUcnZWiCh3DNEUEzEiMeHiLA4ABAiQmqignT+ICOGsnFJCQhXNibWKSGuLuq7DDggZyUWNE/dD37p+WsReS3TgkqsBiRMC1FodgBFENHjXWDTWFuBjHpGe2CRiiy7oEgsyqdXNa51T3JbBLkWuHYQI2yO0igjs0pYvFi6AXDTSFP2je3dNLecVETG1EcAJFyoemVj9UowKZlalMqch9zdu3Pqdf/r7tx/c2R6skPq+o8cnZ1euHJkKZTYTVZ3n8ujBiTldu3b9fD/udJdSPA9AxImAM/dp/Z1vf0+lPvPCs2enJ+Bpt5uqwMEtyn1OxF1iRjNOs0EZ5fSiuFjPGdE3q9Wqy/0q9x2zVKriPjFiTklFA4kwEQCglEstHk0SJrt020Gbp93t2+8dX1mr6MVu9/3v/uBLX/rS93/4rgPeuHH9xs0bbrgfx83hlWG1+uC9nxBSSiQqh0dH681mP+3coVsPxzev/vwv/+J6td7tx27ov/mNb33jG+/kn1m98fk310N/5/GD63j905//zKuf/MQ3v/at7eHBL/3yLyVKt+/cOT46DrfuMGlW14XNdxGNs9zcd7s9EvR9dzHu61zGblCnF156sZi89cn7X/7TL7/y+qs/+fF7QJtbz9y6ZTdKkcdnZ+e7HSKYuAPevn336OAwnKoIkBM/fngiqgf9ASGO4zh7MXVzL0XmUnLuXJUIx9Fyn/tuuH7z5nvvf5hyruXEwUMbzUzuZiK4KNdMAQCj8a1VqtRpnLGU/fm42qw4dW6gIh4L7oSJWEQBNeq9oSO1SShQvrZ/gYDEYU8ZzJm7/Mkff+Pb3/xB6JQfn56ePD6ttdx78NgdVS04aHMI+7LT0/1///f/v5iIiFPmEGKIesrMnAMbIWZoGDR5sxNoWgwmdvdaqwMmTpF8EEdLaDk4EbWARHHASAXAln+C4AYC5/sdOjtg5yTFwGKxL0CdhfaLy+hgYKJ6sd994a9+4Xf/yT8TVYrQ+eYKjClnQgJEVYtt2bOzc3BYrzfb7fbx40e5zyiIiCLizegiz9MUa4zmJqJPBJcIkRqcc+Yu577r+oFob+6qLnKpBWpMbxUNbXdkVzZcFhAitADdDWMd3RdV+yITiikRCNnDpelJO982Y9vqfmRat8q4/HGze2v/eihczDzCDNAdjBNx4qV4ATRkkdypyagWHWpgUOHPEwdAG1IJS60pcZlL6hIRAWiQIaWUmEWImuW4aWRvtB8UYi1YmmpEItIquctx1hlRTjlQTtPIwUZV9YbRwXLMLd99mJIixl4kkmOCdPv2h9jGK/VYBm4JOmgGYC0kIdIwYpxAcmTChHOpt29/uBoGMGQiIo8dV3cKC1+Hy4OgsTTRlay3q8P1wdHVK1//6le/+4MfXL1+BOhY2NWuX70mUlNmAnLwUuvmYLNabb/3nXfAPacMBO4eVYaYpNgrL758eHAkOu/PR4TUDQktdX1XxYvXBLJHY/CcEqcU7n9gXlmHLk+1imlBI+65A0wISMBoABGSDIBIiTgpggIZAlFSIGRICc0sdHfvffDe4ZWDnLpZyt379x48fqxmav745MQAX3n55Vc+8fIXv/jHAL5Zr6pUqfriKy/+B//hbzy6/+h7P/jOwwcPN6v1MKzWq/X9+w8+unvv9OT09OLssz/9GTP52le/cfP69S7xD37wo4PtkLt8eLx1h7PHZw6+200R5oOtd6YQ9MVjE3dhrOlGz0OJiECqrYeVSH344GSs0/n5+Uf37j54dM/czs/Pp7lM41hFzs/O+r7TUotVznnoV2bW9ZkpTeNEyLtpv16tuyFfnF0gk0hDJ4nIESMrilOObfMqghQNLZRaATwlbmEJzsTY0EcmNVFTUVVTbchyQ+9Lqe7YdT2FtjoUQh7Gb+BgThx9XuDFhLjE3lFscwG0pTFzqFJkN97+8INpmsFNzfb7/fnpOSFS7kytaUjdiSn6P0RInFLqw1jJzROhVY3AJ3MvNhMxNC8PS8gL2QhgzmHpYWopYUzVIVWNmC1EckhM4B1z+PhhrFOpqmgttTCnxW8X51qQGYnMFSAhui8BvwgwrPo8dVW01Hrl8Phnf/4Xv/ilf913XeKcYh5Eh5b/gaYS21LnF2dmtlr1165dvX//voggAhGWMo8XabUazLWKOEBcUnIM7MLR3UxNr16/DshVFZG449x3qtr1A6cEgKrSmnAEQDR19BZBsKgQW9MKABpUKzbgYinZi1LI3d3A3OyJ6BwWCChQcYIM4IqXLkCXf9+fiGNiEoplGrMAZTil1WqlIl2fEdHNxTQMt+PymrX16TgGzMxjOas18mCmRNS0zN5OejcvtaxWa3NNKRGhiCFj7JqYagSHwKJtjYvcTkdEBFJTQro0ATSx0G2aKhGJBj6F7aRE/9gZ0BSiKjaOO7WafvzjH6s1m2lsPzgw08QJF3HtctFCygVVitS6G6fH9x9kJkNMqb03SIhxTSCas5B2GS5vKTGmRK+9/on9vL/90d3Do01V3e/328OtVBn6XNBrFWSYx9nAzh+fvfD8GsAydRVqeyekIrgpXL165c03Xjk5O3/q6Rf6fphK4dQTdM+9/PIetbjpPCN5TogGBJAih01dayVwrXPmngHLXPZnZ33HRF1mZuKcwgWEiShjKvN0eHREnFLuKhB33ZAIwHOXNqt1NXhwcroZDoah/9znf+aV115//Pjs8emJity6eevwcHPl2vF7H969c/vOtWuH6moqovUbX/8ac7529foLz794sbu48+Hdj27fOzjcHh8dp2u83m4S8/bgYLVaHR5spU5S5KOPHuSUCElUSqmiut+P6h73XwzdKfFl7ysinNjUGmsFAODEiEgmzondULQmzi+9+uLLr708T3WaJjOvpZa5/ts//Hf73YSOwzBUdVU5PDiotYpqVdOp5tzPk+x2Y6RWuTWzSXMfhmGeymYzcOJYQBOR05PzbhimeV9FRIWj7IUANNyvtKrhw4ePkcDVqsk8lzbYGyLY/bv3jq9e1UgrNlM1D1U0YeJkYFJjhG/vYFv2Ca/WeAhjhkckTqqqZVa3bujdLANstttr164jQUqJKXFKwQmpW9TujMAJI73PVFPK3TAQUZlLypmCP4ojhzmib4GAkBcCExCJkEqtzBSBwG5ubuhublKKqSGBm6loJNeYgZmag4iWMrtTTmlIAC6Hx8eAyMTMZE2tf8mUQ4iupVop5Vd+9Vf+6I//KFEutXJKgC2GLyVqFpFi7lDncnZ29syzTz/z3DPf//73Sym5nVUuUkulHOZ+S4hKeGW31+BOzJ/61FvjXA1Rwc5OL3b7kRNdnJ6cnD7ez3s3Z1xM1ppla3OMwxCgL211vIxob9V1yW70pbds5LC5xeriE4IYnhwkjrIICWBZLQP8WGvcxibApaEHBzf1qzevvfbGJy7OL6CpvxEXHVnQrdGVB+La4CBkj5WH1n6bO9y5c0dVL1caVXS9Xt166qmmcKN2gqiafZztaBIfgOWKBJK5aH4iJwOaKI7TxdlFnGtx7bBNLrhcpPYH8QqZGQmkSBqnQkwArK4IkBIRuoGHGzVTWkYvxxDZoQNiXm9Mas55Ot+hW597rRIZUX655IfRZDkxEpFJ8F96cLCuY3G3Ox999OGd20dXjlT0xVdeVFWt8vJLrxwcbAmRmZGxFrlydPXo6PDP//zLc22A4DzX3BEhmsjTTz11dHho6vvTXemKA2wPhnEcL07PrU9AYEVKmWcwDMV96gCcolepdb/fbQ+3VzmX6jrZOGnKaDoSUN93Qz90XT/PM8IECH/jb/2dLufcrRTT8ZUrCSUlBkqb9Srn1GVWUyYahuEptS7n9XqdczaVcb9Tl9/82397v98//8zTYpWJ1ps1ETMncNhdXKhaPwyJO5FSSum7vss5EsRy7lRE6mSmm9VKVcs8I2OXs5kDUHWFBvd5U8KFdZpbyllFIwmWiLrcoYOCppTCYhzoCWlGifvVKpwzaxEg+L/+X/5v/+x3ficnvnpw7cqtmyrGBMFk1loReRz3KtoN2UUi46nJUNRSP5yfnh8ebtV0nko49AxD/8abb17sLhbFCIAjpwQOET8dXfO674DB3VNKGv5YnDgRAqUurzab1WplZjnlftV3Ofd9n3NiTpw4ESNhSn34lQYfEAc5EeWuDy8BbP0J9pwJEQn7rkOkWstqWFWRRGymxImYEADQiRjRE6KIAAIzIZKpqkE/dFEEvM0XGMITIkJ3VW2kNISvtOcuh/1O4AjWcoAxiMhaBMnDIik8hrt+AAARFVEiqiLzPKNpznC4ITMwNlXz0CWEaEglMQcMKFrV/MaNWz/3Cz//p//+z4ahn6Yp566pRBBF1TyaX1S2O3fuvPjiCzeu33z66adv375dqyROq9VqnmfWRIiZMyLVUpt/DEK8RlMbx/GFF190ZuJUVESUOXFKrnpxfnp2cvro5OHu/OLk7PT07NxNyBARE6fouxGcIJhYVzUzjyWzpYY145pLqCQ6a4s8E2/bmUvjigggVjGW41pRbAC1uzNRwEILWmJmYBQMsyEhc+qHIcSyTKTaZpFLqC1ugPaNXX5PAQqFzgwxJQZAJJQizGzgfd8/99xzgC3OIVzLHP4yN+1tBIiJJtiNj40aIFWAwNUiwWkax1qrWUSUwIKXNYCrHWGBIrqXUsZxrrOk48NtHGI5E1MiwpQzt0RsJm8PFKABQaI+pQQIEU4/13m1HoZhIEzGyUAlpJa+gHSACBBSdE5cqzHnlLrnnnv6l77wC7/927/78OwUO2JOP/VTn/vMT31aSn3zrTcOtlt3N9UqlZGY0r3HH0mVnAdQmEtBii/hpvK5n/7MZtW/9OKnKPeOcHGxL7Odj+PzzzyV16vU92AeG/ym6uabzcFms82pI15Ye0Yk6DIzWKhpUyJTZ0w5J0RS1d20N/FXP8nMKUxSUuLM5gZFIqQ7ljPRQTNntQoOEV25Wg/Danjvx+8dbo/eePMNL6WWgo6cCDwyeuH44EhVSxUT6ThvDtcB1jEmJCRG5AR9NhVzHfrucLstouDahFuA6tY2xN3bDNsmdEcAUxMVB2eghqsWiYps1R2MKeUuEbCMNQqj1bIfx81qEJGD7frFF196/hOvXJxfuImbE3OXM6eMCLvzi1JLSNYSMRG7q5kb4H4cpZSHDx+cn56pipqL6nPPPTfNEywqjjAZQEBmRyB1VJVQZbeYBEyxXMeJCYmYERGJUmKi8EwiAvAKgOQVilYi8szQU0T+EjM4MubETC0NGEOmHKuwxC3MKSViZqmVmdwjs8HBlFPmlq8Tm/AUGWnYsmWplooAiByikMDsGclVwSERgrODM2EKdMgsEeXgSN0AUtPImxFiPyR3g+St5XQHADVf5Rx9rlZbrQcE6BOhnZuDGqhZ4mTqIYRNzFILqBuKC6gac/4Pfv03vvbVr5UyIyBAuXrl6MGDMaeUMgOk/X6vZl3O9+7cnefxxo2bL77wwn6/Ozk5raJ9R+A4T7Nw7bqOCCGiqVtLDnHTnpycnJ6eDZtN14ezL6p6gNur1ergcPvCyy/ETvU8TtM03717e7cfHz96dHJyOo57d+9yR0SEoGoIuAxVaioG5sBB0razs1l6LLHSdukWGirtWGVt/rbR5vvC/4rZpfDMvcHu2DICgIiJKaXs0OAXRnriwtba/CVwoynXoKmR3RBILRQZLiop81LMTURCmiGqzOzNBAljUzeOhEaaL1RzgPO+aGIBIHcJCEMihtjoJWK01lfF5YHLszIOmDD9PDjY5j5plfTUrZtA1KUOUcPjPtKfc9+DOyFTzJTkRs7YqRpxu5Qf3b+3n6aUslQwVzU1VaSW6RQdaNwbRAjmZt5l5MQEBG6b4201fXR60qf+d3/3X/z5n//57vzimWeePjo8nObJ1FNOR9vt9es3JpmJqdbijF1OUsVVDP3K0WGf08nj+1LHUi31PQARZXJ/+aWnx7kic2ROEeUyze6AMp89vCiz9ENfqxhAygkA5jLWcWR0JHK0OomDZ85hIyzqKaWUE8UCGGciT4RMyYAShZmtgjszmRkTEQKYIaPUudaqqobpe999e9WRm6OBu6oIILqbioWoKUTsEbzMlMIQUbSICaElYjWfy6Qi5m0edDBxVLNGU8aCZcOAGMBVlaOQLpsyzDG8RnfMiBBFFJ3NHAGLFFVYrVfV5fDwoO+6cdrduX0bANw1BMgIaGZziazzBknH9XFzNS1VzZSRRJWYq4q7i8rFxfk4juEd1BxigMBdtQIiUgZo3rREhEixSpqYrCm8w/7MVSSWhhzA1RCBmTilIAZS7pHRDVNiUwmxRAs7bNsATXcK6GoSC7exFYWAyODqzSjB2yPvZho+MGBoHttGgStBc2dDXMx0m8QSHKyBBk/EK/FcWttkxQUVimjP2Jz3gO28YfqiH9NHESXOyMDM03T26bde+o3f+JX1mru+BycyQAS1eniw3l/sE1PRqiqmBmxP3br1iz//S3/wb/+NuW23Bznnp55+Ks425PThh7dr0fVm9dHtj775zW//9Ofza6+/9rWvfW29WovUWqUf+lJLKcXMck5IQY87xIKnKSKdnJzc/+j+cy+tu66DCBZ2VzOt0vcrByu1hioTEx9dPb567aq7E3KVOu735+fnp6dnF+dnjx49PDs7FVE13e9HVU1MohpG8XHR4n5WM1TxViSxvamXMo2gCtAMPFibhTxwDm+xgOQQo7gxLmQpQnisA3gViXvDW5hymzRiCyHeXl9Wk9sIAk7E0J64aGaQmUy5deXIjapyd3NRjaNuAafQTKOa42LhH09sHFixy6fNHbAFPQFArFVeshuXUFA7oBBSztvtdktbcE9hTG9mOXHKiQiC6GI0MaBEMfi6a/RCMdrG2HF2fnHv3sMH9x+6J0QkRmLwEs+5LoooYI68Js/MpvbowaNN1/+7f/NH3/nhdzFRLdXEP3j/gx+/+66pfu973w1IghOnTARcSxFRB8SMpciQcynFRIns2vWrP/zudxFk6HsnJs5dNwDDw4cP7nzwvcePL5A4sOmYwgAhEaXwSgRTdQCglNTMVK1I3yVMrCrzVFNOYZFUZ+VEuctqUXWdKKGJVkkpp76vpaTERKF/0DpXQkwc06y527ibxPQ/+tv/8e/9i9/zaXKXRNTYGFViRKfUZ9Wg0ASdmJOKIXLqMoI7wThOq2GlppyYMbkbsROCu9eQAVp714PLCr4nvFcRY1EWVYIfLoCoVd3BTMVMpYqqqYkoESt6nW3cj4dXrvR9drO7d+8+PDmL+kVExBxVCdxFFMMDCrGJ+RwcoYiaChPVWt09cTK0kweP/vRP/mS/38cDxsRR+QDaa4mSgbhsAkCLAoyfB1G2ONo1U8MgZwGj90ARcbXUdeBWi3GicEACQM6EyDF4xWovOBgooAWBwRj6hYbyxkgePV3jTgBrFJJ4lYv+I2R/FCND8y9qULa21YOl+je9IKha07x47DK1B9WcWsO2gN2tj4urEbXJEJMTpWk8U/mrv/qrX1AlUWNkIJQ6787PxotdToRptYLValjnlJi5lOlv/q2/6WavvPbq3/jrf313foYEQ9d1Q6+KZ+dnu/N98LEnJ4848a2bt777nXe++hdfc0A3xb5PnJYjygnZoYpoYlY1DI2TyOGVo+Mrx2ZgDmpi5ikn5g6bq2pz74kPru1kdUTkxIdHR8dXrhASmO3H/TxNjx4+Oj+/UKvjxZ4AmLmWmlKKHoqZyjx77qKXCPv6dpTGyIakSwZZXOuoqoGoLHQlAiBzWt4mCPuoWmutNbB1BXX3YNKs9baICGoK7UzHJ3Bq6I5UlzsZ3EPLT4CQUmq3mQNzGKaGxPRSytokckzcVPwLymSNfgBTIyYkbKkzGJOYISFo6zXwElCCpf+I0U9qSrzqh3TJtoi5q/Y9EbKoAiEgx+BUqiRCIIixhy1ydfD2nXsPHz6uYvM8AgCRE2E0w46UUw7fkhAtEQISmUjXpbnO6+163O3jEA+KqV/1XcpBYEYcT2iH+mHdo5nivpQColrBlMgZ4cb1a4kjcmDu+iFn51Q36/X+3HU8O97m9bBBCFduYiJzGfdT1zk49Nt17vvMOdIepFZSQgLnEK5w5i73nbsxcepS7lKpZR6ncB1As2mckbKBJaZxPzIRtKGV3DVYUgRQN0Q+G/ebLk27s2euXzMQUE+EupD7TKlYzalzV0RkIjcfurWqj/MkpoT47NNPPT45qSIIBm5EqchkcRMncgBCx9DCx+0SOgEHAjSHDuK+EXcKky9jp8a9eRizmJqa9V1vDgY4TRNz98GHHyRO7j5PMzIyoogv5rEOADknIg6bydaVqYH7atPPE9S5EhMhiVUiLlLneRaRnJObV5Wl2kPLTCK2BWmN+DbXaG3QvCkuYjKwyQAh1OJBJ6TM8di4G1Cg0JA7Dr/jnPIiZIgG3NyRmABZXVv35t71GS5PADW81NC14QMzpSZ4aRs3UcCBmtv08qFLb4jIrVG0JotzcLO2BBTzhZm1fgQWfjJwBcdQgoObmFLIl9A5c0qJEnX5YLvdppyQ2A1jC7+U6uhznX7qpz5dpD56dJ5SRsRSZb3Z3Hv3vV/8q38l57Tf7VabodRqaHMtAESZD68cAniX+2eef2rcTVXK/+qv//V3vvPOfjdrWNgAAngtArltj7pLDAGqwswy1Xff/fGNWzc5996acSilmmliBgJZQhzNzMxqqR4FF0BFRCROhCoF1ABwtVr97M/+zFf/Au/cvv3Uc888eviQu6yuSFRnVQczEFXQMCL+eBeOAKAoTCk+OUCz8m12FB7pxG5uDLyctbBA2KiqIazEBjBBi5NsLUHo4w2WDr19UW8GF2bWJs32bDRi19s8aQDQoh3cRYWQ4oBv27gexpMWPRwueFBMNxqtvraE4xY4tpAG0MAuXA6nOAvbHRgDSTd0CXI3l2pixJ5Tns1qMRUdKBugq6rOzDirIgHnbGIAxpw2B9tvff3b6l6qgCMyIoGEZAsxWClRRQDmHLsJxKymbNjnTlU6zufjhaua+cH2MKcMAFI1VF3UGEUj0i51oqWU0VSRUkpJZ1mv+8PNGoLhUdVpYte69/H0dN7v+PjQx2I2AWEts9ZKGCAyyuRVpO6TOaqZVgOg9XoVCz8K6KYOxsQOoKrgjtRiNEQEyRGQEGpVTtkBmFhNpQoxi1jXd40AMgUASqyK5/N08uARgk/jJFZKKSaVUnZTcnCAqpIoIbMpYOZ5HIlCVEyApKrfe/fH52fnr7zyys3rN/OQCTNxaxfEHOnSQB3CsJRwsUh2FAvnCiCIKGAzt5y6Wqp6ned5v98DYt8NOs9qJuaqAEjzVC/O9zl1fd/lodOqis3SIyAKZq5FClQiRoDErKbtiahFRMCAEtZaRITIiWk1DFeOj1frVRTFkHgiORGLSuOwMbI5MAaEhspiE307hACDRXRpwV2qzvM07ketVmtx9TBPj9Hb1Ie+J0ZmXubhpUwwO3rIjsE89llUNOUMfmlR56GsACJTxdBqxN9ODIASTwFG4UYAIGBoHrhITK00eYxK4dkQKX7xHrX+HxPGTNPC3q1R+q2btfaOxxghIqV6TvnibAfer4bBGUMIFIHGf/SHf/zDH33/g9t3dvvp6vGVw+OrL7380tHh8en5ye//i9/7j/7Xf/OTn37j8aOTUuZ+GJ59/gVTe+/H76WUDg62zKwu5ydn7737k/Fi5JyIaBqnvu+jnKgoERKllNxN4pYQrYjpe9/97uc+/3l2cKCqknIXU4W5ueIlMqZmCJi7HNOeqRMjx3lpVEXEZBqneZ4S88uvvvrKa6/ud/tXXnrl1jNP/+B73z8/Py9Szy/OdhcXKpU5q1msADAnbEcoEoCqNEklYDwOIUDSy0ZgkRZhc6xvc5+IXE4Al5UVFvCntdSIT/iAaNTbu+W6HHXtywRzsJwAZo3Mji4CAUKJc3l8BZjpQVS0JYY4f7G1F40QjySCdmwtXx0BLtv7xi1fQo5xe5VpTv/P/+7vxWOcU1bzzI5Afdett+vUr/qun/Z7kUoIVebdfhQVMCuiu93FP/6ff1uKEbOEIFY9JaacVMRMp1m6lOPcCqI8xiBR77q02gzTNBFxlVpFutwx0zD0tdS4UUxjk877rkvMc61lngHY3VNiq3h85SgxqTf/95zasjEx3T1/tOqfn8peS1mt87BCYRSVLsNqNRCAKLm5Iea8qlXFrEuw6tZqdZwnN+tyBoC5FErEzMQE6GY+z0aAsdljHambijI5MGCX1VwZ1YqImKmoaJi2cj4/OVnnHhz2u12RkhJz4rhQsfORMPZaDdzrXBwipNOZMjBc7C7ef+/DbuheevXlg+2VWmdRG8edqqpIWHaYmrdFNfcWw9TuTDVXlVLFxADQ3EotJp5zKmWSIuuDFSGN47y72IGjmGk1A79x/anEnUfaVKkA7qYqTapcRVS063JQl+EQbqYqStzs4DEsrpo0ThFBRC7Oz2stRCiiqmLmumQ6Bh2HDSjHuIujfDTePmR/GosObVRHIGbKQz44uN4NKzcjRCCUWTix1BKPgbm5Nf+vGJ5arUZoEucouAimzkyXg3k8NYE7NagZLilFBILEbR2JmZi4/XETeNpl44+wZDYx5tSpSqAGUfUBQU1UTERsWeNQVW/mSA6ETSjjAOA59/1ARL7b75Dk4uKi74d+WJdSur77hV/6ub//9/+Hf/SP/qfV9sCNAX2uMqxW/9l/+r9Hxs3B+v/zD//H439+8InXX11v1qv1+jvf/T4AHh0e1lofPnyYmB39+vXr/aoHgDoXRCJKDsCcxmlKTARsagAgZgAeDEVKPI4Xfd/PJYK5ZZ6rowdJ07ASwEBIWiGNLV0HVa1SVVsLGFkLK1mLCjLvLs5/9O5Prl67cuvZZ5569plnUxpWAyFJlcePHu7H8fTs9PTxyfn52TzPrc6aJ6QGrkUaVa3RDgsoR65HAImIFLQTNKsgAKwiQfpE1WxxA3FKhHdnzI2XEqCG0zRGwBqKuJwTl4RsnPjhOWfNANU8ACK0eBbiVFhu+MtzwW2ZPJa2PmaMJh2CJcMVFm4iviNcNDkeRFeDWNPf/b//3aHfKKkDoTlDBfXVepVzt94cTNPsZoZuNVgkJCatUqXGEcYpaSnh7xFJBf6XLABJXRc1BwKauV47Pt5s18xMhFIj9wmA2q5EygkBMidXNzBmTpy6Ll/sdm6wWvVi1RWQ8OZTT02luFVQSYmlVGJyV/n/c/VnPbdlWXYYNpu11t77NF9/m7jRZWREZfWsLFaJWSyTEimyIJpW2ZYhQjYsuAEk6MHNgwEDhp78E/xk2C+2YcCgYMKNaEtiiQRVLIlgsbIqq8kuMiIyIqO7N273tafZe6015/TDXPvckG9UZd68EfF95ztnr7nmHGPMMaScnJwpWOr75bIHKykG6wQJS55MQEyZAjCKwrSvnNLQRUKstfpFmMu03e+ZsIsdWFs0cdlgTB0YRmJELCKoppZrEQrsuedaFYEiBVenFCw2c/qBIxqlLuU6llpFoIuDYghIU5mkCgIWyaYAjKrV2saijrXc3twtV6vdbvr+n/345uZ2v9+XKuCRv0QKQMjY+hZ3SFNEVC9A4jAUAQIIij9w7HCj+geElyBZh2EoUhi5W/QModQ6LBYCqkVLMZgyIoQQ8HB0zVR0nDwpbU7iVfcWgLaUKKqjRfeSNJvGvN1uSq6lVrcQDiH0Q8+RHf0zc+8db439VSOAWSMsFJGAkJkjxjbRMwUK3krXWvPtDSL1XV+lRI6OMZJP1wTut+VFt00Z/p2gcRLzeOAg0GGxs7VQTsmaqYqJFGhexyCtl7NKzVLA+WHXdyKAiIhUa/E3IKolFyL3FXDHOz/krksDUKxa8ZWw+1B5mgrQXy0lzdP05PGXw9DFGMf9GFN3vFwtF4vb281f/9f+2u/93n865gmARAwp5GkSERPb3G2ePH78jOjDn37odl7EIaaOkYa+D4lFdJrGi/OL09NToEZnxRBUlTkECv7jqJihioinQHuK6Mcff/KT998/PjujyGZkNI9cZZZptvcUWhdrs4EBICIQMYbgmIrbHKV+iF23WK3/9t993VTFgLsezMZcyjSZ6WK1PDo5fvT6a4ECIORxurq+vry8fPHyxe3Vdc6TtxC11rlJBzNB33+wFnvr7XYDh1TAfYhEAIGZsSkPFRGaNMufj2ZZ0cpxa8kb/9ra8Vdcw9zFN0rHwAhU7ZCI1v4dh859GMbZT4lmylnnzbj5MQUMbStshgEaJNWgdGiX7ivzcFMxAQghdlWKiolkj3onIAMqVTebnZ9EUAWgEIg4ElOlOgxDzjnnPO33pdRaS4zRNXD+oTp2qaYppFomDiRVYuJ7F+fv/ty7R8vVfjeiWq01hOhCMo+viiG665aIxhiZ2Vd2ppIROVCoUnyeWB2tkYgwxhgRTBkQKYWw2d5Uk6kUyFpr6VMcp7pIPRPGsJQseRxDjAJ1zDmkpAJVq5rWXFLAccoxcr9cljxVkxiSFa2lOs4eukiGWpSZy36UWmIKFYiMTExqNQMpRVQDQc4ZI4XAhqHreg5hmqY+ctelkouZ3m223gelvgdAYupir6KAUJVEVavknMf9VLJ2/ZCOF5988hkQiiilIKVaNgRwcUAgsln85Unxvg8YUmCA1mUjUEAwqlJAjVs1ZADbjfvtdlwsByW4efYCBKrKt977hS512XIpuZ3HufQDzH0tAPiGnY9KRHUci0cbuh8R4UHxQkT7/VhLAUQBCDG4gX6ZcnHyAzz2aubm0AEgndtGBBSExpMgEyqKWDXxbtzUmBjMJGdTtdRR86oHAsBAAIh8GPMBDNrutLqeENCTHgCqVHMbEzuQuISEXrH9kIq3LwBMnHMxcIs6JCA18QE9UDBQavxeO98hxi6RG2jPxIEDngTgWDD6ReucgoE1vEs9ss2cPMdcQTVPEyMMQ+q6RMQ3V7eYUsn1s08/r0UXw3K338cQKYSu6/pl/6Mf/Oj+g/tffPnZ7dV1zoUDAyIFRjQiKJL3t1VN9+Pu9OxksRx8y7+ITNMUQgAQf7hUrdQWSuVSMiSsJS+61e///j/9t/57f69PyYDGWhr13XDqdq02a56GbfB8FzhF0jCPmFKIEQBKKehZCybE3FFwGMSVNlKLAtQiGSqAMdH5xfmDBw+JMCDv9rub6+uXV5eXl5c3N9f7/b7JzLAB5ThvXXttQW3RFLVW13qZUanSID4zrU5Hkar4w+CPt819uOs7oAmO2tDpLZNXY1Vz/N+AvF2bK/rcvqNLDdqCJwC4XX+DQGEGffyycNfnry1Cz2MAzLeOGbTUTGsTsJFRyLk6BQVgtVRm7rvEcQCAqhIRSxVfs0RDybnWklKHzO1jUyOArks1V2DPnUED40CqShZc6iCqtZZhkc7Pz5b9kEIQ01xyigzmihzFDh1edHkZIztyisiidb/dMxBHKnc5Bl6tl0PXoVQwKI7vcwAAJBDV05MTd0cIMRJHBc2iQ0gxJSJJ/SLnbICBcOh6qSqgKBhid3173XepiOAkIpC17Palj33shpTiPu9vrm9NLFJkphTTcrFUUBKZ8qiKN3e3qevIGAhD4oEJAwGSGC1Xq5Kl7ztCrgol11pyNQM0Rm7KfUYGNgJCg4rMoVSPFYXUd2qQumSAQ784Pz9brpab/Va0BfG6BtdbMDNQEbMGVuacFRSMgFBMRARmw6mqYga/+Eu/8P4HPylTiSGUUrMWAzMxYuq6rtZqaszswZ8wj9L+oBLRNE1936tqrbXvewAYodk6ErLrMXQOEuHgCK8hASFM04SIj1573eNUVcU3mZqayA0CcI5lPwy83rkDmvfvbtzvdgXTGENUrVAEgQlqxHhzc5NSVDEFBfR5aObTQAO3hTs/dH5vMXGfklI7ZdiWu9BQ3VKWiL2/99PFRAxMHBFRTRnZve3UhJkRo88N/rPAbBuQYuDIABY4NEpU1bufpgtEAMRDBgAxuUm2mdU8hRACmxSdpikGurm86bq+guZ9JsNxmh48fH2xXu/2+1KyWTk+PQmRuhAXw2Cmd9d3RaqZTlPNU4HdzsyGxbKWQoSl5FpqefTonXfe+b3f+70YOvCdHkWHxUst1uxlQFRBjBlNFY2q1I8//vh7f/onv/tv/bdvb3ceeABILpRy8KHpExGYee6UjZEEFJQ4YESuLa8QESkiioJqJQgzKBeKVFfbdX3Xvoa2SdFUc60GQgDEeHH/4v6D+2Ygpj4fXF1eXt9cPXnypE41xABmMcZawfdEibFWXzdHMzWoKuovFZuPUrvL5zrsay1zQ6StqfAt98bctPvGr/vG58ChxWget+0KIKBq4tODqrjts3MYbZur+XeqW3V+7ZV8DR1CgMZwNOgQvzaXAFggIvU1ZRPvfwCo6/sYWVWmMXddArNSa55yiMG5OxGJMTjuCYZj2VPgWiUgIxKCSXUzXhCpKbBp7bq4Xq8Wi4WallrHnJmp5Comy8Uyl9xZt9vtQ+AQQluCNeMY9/uxSDYyBKqlcgxm+uDehYmoFFAJAVIMUrwWOsdGoBBivN1uAgXVmlK422GMERFTilfXNx5hO42ZAptaDFxEjo5PSi6BIVBgoG2pzLQrY0CZ6jRNIyLGFANHjHi72waZmEItQky7PF3cf1BKXXXrLFOpe4FS1QxqVQbDnKfVaolVpyIA4LtFIQSpGkOaZCqlCsmsDHNrAzTJ41g2u6yAcnkTY7q6vgLG/+bv/l0PuTKnm1XMRGpDxtFcwOABrSSqtVRHEl32UEV2m/0XX3xxdXnzN/7mv/bkyZOrm+vdbi+bLSKoWYohxOjgnaCqqlRBREIlIiS05t5vKaUY4zROVWotlQIBgqrGGJ318mfM5kZR1ULgaZr8hRHhO998R6Sqb/cwAiCza6cRiYLbMGJTGfnUjOiBqg2VjYHxYMZi2HXhJz/84fPnX222ezXtYywlg5urIURiQ49QNzCMTC5bsAM7bJDzlPPkp0a0dUi+80NzG4hzKY8pxRDAGW2fDUhERFUVVMdsBg3kNbQ5xNttKgzUxYKH+QDdTs6a1YyZo81gYkDgyDIAVqkERn0clqsQoogMQ7fdbBardan58vH18fHJ+vhYFYfF4uXlZcv/Er3b3J2dn/z0w49/6Vd/6cc/+FHFyqbDMDhAJ6XUnIlZqqQUEGAa88xKomuuDhUGADzq1teoRDyXTcZpz5j+4J/9F2cXp++++/MUgqlxRATwp8jcTdcMEN3BpmHn4J0JKKihudrY6xURtyj5KiYKSGqaYjIwqZWYq1REdCdAZqKAzREWm8q2NlTPQkr3Hzx47dFrTKHWcnl1eXtze311VYvudne16JSnqjWXItp27NGQiJolNJhz7NgUOxJCQGMz88wgBz/pa3pMf3R983Am8g8XVst0hMNkDQAGis2F05FQVTn8PWv7YK618HtGXo0NoAd0zV+7pwPMa2owb0IIAAYX0iKgGVbJIrpYDqvV8sWz57FLMO++qNn6eO0mPF3flVJU5fzizNA+/fizfd55CFB7xU0QB77ELVprrcuhPzo6kqo5ZxDd7XZSVc0IcZom5mBmJlrBpNY8ZQAj5mmcEHG/G1Gh78M0TaBay3h8tJaau8immpiZgTmoWtfF21sljGbsyyPTNDFziBEBalUw2+1GAHDFlyhIrYS0H7MhIFYm3o97XsXUdefL5X7azx8nAwZVETCRgkYU0pSr6O70+LTv+4v73YvnLwDpxfXLXEYitz5VI1Sj292+1lxl0mJmGFySiK2SiQpH9igxkcrN5p7MoAIbR5FctZ6dnO6mnRYdpxE5RnbA2qMprA1+5kY07p07ex6aoQs9HblQRATN8uDhvR/+6P3laj2OUxldkYnOTDocfXK8RjATNTfE9trvil4TJlaTLnX+x1pUVNg4huR7iS1FDUDmSdTMmNlMObAZEBNzs3A4uG0zU7PeJQIkRiBApuiluen320Tgr8RemTWAEVLXxXsPHnbL4Z//wb/oF8PdZjcMPSNyIJ/JZ0YM0WyrI0dUaXmw0OxOSFWcV2BkDzZppc9xKmQVCSlarSpQTLbbnSs7TY0DQ8NxDY0wOJbrFsckpgBWq0eeUeA0u056bUAARSQRBQXF9oEpoQeRottDByIEEy1SkLGWutvt+n4hKkXqg4f3u34RQnd2cfHFF5+rGILUkglpykXNdtP+t377r372s89KKZvt5uLiXkjxJ+9/AGhiQmpIuFwu9uMupRhiqDn7uoVKdc9nZs5loibCIMcfCAnISi7AtuxOfv/3/unZv3Nx7/79LgYFAgYkdqSLiMwUkRQrIxmwATQPHACAICpzkTQGUjdJ9VEysMsLXADm73YIgRAQQmvAX92oYCroLLshWlvMUxVTMcTjk5OTk5NHrz/6lV/5FUcUch5vbm9vrq83281Yp5KrSI0hOhnARDivrVBDvYrTAf6jMZOImPoiJNusTWp0rAEheePmEVKt75hpHr8wRMWVfQpGBgaeU9a4AZ8maq2+q2jN7tCZBpqxJP85G+PccCC/jwAMTESDqDgAJlIPAWwIkFKSWkMKB6PRzd2dn7fb21tmAoObm+u7zebhg4dEdHVz7Y0nIJiqG+ESkogQAgcKMUpVoVpKteKKcyNmrSJqZSo3V7ddF0OMMQQDFRGU2vd9iEHVyHdMcuEAy/VyuVyJlGyVGacyYkVAImSOrGS7/ZRL7jtMKcXQiSgAV63jbjN0/W63R2cRYyQ2MlKFlDoA4BAQ4OLovqrkPJVxjDGaG46xcox96F89XSKr5TKmlHO5vrmtte53o4j03RBTHwMZalTNRfb76gkK282ekUSrCSA6KI+lZEQGgBjb2oGqCwFUTEvWzd1YVUMM77z7zd1++70//9OTdNp1acYmmp3rPHlCmMfAryGKLmvwjtwPESrq8fExR57GnKcJoP2gbcWmStd1Xd+HECQogmebt3Vf8N8hELK7FYooE0NT7GAIqZQCBqKCM41ps/jAtUnthgA005jcgITRLZaJkJtZQ0CevxECzt5ZiAd5Mxx+a4BAjEQh9stVWnTAAZlrNgO/UdhMXY8N7Y8AgJlJyQ4yTWdqUxfdnQbAmBkNDT1xjF2YJEQpJuOY84TEqetSTK72Z2Jvm3w51qlsbdSxAICv3IuIa5hRMMagpmJiqn7JuutfqZWR1UykIARirtXThVURTSDnaRzHIcUQYpVcRiXCk5MTREgp7qdpu9uHGIeh74Zh3E1Sa9el5WL59ltv1VoNgIiub25iCE5rgIFrppEYDU5PTxfDcFc3MCMd2Pb6lZhNDQ8LsAgAIKpd199/eO/tt99BcE2H4+yoCuRqazBo1KsFak2xU/7esrS96Jn+RDA0UDEApIgNATfzSDGnjFxF5qi3x+L4lypSfSFGWyZEWwxyaT0YaIFaqwHlUtxXiFM4u7g4OT2meYeDmS8vL6dp3G62u902T6OIAOKYpxgSB2amKmKGpTTJqc0RjM2GthHdjQwANamCpKrmWYp+QHz6h6YKVfPtdJCveZmCO0wAKKEDgkrMOJvwv1o3sYP+51VY5jxg+D6eByOSLyUSAiyG7v69i5rLfrt9/uJFjKGU2uQ9PnuILJeL3Ta7nKAfuv12txu3TFSKuD2Wv/M+HyF684QxRBVRCON+XA3L1KVShcOBoICcp2maACEww4zDGrgpEZdaDYECqsjpyYm7c6gJO4aoQBzRDcpVYwhAomq73U5VkRHQhn4Iyyimq/VR1SJVa9UuRjNzzu0goNrvx1yyme22m8Vy0XV9AFitluM0bjeb1shx6GMqpWopKaUi0i8WHDsA0CqllmpWq1apuWoahsRdLcSpC4aSSy7Z1Q6miubWMi2hVMRxZfLt3GkamamPXSnl53/hW0fHq4sHF+cXF30fq7iEuQl8GqZtYGDU1CMNefdHqokVeO4ACDnEhw9fG/olONABgMyeaDoseiZKIaYUaxYVTbP9/UEV0y4BACb2nbJSilP33iuAmfvMgq/hWEtdb0AHISC5Pevc+LQukojZF+fp8Bwdqj/Ny8I0Sxuai5YXFCJSsWFYxchvvvXm88tnbjkaYwpMquJ5fj6eNkICKTD4un8TZCISMrzyJ3atkFMF6gQa+N4fohmWWn2PFJFMtMlD1G1hcogBDFUE57SCA6GBgIE5xMDMZKQiVQopxdT5JM2cxv2kUgNxCBHAAFjEd42J20KsEHelFlPbjzlyrLWM+3G3uYvMF+fntzc3RJTHHGPsuy7G9PPf+oX9dj90/ThlBr65vFZz32VERBBAxpprLmW9Xg/9sN9nL3m+FVhqsZYRiO0iRzMDETG1aZoWi+U33/vm+cXFzfWVqZ6enXMXKURz8czXhL7kkIF/gq5bOMQLYHPEVwMECCEAmEjVQ3iJ+boogH/7g5MoIpj6peBQG4BBYJ+TRRs+5/IEZmLu3CfWTM01t1ULWJXq94/Wcnx8jHgCDwzMRHS/3202u/1+d3N1baq7MkFL0p0PCUIpWUVLrQBQRVzU5+aMTdnrojD3sgZw4al3S455WtsgnBkv1zn4CZyTrs3MUcr5H/RKYoC+Z9jq2gG6azVX1AIHVSUKxBQIQHTo+uP1mkO4fPHCd9RcuusvdJbDqyMMi+UCESkQAiMqs1Eb22H2LDIiylOOibt+6IcBCURtl0dA9GV2Rmy25khdTGqac3E+EMDuNnfr5WosE3ggT4xjGU/PTkW1Sk0MOUtWSSlGDp4ntdls14sTJtxuRzWNITDRmOuUb0Pg2KWQOtlX4hBCUDOmAAjTWFQKhwDiNRWWy9X5+aAgi8WylnK33RJBPwzTOBlgkTJuNsRR1e5kI6qLxTKXCu49FmMMHE3H/QisxIlC9+u/8es//PQH+5vddLlvuzCIHAJxGKeJiWsRcNt61Vyyqk2l5prNVKqWUkLge/fv/40H98Ypu66GAJy4bLDGoSGmZp1ucwfgvTkAzL64ZATE1PVdkRxi72oQBKNAyCiitdbleunlNYRAzK0OHsQ/iG6R6AdYZpiSmd0QSVXB2nKj1z6Y2xzvGWtzUmxCuVeMmGuzzVyk74OsOxjNjQx+fWAGdOzYYV8EhNClzd3m9dff/OLLx8sQG4Zr6lMIISoCe2P4NfSZkNwXhAMTzmity1E4GICJIrOagqmBHqphSinFKC78lEoxMZIphMDu99daIkLS5vuj2ngyVZNaqd1hvvDADeC1uSEwExWoxRtJp3ZSTCGElBIRlVIBvKdW0Xp5+fKrJ1+JyS/80i9+8ekXb7351sW98912++L5yzzlnPPPPvnZ0Xp1cnb66aefcWTI6DsrahoClymj4u3dHQWe8uRaeKm16zo1V307/K3ziGle/jg4sQFHR0ef/vTTGGIpdb/b/ty3voVMyB6tZd6oqbpPqqioAhDx3AWRmgZmNQNwpKjVLxHrYqwmPo658ZT/pkplZDN/h9SvB3JCBQkUAD2Z0rz5F6neo6i0v4gZjIDBEDBY1OgaYBdfhsC1imPirJL67vj0jJFMdMzj3e3d1fX1drOZymRqqpbz5NFJLm9tagJsOoBpyrkUVwzTnMJIgMTzhokP69iWCfwOsyaaaqOpSMXDSuKr9r6tm6gKWABXN6nNtiNuGNOuleDUjtcFVev7xdnZBTKenp9+9eJZVZk5e8cWwqJfMAEBqgi7tl/UpwHmaOZ5e9aUd+aMXzC1m+ub/X7ra/oxhtvbbb/sctYYyPMXupRSSoBWqyhoLUVFL+5fnJ+dPX78ZHO3aXwLh+WwMDFTrX4OparoNFaKrFGRKJepjvlotfL1IuKgVVLq9tOeQ5SiHLjkUkodhp6YUI1i7BZdKRVDw+pEqhmWWnIutZahGwCp5AxmtdQYUh+6IlVLGRbLUmUcx5KrL7WoyWLRj+O0HyeOkYLcvnwRUvzqy69SiAZUihgoooYgANSltJv2KQZEYsJdzWogJUup+802ixgQIAzL4e72zsD6Ra9t4tVZWueDXdsstfkmmGUACE2ZA56nZgAe47NerquWd7/1zY8+/tBzqaoooDUrJOcTTKzhe22z3G3MoWH61OKjoXEHrUtHtFIM/eQ18YFT0My+TepggohJMBMzdoYVWmSU+660nrT9n5d+mydHY2SbY5jwcNMYDMPw059+PBXdjjktu6GlDsC8JiGmampIEAMTca0VAUSqX0Ze1mOMBCTWUCxCnBUoJFVT37megwOZaSm5wVZMVYq/PymmSScfCJjYvU/NoJQMgFUsMANAYHajN4iRCwF4LiG4zKjrEjNXEUCInMRkysU7RzOu0riKXIpWSV1PiFOeAPTjn378/b/4wVtvvQ4AP/3oo/v377/xxus3N9c3N7fL5eJ7f/on9y/uvfXWW8vl8vr6erPdXF9dbzYbJ/CXi2F9fHR8dDwsejPwBCvx1hssBFZ1/ztoPbrXSjMkTBx+9IMff/vbv/bsyVdIfPHe2WsP7222W0ROXaTAxBxSQEDm4Fh8rZpL9sVGM3RzaVe+iplKMz7wrpk8MM6IAAXFExmbgxISGBkf1C/mSZw4B2Y0Sw8zJhIRMyDE2HXYdeZsPDopOzdOzaVVnEf0OCOcWWvxgRLAjQxyKdM07na7m+ubu7u727vbu9vbm9ubUouIA46WpzzF8ebmxvsqQKDq2y5OwbUxdx4JwOzg6OU/dUOgaW7/zQBh1gvY4e/P9hX2dYi07dlDEzdpSCE6t2OlgrksD/qujynWXLpu4EA+ELmDx2q1PDpaP3/2HAn3477r0rjfX5zfKyXfbDaGIgK+HO8NTs2FmEVqLnk/7ru+KyUzYYhJBEQKJl50S63CgZj5+OS05tIvema8ub5FxCdPnuYppxRrzQhwcnzETAbSd71pGYakJYsKUxTTmKJv4TLz7e02BEaEaZxS3+Vcjo9PX7580fUdITKxT521VKl1uVyM44hIaJhr5cgihQjNNJcSmLfbbUzRG4oYwvXVbUyRiLuu3+9GNYhdMqMQQq0SwjCO+1qlH7rNbpx2eXOXv//DH3/x+DEprtaLo+PjUss45qkUNQlMREHUYgzjNE1TnsbccwzRk9ZM5mYX0Fxx75Op85MNWGi9gH/qTatOs3f2DADaq24ZEYmOj9YhhH/lN/+VH/3oR1LqdrspRTgwAgyLRYyJiEU1pgbsuEJzZqoAWlPV5nXwtXhmM2Ni41l3dKjjAIDQVnIAzBw+Ypj3cRtw5Syhd8wNYvJRwG8ymtkPhPluM4859ENU69m9891uu92OgalKAWpOyyE1EzHz7oRZRDxiGptSDjiwqSJSdVAIARGqioowMzFIddUpE2OpFSuqiiFGprkFM4dVfYZuoTSAqg3NBQCipnSuUpGDmIo64AsllxSTWxQCgCO8kisQqkxAruySCqL7fS215Mq9w+JQSs4C/TItlv3N9XUt9aMPPzaQoeseP/5Sqi6PVgC43Wz2+/Hs7NRvZa9NtdQQoqoslwu3sH3x4vkPv/8jDmxqIYZaKyGFwGA+JorUikSNVp85/xiDqvzF9//i4cMH9x/clw/k4WsPj49PH732yNBMIed8e7sRFSKMkTlyTP3ReukgpVRRUQM5DINueeZglwIYNrbEqqhqcbP3Obl2boR9rnMvrGaQrjP8WEqZmxWqpQCgSHUhglcwd11lt1pry+DOa7bwrKa1aTO1s8quFnPIFc20lJKnabPZXF9d397e3N7e3tze7rbbu80m56ymMI2lVgRwLy0iDCHSYf8cQM2aSAfggBn6+k4VgXkTXtzbsh3ERggbuqc0NNcIUY+Wa/MokAEEAnYtIRrM/HXdbbfjfhwWw9HxUc655EoMqNp13XK1yHkaFsOKl9NXIwB0ff/wtYdvvvXGf/5P/nEtgoHN2oaXmoYY1CBwNIOQohuVFpGp7lJcLheLlAgBiNADAC8vX16cX3RdV3KuWs3s9ubGVbqIYCqnZyfEWItkzEeLQa2EFEl5mooBlFIWi+Visdhu7+bQNVbRFJOaPHv2NHVJ1ZBBVGMKi+WyTCUwX11eLfoulzFyXK4WbtghUmutAGZE/dCpKCHGELZ3m9VqICKHYvsUq7aPKpdSq6gZh9AP/ZdPnvTDIqX4zntvffd7f7rfF0baPHt+c3N7fnG2WK7GadpPI1IQkdVymVJSMxvHe/fvg2oVub7eqVQkSjH1sUspxRRExP2tAIxa0mHLnGpMEdpM+7yChgBgbqK9TAEScKS72+u333z9N37j259++umHH9y40pgPzDISU2gzxXy6/CODRjy0kxmCWz7MXSG4YGE2S2+YFCDQXHTQwGoVqRJjUjUmdLOthmYaGihhaP/aHOuLbdtqHgUOZ745SWgIrFIWQzo5Wn38ER+vlnkc03JhCuQu1CFMOZupATs9qEUoUIydiGgVNSM0YtQqYAbaAuur1YP5a562Q79AEZVKSKpaa3H9PqN7IBc1oZZRj2JaS2ViUAFqjIwLh4iCVMllEhV+RVCjFakiCpkpuMkPNDkpHd6mqrVKnUbzHAV0+HUaVW2xWAQORRUAh8VyteqfP3355RePHYILzM++ekrMOU8hOjoPVSoRXV1e7XZbRHz48MH1G1f+kU9TjjG2u5/IVLwRUWtjgS+sceBSKhFJlWdPX6jCfrv/P/7v/w/TlGOKv/Srv/zLv/xLjx69cf/B/ZPT0xSi1DJO4+3l1Xa7rbW6Bf9heJ2fZvXPGwhCjMDIHInQM4KGEKkZ8qgBeRyFuSulSs0ZzJfM1feZAaCPARFLLQhA3QJm21dAM6GZeQJTtYAc2ACr1IYvVYM2Jqi25ZgGy/tj6OIXF2Uj0WKx6PvuwcP7JZcYo4iIaM55t9uN434cx912t9lurq+v89R+5SmHwIjETDFGP0e+I0JAVdyKFZGwVnONrM0DNswa09aLIKo1hZb/ISL6ZcXMgQKJX49ozkUgI6j1fUdMvqPkQa8AxkSudalSFothtV55NOg4jd2yv3//wZdffC5SCYLBAVxtn2IVYYQYmJnNWGpRLYHjOJYYgltlmdmYxy8efz70Q5c6MMhjhibYspRwv88XZ+cINPR9KVOpBUA9VyFw5MSReb/bj8sphPDkydNHrz1kZtU8jVORfHJyHEKKMe7HXZV6dnr27NlzlVpKOTs5YcDVsmemIlKqxBiYk1PfxFTFahGwIqrIwZABMJfiTKw0mglTTGnR7fa7EEIu9Y033rjb7iN1v/rtX+ni8R/8V79ftALamPOXT54erY9OTo+XIW02t2b28uoGEa+vr8pUttux1gxGVZQChxAMMabYgPWGHRKoigpzAEAwaaxc84xU830vmOdBhGZA3oooud5DrXZDsqqkeHF29uTLp6XUYTFM49j3Ax7QeR+zfX2+3TRtbPXcRDtk4yEiUZXq2zBuctDuHmcLwb3q2uNITGoaiP0AqykB+ywLqsQHWZmBGaEisDOQanIY/ckhRxP0zV6Rd997797F2dXLZz/98KOUYq3VQctmBzTLFrMWAAwxVBGp0o52299poNM8TAMRKRgFnqYRDES3zNylbsoTNM7SEAEIGXBmGslbE2e/VYEIp5yZKOeiIgZWiwBalTr0g2ukploIUNuZRtUaOCqaVKlaTYEDhxhSH6cx11IRTE3QkJCQoUopedIqIppSf3y8DMzn5+eb220IPOWJAKvUGCISLBYLN0yutaaUSs4ON3Hg+/fvI+LV5SUiIaovQ6mZD0N+rbslil+BZiZVlFTVUoqBadzvx3EfQ5ymsdb63T/8o7/4sz93ySwG+sbb3/jWe+9+4xtvv/Hm2/cv7nHgUvI05XEcc5507i98kK0iBua7qw3ccBOopgpw5/lm+tGmQ7SAPE+/oK2Jpiq11MpMoKCliFaztlQ4x9eauSTYZh6tLX/5dD23M97vt4EYsSE50AIYHNxDrEIqomzudeoY2tHx0fHJEXoKMRgTjftxt9+P496vhNvb29ubm91u58LFkjMAhsAxpiaTaHXfkKiU7N5zqmaqLpwrtfhQIlWYZhyoWU4oGIZchBM7zuTHIoYAhqJSa7m5vTUVNIsxlDwZWN8PqLAdxdRiSNdX12cX5zGE7//ZX0y7MXDkwLVUAHPP7hiDNcAYZxjZTJSQwQxEIscyTcyNOwIzJBynyQQMdZomTz1hIilltR5iiq7UWPSLkvfL5WLoUqm1Vs2lDKn3VoXQXnvt4Xa77WrMOR8fH3fcgeFme1drPTk5OVqvX7686rv+bnO7XK4Wy9X19UsZdTEMi+USSQyUI4VuyFMuU/EhN6UOOdS83+w2gREA+mGZSwGxEAiM7rbboe+9Md3ebb94/OWv/Oqvbfb1//J/+r/+h//h//b84uL66mqz2XarBRKO4/7lVV0Mq+Pj05plP213u+3Z6fnd7UZVSzHRqmbMARXEHDPRBpiwJw5i09/NWIj39QQkaoBNADD3/dhAwNk1t2ke0Pa7nVj5+V/+1r/453+4OlpJix71y0PRNXnWOgloYG8bs/2xD8xTdrCbvFI3DvrVrOAIETAxAqh7aQGWXKtKwOgl2ePJyEW9blvrokD0dYf2A7uXP6G3GjMRDo0YAbRxnxfDIBUevfHWD77/QzALXaq1ulK7luIDe1V1zqbxYirmgTxM3hEfHAJUjUhLrl3XEWCfFqLu/1opss8kqp5iqNxCDkiqAotqcR0LAddajCnFVEvxG5xjnMaRuC0uKbi1DiEFQHTu3QCMjJBCYqweQSe+Tq+qeSqmmsuUYup7drMkFYup4xCKFAz4+huvaa0hcM7l/Ozsyy+fLBbD5u5uGBZCysyHqklE0zTGGAnp9ddfn8VXaGrGlkuJZuQ7KAhIZG7g3Jp1NBUwIqJxnKZx2m73HqrlSPJitby4uJBSN9vdbrfbXN++//2/2I97QD6/f/botdffeecb7737rbfffvvs+AwIcsml1ClPuRYkTCEws7mMx9RETaUUzyAp6D7Es5maj4k5F0JqEFBDz8mrep4yEhmYiHgHBQhS5DDdugjef34XwvilcnDoAXjlfmYzxu7zqbdr+DV9qplxCEgICsR+X/r8hCZaVZlpvVoeH6/dzqRWMYBa6zRNm83m5ubm7u52s9luNpu7u9vtdvKxgJkRqZTqlUBVmKmUMu9O+jF0duTQ0YACVJHAgV2qZqZAQIFEBQ1Dc+QCisHEqghzWAzD0PfTfs/IRBRTXCyXqvr+B++vVqsu9czsptvsk/b8vnvbZWBILKKIkFKKgcAUEfujtUo7cqVWFXWRu4rlmquUyMkRgYvzeyEmlZpzNuZhWORSQwhEQXQMsZtymaYy3F/mOu62+3E/pRguzs/v7jZi0vf90A9qNk2lVqHAz1/6dAobAAEAAElEQVS+WK/XueSrmxtTSinlLLvdVeqTqBIBASFaTF0dMzBtd6ObM/b9EkByzttx6vuOGCnyy5dXRIwUuj7Vmo3ot377t//4j753tZu6nr/7R/+yWv3Od34zT9Offu9707QnItzjzfVd13Xr9fFiubj/4OE4jlfXm65bIIep5Lu7raGCADGq1EkgdBRTL7MPOAAoeIZ4g0d0NqptFRrMNwTNnbWwbbGQEaClmBB4KvnFy5efffZFVQkdT3cTIBvWnDO6ik6BOIJZC6tr0bN+NzMYhBBqrRTI4QWYBd1f++W78aJGBMzEhuapW66scG1SwKCmbo9lCCIKvmMEIKgERExoZKAAgkYzoqWqaKjkGzQEhBS7PlBYr9f9MDiN6BVWfAkFXOCjTARGteZaBRIwIVOThxq2ABCR5tDgphEe3iSz7ElV1ZSBRNV3R0XUaZbgDRCSaW3JNUZmwEQVARBFldRbamSikrOoIKBULSDuqNq2B8w4hsiMCKrAHMxUFXeb8e5ud3K87FMPCLUWIk6xc3wpRh6W0Uyvb24QdRj6WsvJ6elXT56q2nK5ZGZVc/GuK1xFVUSZNfTp6Ohou9uVIkQYQtBm9q5mhjGA+/+kVGr1mkxEZtCydQlAodbqVIon0G3u7j7+6KdFiogRefOLfTeUKndXtz96cf3B+z/5T+Q/iTEerdf37j94551vvvPNdx48eLher6rKdrNHRJU2cjfWwQARutSVWpmB2eNkTKUCUkpJPJjF3DYHzaxq9apdpIIPjKamPkJDqQ27IEQ1kCyHObhJ+dXsgH/Og9rs+Gze8kpr9pGwKTg5BBdGIlIIwY3MWi4pzlNE8w0UnE1GkWAYhmHR37t/T0VVxW/Ezd3dfr+/u7vbbDbbzfbFyxfjOJUCtdZAQVQxUDOO8Iv5gP/ML5IQgqkwozGBgQh6H2VgIQRsZAeEFMquIGKt1WHKKsLKpsqAAWnRD3mcpIjLflyj5jfwjABB8w/w5c8YVVSqdCmpwn6/67seAAKHfuhNbbfbA9g0TqpAzMwspSLR2dk5UyAgZlKVu80uxjCO9Wi97jocp5y6wMT7cQQQRl4fHxHh7d3GDJA4l0oh7Mf9crGsomWcjo6PnXKZcgHRUoV859N9ogyK1BjCfj/mfY5dYgpqYmC7cUQ0BCpTMcT9Pg/D4vz8YrfdqemzF8+Pj9bvffO97/7hd3f7qWT59PMvXvvvP/zd3/27v/+P/+nx8Tp1xDFFSrnkKZdptDyV7X4RY5e64eTsYrkeNvvN9OyFiAJWEwvEBo2eIoQYoqKvCAIR+36hS4MIyO8AQk9zVmogjjXFl2sdAACQOYz7vNtvf/yjH+exIjYLlJhiLaamKUbnERpISjPcbm0SUFFA7LqOmb29aoYIDkha0/yZKQIQsapKM2UkgCpS21f2Qm5apXqqmLf2hgQVnLNXQLI28ptJ4ACGQECABggExYSR1dBMCOnTzz77kz/5YzQQMe6bZL69AbPzYs4ZGyLv5m6BCJGYoAJirR4I4fvPaGqlVAFBoxBZRcGgqHk8k5ummY9WogDgQ4whRA4+9LT+NNmMRJmZMQVicu7U2v0HKhpjKlIRIBCJmlYpYs6NBeQQOMYgotM45SE1/QdI4MChr7UQASOgWkDSqqkLblG+3WwXq8ViWIhUohBDODj3TePoukNi6vtuGIanz5/VWhoxzyS1KiAja1WbtbghhNIsLX0nAD3LxSuPc1EqTQM2jntoGCWOuzGsl1KrmZZipRT3SBaTF89evnx++cMf/lCkcgzHx0fvvfetd7/5zZ977+dWyzVHUtNaJddcS1XQGFPDN9QMjFqQhtZSHc1XMQNT9OlJAP3mtppLCMGkBef5BQOAfhMDmikEpuyyBTCYAdXm53Po4mehvZlpNZ1zx3Jtzt6AUEvLh3MXWN8TbPwWtKaqjWKIhOQ+fYEDMZlvElrzESKiYRiGYXjw4EEtkkvebreXL6+2283dZrPb7qZpjDHN+qzGU7S53VUJHj9hZgd/af+2KopESLQYBldl6ADFGYoxT2N2pG+aJkCKIZ2d9bXKZrPNpcQQ3QNdVGOMgUMzTgLn38kxxKZBIez7PsbVVHLXp8hB1TabLRLudruSa4gsArUUJgqBb25u81TPz86GvlsfL3KZcp5CCLebrU/0abUuqhzDfpv7QIGDmgDg3d1d1ydEyiWHEC8vr7s+AeL+5jam5C+SGTkwcwBCl3WuVoMC3m63kYOalVInVV9RkqL90I/juFis77a3xHEqJUl3vbk7OTn5znf+6pMnX/7f/v7fPzk7NiHo4snJ8Zeff/Hg4UXJ05/+6Qer1UK1AsWj9bqKbLbb/TRNV/nudkuRU0yhYyQSM2RSgMAkalPOizgAgmFzonEkT5tLjJF3Z60/af6xRGxgTku2x9NeEVaIFGK4vb0dp4yIosIxejSty9i9PUdC1UKNeZgBpK/1+IgYQnBzXdUZYrfWKBGiNLDIADDGOE3ZMQQX/M1fylmAeWhtDYt6HN38I/vtAIgobgt0OHdqgDjv36ORfvTTDz//7DNCWPSdiKhI8xXy8RbB5R+oBx8grFKtgqszKZjjs2RgFZnZQBnZT3IpZmaMzEQqaKZo6OlAbgbgkHgkastBFUR1sVgQohl0fTdNE/iGqrvuzqakiBQCOywFBqKVkFXE0Ci0hQZRJWs7OoDeb7qaRWqoFPZmYioAShSRkBhDiO5ITUyBffp33ZE1FhOg1KomITh8G1V1v9+bGTKraEypnWSAIsXdEfxTDTFABVfXEKJfe/DqY4TD09LWutCBO8o5EyK6QZ86dMyA0MyCDALHWuvt9eaDn7z/wx/8gIiPj47Ozy8uLs4fvvba+fn56cnpYhjGcTJQ8d0C1w+5dZIANSPl+bGekT3PtIkx5jw5pGnSDHagdfsuJbWiPiG8mq0Bvvb469witW9hpubClgYOuQbCIMYACOYtkf+rUg1gtth1aMrhonZwSq3zuUFXCxORio7T5C++5AIIKspIjx69hkills8///xnn/wMfYfm1cue6781WCD46OGXUDFwjwStbuClLVZQFQ2YOaV4dLTOedrt9qWUPOXYpdQnF/DErtuPk3sTS0tiIpFqwO6C5MOmuYMrQJrljDmX/X7vQy4hfvnlk/VqKVVVFSsECiHxMAxW6zjm2+vN1fUVIabEfdefnp4sF0Pf97VkYhZTQr693YbIpajZCGbjtE+hYw5dl0QMCVLC1HcGVlVzLmkViXF/t1sul/txmvLkyfW7/b4WAZUQoprVPPWpn6YpcOz67ubuLnVxnMbz03vPXz4TsM+++OLn3vtWl9J//B//f0Tq22+/fXxytFwsP/3yyTTmzz/5+OXzp9O0/9bPv/fFF18YQtUiFo9Pjs/OL0Ts8urqxfOX221dL1c/++DjbuiIsEtxytUQRWquJdZU1Qyx1hEIQMXVkoaKc0G3OfhQxbPnFRDFDIDsa9iMq+BqFQSc9pMZmgK49n9W9AOiB2E5rm0AaGqIYHRgwADQxKxFZs/NBJpbj4lK6zG0BeF2XYoxlVzNWgii1Gqdd/wELYd9HlQFyDfIPPLSRUUKjB7K2yB/fyHzGE2qagrDsLy9vdvtxy6GTESgMbCImNGB14U5zRjAyPiAoUkVAGNOMAuN3NPCF1kQoO0oE3kEgkglioAgVfyAEbELSprri2IpJaXO/26eSuhCDEHEpNau78yklhatBKgxsiNJJeeYAgAxMBIxktXiP2ktlQirFBe578YdGKSuC0BmSmjMqFbFyMz6YUAOBjTl3KVExCWXrxUyDMSlllqFKXDPIvXo6CiEUHIBRPCtK2dyfKZHcnu7djsjMgd3TFNRA7efaRu/1pJ2TFt25qx7B6ylOOdBRMwhuU4mq9gsiWfSYmq6Go5KlDyOu83u+uVPP/jJB1WFmGII6/XRxcX5/YcP7p3fOz0/67sOG5/EAJizHD5ZRGyXBIKZlSKlFDw0EO2VtRqt6uS2zATA1381GmyGhtRnSh8Z2mbu4Yo4AI4eE0bkH5+ZMrNX5VlD6xNtMzpsC99EquZQVa3FFxrcp0dEQuRaxWeFUrNU33aE7WazWCzaqDHzEDDfAD5JBDPzPtGHOSZGxNglJJqde1VExbSqsOh+P242m/1+JMQqMoRFqxqqJWepwky+HunaN4rJ1HyH20sHuvoK8W7MCESIbpydx7xcDr/91/86IP35X/z5l198qYoqeny04BhSTGRGRMv1yvWxteqLu8vnL1/2KcYYQ4ipi8uhK7UikVRd9Mucp8DcD0sVqWp5szO1YdWHEKaxeK4IEk+llE3tOVxd3imYqvR92u72McWUkqkd9/1kE2KaptHQxnE0tPXiKKaw3W3vtrfVYLU8+vavv/VH//K7z188v39+jwgfvfYaMl1eXi0XC+Tw0ScfPnjwUE0Ww3B6dnJ7c2sKJZfPP/88hmRGKXWr9WpFUHJdrZeEMOZcpqlW5dQbpZC6NCxjBKnCwbX/gQgR2NDUBLFFjHoT7gfMCXZoBBaZGZB78aCDn6LgXTiz20SgzslWqhBSnPt484VNRALUeSPYN1yhSd39WUYQsQO2I/PEigApxaHvEYwD1gJmBNC086LKnvkIrRVSVSNVIWQE34dEIFSHR5vACWcFmUtezRcpTUVzyeM4dl0HKogUQyMPRcVZLw4s7WJTpxaRsJbq/9PlvF4WHFkGZ4OhKU983cSzDfBVA+fbrY1pVFWnXmsVEck5I1KMjIzTlLUqMxJ6swWmFgKHNiKzWnWWJ+eCKIiopSqymRaRELgbOiIopeaaeyUw4xBSFzxlgZiJSUWBKjH1adjtRlVjxJgSgEkVDsGhTvKzgBTCLnVpe7dJsXv9jTeOT49LLj6sA1DDr7A55jkiYWK+BkVMYBiYM2SH6ZsOba6vOk9aX6+gh8wDZKhVR82E0A99ValFPDOAiGqV0/PTu9u7kidACDEQcALPEtP9bvfBTy5/+tOPqmitNXZx0Q+L5aJL3dHRUeCwXq5T18WYiDHGNAxDVUVo9pnj2ExoVBU8AGr+C7C5MoTA7TKDr7X7elisbZ+79wquvZk3pe0g9fF/1WFCdLBUD9Z45hJqaL6ds9oOABFF1adSaA8/1o2EEABApAYOqjY3H9b1vcvPAMGhLTe9+JoqEwyAkAK08cTVT0BEIYRSxFVGHu8nVapUA9hsNh9//Mm4H5k4BJ7yKKXud6NqQ4MpMM6iQ1MtWkhETXOeDt/bPbJ9uhIRNlRTZu6Gjphff+vNWurPbb91dXW9221T6kOIMXVMWKcJW98JHNjEXNQPyFl0zEXuds+tdDEuj0VKJcUqslgsEGDMJWHs+15NzWi7n0TF70IETH3niBQCdjEYRCl1NSyJGQKr6vXtdtH3pnp+8SDEsL3bFS13+22CuBvH1x89uv/w9Z988OH3/vwv0Oz0+HQa9++9+w2wenN1B2a1wG6zA+LX33jj+uplFTk6Xt/e3JqpVwQP+JnyVEp1148x70PgWisYqEipvqkUiQIzmvqIzAYmJl72Z94FzdSzA1t/Dc1U9tWmjLW//Bkl4lLKDOvbrOxpBTUwMVFVsZZvZ6pCxEaEiOpHyBo36PCxf2MRNVAEcqCg1ApmhDyOmRg3d5vUdaZSJnOTLwD/ajDrS+mANDE04VAgBlfTeKyWq/WBfXDw/kmrAoGq5TE7n1bzOHSdHyds0aTt9IpUpmRqRSsiuLTBFy9T6tQUOVgVcAv2ZoVNLnJzDhgBpUqIoZQyDAsUORyyWZ5oVYSYUVDNtBZRKaX0i0FMVFA9G9dFXECAWqSyBiJWZzbAHcLbBUvMQ4yiYuK6KctT3rMhWkwB/Xo0y9OkqmbIFIChSPF0h5BiLpWZp1rJ33YDQuy6IcZ4c3vNzCo6HA3r9TJPuZRiKkDsG0/M7MI8PTCcvt/g0IQZIsYQBUhpboNdAAIw3wSt28X58ePACCgiCMZERFhy3o/7GDtHjLouGoBW7ft+6Hp/w9w4byq5FimlLBfLmGKKkZm12ThD3o+fX16pqRT1lSsgZCQFNcXf+M3fuP/gQSn5v/xnf7Db7xBakue8UIjJ8WGmUsp+t3c3Vv/lvQ/4wiMYcyN4DwhOCAcN/Zzoa+0R9RtFVGKMTLzb71wz6uexDd82C0sPU8lhzkX4hV/4xaOjtUhVMd9svbu7/tEPf0yEuZST4xPHkmqt87XRNMqvXr/5gQpRtIIqA6AhGQUOJZfIXHIOHFOMPPRIfLfZ1CJTzkhAAQEhxsQcQuAqCAjTuHPQzwc6VatSUazWim1zh0wByKOuQdsxdl6jUiZbwD/7/X9mnn9RauAwdN1iMYhoYOpWi1KKp8YjZCIGIAoozU/GTC2lARCmsQZGARCwzX6/WPSYwi4XCEyBxnHMuaQYmBzx4sAh15xz8c6oljL0vYiKaM0FOVQtaJxlBOLUp/20v93cUeqGbnm+OPnZ4yeffvwJGqyWS0ZSk9Xx0eXVdR732/12mko/HN/dbk/PL956801UefnihVaNMd1u77q+JwKpUooi4343AiOYuXDC5ZhEDISmMJXMgRsR5B23WoPpAECxmUA1jserA5iqU8E2J4d69z+3I9hcPBERQWU2KpuNqoh8ehVk35lCc1xJ1UshIttsouAtMwK6zTgAqWJVbYXNtJSsakTU930VIQxIUKVKFSTG5uYIzi37i2RmUwX3cvARBMxDQ8gt/U2rc49eL5sLPJJri9XQQfMKiBCYEbnWSswhxBQTz8hADEHUxnFfSgkhUq0cGFS5ebjPfS5Q1WJgHIIjRWbmiZ5SK7jgTY0YAEhN5rOtSISGAlZrBcCaCwAicgNq1bRqLiVG6mIHhmo6jiMCA6KIevfk+jwvBLXUKpBzrlLHyWKkWnUai0aF2Odap5wphP040YbAXt4/v19K7lMnVXxZCaYMFsGQQxj6QU1urm5lIUPfHx8dXb24Pj06v7m+bmnMnsM2tweqysQunoSGehgHFhEOHEIQrejfpQWbuEFMW2jy/Md+6IfF4ub6ulERBAZGxIvlYhgWuZSSJ45hvx+Xq+X6aD1NY6O6Eb3JXQE4urvf78dxBDPnU92KQ1S61DGzL+i5CVytVVXV4Oz0ZL1cVSnW3CbK3Bgh+KY3kVstTVPeO3fttXPGGwEaoE2UXUxlZkSYUgeI4zjVWmw+GG18APOccVE5Ojrqu36/37u+4Oscg391l665Y6gjJcREHPq+W63WalJL5RAAoOs6kerv4M3NjagEDoG5yX4cnsLD4IVqEiCEUotHOXvCWFteJ/a1mloqkrFxKSXnqaqAGgLknJliSAEQQ4y5TLVZ9EF7Opuvqa8EByJ0bQTHYPPxzppDCMgUAMkghFBK/uTjT05OTtbrNQe6OLlYr1bb3YYRq1QpQL4HiC1O2eu3UJ3GSaqIVgLrFz0Zui1UYBa17W5PhOM4igoRpRCXq2Vxu4VhAMBxs+MQpzKGZAF5WAx9TLVWMbA8GeJyuaxFVsv185fPADGE+OjNNxT5xz/5ye5uW0peLY8YARkjh9vN3Xa3B4Sadb+vHMLl5XW/WH755Zc//MGP7t+7UNFAseyriCGGnDMiK2gt1clxQOAQwYwDSjViIAQi2G3vAO6ZSEPymg1uy5Q4LOACzu3WPHTNlmrNUd27zWYR7mItVWysAKgKEflloS1VpimjVaSlvrVuAhGhaHGPaDOYk1LVgXj/9kQIPky3hEgCsOpBd6iqIC4GdBxJFZUqWWiRGo45O9tNCE3PCr4QOwO2rwK41dS8vjTMlZh8sCb2l6EEaABVKjEboiFIrTHFXIvLFIYhiKrvthKhW6YjmEgttQYOQCgiATFw8DlSm7eovylEDKJSS44pxRhLKSLNwpfJje/bNdkiVgSIANl5TxqnCRTVrE/Dbr9v+hti1WK+pkDk1DGA7fd7RAwhIUGtGgKWqmWzUwA1JA6LPt1cXe23u6PlggPGLkqtU6kiGFMMsfmKb/eb/bg7Oz+NXRy6/o2337p3fm84Wmz3e98AVIUDU01IkVm0OoVSqzYCKGsIjmg16xtiMgvzyNXEaaYmqp4UnVK6uHcP0C6fXwJhiPH09Oz84jR13ZPHTy5fvpQqgRgB765vq1Ztin41tVrrdrtV08UwhBCHYfDdKG92ADDE1PdDztk7ZVMrteQxI+Fut3/w4AECwmTNGArRHYfQ97DnoFNmDm583dqquZVu50Ud7Wb2IlaIqOs6Ztpttv53da7pMmOziqiii8VivV4/efLE5UCmbqhFfjgDkYkh+jp6K92qSqIhBFV1N5paik9mYGZqtRZnir1balsKc4LTYZ7wUTtwW3hp4k13OkRCJOTAgLbf7beyy1XMtO9SLeJlQkSd6xdVNQ/BmSECMEKqRdQkxehCC5uJZ5t3RlIKHJiRu67rYhiGQVWnadpuN9eXV4ZQct5sb4lozFNMITCLam0Uf0Mlc84hcNd30zgyRBPVWo9WKwBTUZECqoBQcw2BtGpIPE7j+milVdJy1XWplqpAUiX1feySiNxtdjvbmhmFkLpOAfa7sZS8ubs7Ol6vVsvtZve9P/7ezXbXd33gcLQ+yrtREfrYXV1dpy6p6t3tXWBSVRRi5kePHo1j/uAnH7x88eLlixdd14nZYrEsVcxgytNuNyKTqgVjf49Wq4UhbDY7T7Jght12E4imLC17R53ynUfGg/0TzPu+B/UXzsIHg2a53gby2VVNlYmISbX6PK6qhJhrMYBaPNeQiABnaBvJ/3VniulwnbzSjB5QDUV4FQNL8z+GMzFmUqr3BOjwtwgACAEYcRsHwEyd9ECcQ/X8MWiWQHPorjXO1nyGsHlpHj2XGE0VGGdXCSCkWqfAgZARYcy5KVgAiEDFLzBmIjOc800lUIghulivlELMBoZENkOrDrMiozs6MrEhqkrJFcliCAaIAVFZ1dBdwYlBRKpg8PNVq3soEapqyYUDxxARyNBKLbmWFBMRdKlDwFolUEDF65vNYtkb2ZirABvylDMwpD6KiHocipk71xNn50JiGkopMcTFYgEIeaqffvzZ559+zhxub25FRMxCjKoCPs4Tmfq+NCBA4GDmInWZpuo5zy53RAdUgNx9C8H3DKSnruu65XL5+ptvAlgueXu3AwRm2o/7r57UR68/nMZx3I8OvncpeRXzWRMAmbnvu8uXL29ub1x4krrUpX65Xq7XR0M/xBg58DTuY0xKUkplxNVqRUdca+n7YRonZkbEGCMzuSCiQalEMcSu65yWd8t+vxX8vocZq3cdl6umZnEZhsBShTlYngAau2AtFYhVNQTklExNqoQQcjFT9bcIoOUh+fssIh7RYYYxBQBDai6NzMGsIqCTPW3hzszV2n4tzc6rhohILYYY2sm0ECNPU3H0v/mwIBhYCLGUwmyqKqqeyu2qtRCCITCzj0JHR2sAVZncphzJGFGkAiEZV9UudexOqu2NMyKkwEwQUojBLW3D3e0NIk3TBM755JzrlCIjQUpJTCAQIUZEXyCymSL39yKGUGvt+7RYDLlM/kH4jcPExigqIQYAWA3LcTeWnNVkuwUzWPQDB765vQspiAKarfsODMV0s93kUsY8rY/Xb73x5n63/fLLL756+iyltOoXKhoYIkK3XLy4fKHeIYaw2W1TSoYGBYHg/PzkeLkYFh2g3dzenpydPX/+DJFyrfv9KLNJMQeKyCGGphkwReYU426/p0AANu73JlpLCdGnJm8lG/tqhLOez8wV/waAoI3IRDX1Ab7Ng2qGVqog0n4cHVXyRIdaKzPVWsft2KXIHHx2dtahAfRNRWktL9cVqDhjjS1KG9xX8eDZ4+fHpcYHNs3ZM1UjNJwt+FUNmykoECAymbqVM2D73D1PxhUpIB7J1GAxO8CpiLMnSQNUUdTAiShRTxMpNXvFRnNTa0Uk55J9vgZEYjSDwEFED6E40tJ7DXxZDPzsBAAgI6pizc9ewRAUmPhAIGo215v7D2lltlZWv7owhYhExX2WIquqhwyXUmNKMSYkIqbddry93pyeHQdi3xv3bFQwJmQpCkhH66O+T303EHEuBSmcHJ8AguuXttvd5cuXi6EnBBcRShVPCB+nMaVEgL7IY82u3K332ozneAkCibkRiC/RuYqxTWDo8N3cp7gRSCn1brP96MMPS60xxNVyNSz6nHPORVWffvVsHCdVRQgKysx5msocV8duXFallOrJxCEmIiq13FxdX19e+eJVjOHoaI2AXdd1fRe7JKJ5ysSUumgG6+OjMuUqVZo4FQBBVUFQUNDzD8DylGf01H86f1ygNUCE2GC6VnbGaVqv13d3m+Au4jDrPs1UJMQoohzQ+1T/w3n9UBHAmJtg1cBvI+9sVBUQhhgddJVSXZnmnuHMpM3gpJ1OUXGaGue9zZmHcfoTwrgdObK3iM5hIxMKmVnJlYcgolJVobl3xdSVKYcU1VQrUCIA2G132914dnKcpyzO9DPP9jHCHMzEwD1PCgd2H/MYKYRgBkw4jlMVBZDNbhtDIqIUSKROeUyYDJ36FPNuEY2IAgcXdPd9clgZDcyqS8xUNYYwTVXEAtiiGygwAIzTSEz+CbrLWJFSSpmmjIS55MWwAsab2zsGSF3X98PJ2SkFfvzkyb/87h9BFQMBjPvt/mR5YozbzW2Z9pvtbszjL/zct/o87ba75XopIlUkpLhYLFOXdnkfIh8dn7x8fjlO05TzcrEypHHKnmaLqJEDIJuBVAGE2EURBYRhGMSk5Gnc7VvOlLXuw41u54/b3DHPWUCRRs1jW5Z0iaZLbMCbETNrgmjn8ZtSCIkZwFKXTs6OXNY2I54+PtpBdYlzTW+ChzZ5zM/ZLBlsjxzM7JaTNg4lGbiCbuZm4fA7NQWglnkAbQ8AW+zTq/lm1jW0rAxq9CIYmelBUmTWijt4oL2qxcCIoAC1CFg1A1ev+zdHcCEbepPRSiCRIw8eiqfWsupqrTNz3m4CJxJ92b96ULO/XcRIhKpVin8UHhRTpTjfWHMJMXpsQ6k1pUQk4MFH0PzVEZuCKwQe+h6RttudmHjUaDVQyylGMigl90MfGWPsiJkxSNWu787OzvYf7W6vbg2VGBN3iBBCNICIs7mY2rBcSBHfm5hHSnC8vk1KLlaBV3uwotVheADw0EWaVVvSYLomUAHI1pQ2yMwhBKQzDrxYLB2E2GxuYUY4L19e3t7e4fyLiFLqhqF3xMI3kFtsdTNgsFprKfn29hYQmJhDSDEuV8v1eh04xC51XUohjvtxbiiVoBn+uMmuqioZBy61+OXtT2prJWZehJmRqOQMAWbizYZh8HUz0MM4br5yyKGtEIYQU5c8ySolBjBzRwcAtaZz8+HDg8dNjYkA0B3CY4qqBpj22x25D9Is8jYEE/VEmHkJwbsL8xbf+5LgPuOeOQktqzNQgCpqANXRWQJCCjHEEPRrmk4Xy2/ubh88uPeIedyPL/eTb6aoVCZGF/DGqqreb/apDyn4wMWOvYZoVcuY/YdEBVMQleXRWtWV0XWqdbEYOsTIgd1yAIBMe+4VDU3JgJHUdLFYgZkDHgbW9cm3mZBpN+2Hvh+GxW677boudankkrqUUkLD/biPXehCV7JAsb5PoLg6Ok4xXd/cPH95+dkXn1fRh2enF/eOY0ivPXz4+Uef5ZIj41SmEPnXfvFXdnf7cTt2KRmhAcYQrNQp11y3uzGD0WazpRAeP/myH4bYVQQehoWf6jrbbNVSvUBWaXHhqmKiMcQpF5jFNp4u7aW2TZezZ6y5nL4pdtRVQ9aW70ANzPlVQBExBdZ5TUZNVJr/AWLJpeTc9WnWNuCMG800QqMVXv3Cgys7zIzX/LTBfBG8OjwG4EvzWl8Vf4d23CnIAAlEHCA313+qqmMmQHO4zbxw1r6Bmft5tWbKxU6iwB4IrGDE7NpRAGgrKqLKTCEEBS1TJiJmBkKHNRDRQU52FJuawZEWNVOp4uI2QAgx+sKrlAJeJRHdnQkZTdoOkIqCQohsYkUF0aRUJiKMxnw4sU6iqraFCUcnADHn7IIlVa1Fr15cX9w7vXx5Ezn2i44jMIVptyOzvgspsNRaJwkhGYEBTOMYmGOIZ+dn+2mfc661uvl+CEnE8lS0Ea2WS+m7zjF3a9Oli42NEFWar5+hmSm+0qvA4feuQhYVmC1h2gIBGiIZ+CYgjePY9Z2K7cadSwmYOYSIhO7POk1TE1YBmNl2u72+RkRy8bqDOY1EQ3cG9DudHUWUWu/GabvbPnv6bDEsTi9OmZ1glznvGuf1rDbH1iIAOE1TC0RCADIz10W042cGJZcQg39jn++IaLfbhRDGqfqtiM1hFFW1lipSmcI4jjFGH2FrKW3Epfbxq2vwiXxKZggAYGLBjImJeO6psIpwCGYKMymIHuHR9GWHNskQANR9AwgRAhCioPfD4B7lTGDBbT79h0RgRIghptSB6R5GrRpjMLP9fv/g4cW777079Iubm+uXL/6ICUouseuQMMYICrlkJnbENsSQuuRESi01xqCipRTHQFXNgELk5XKRUidS18thue66vkfTYDUQm3II5JCuqXmMnxlQYOZQpapoCFSqA1+GhrtxZGJi2m62SISE0zTFEP3DkFoRiBD2d2Psooqt1iuZsop99fjJ02cvmFkVj47PttvNPu/3u0jLoGK5TMyhEZIiT5++IKDQJRHLtd5ut7d3267vj9ZHZjblKYZ4fXOz32ck3u32BhAwkKcnIpVcsk0GqKpVhIhiAiLM4+Qy4XEcpykfdhp9z9SpHpdXEbVFcrdg8kVKplBLcXNzh8XBwJ3d1EzMqBlGuAExAgYzq6UyY4oxZzfXbOIcNZiVuPM8DAam0IwE5mbc/RFthnz8n/H2/UBEIQEpAoJirQ6DqfscNzrXS/Yc9OgjsJ8w/wdADZvS2eYv6YBLGxWcqDAwr+PABxcUM0NvvcGqmiK3DV7UAtYKq4iYEhE6a+XbN6rV7X3cUOhAnvnl7ZK7ZjQEVkXARBxYIXBd2au3zccoImJWdfkji2itwuDGO+rkmVgTaDRAAJEDi+g05X7oQqQHD+/ff/igX3R938UuDotuuVzev3exHBKjgNWcMxh23WBibudKRKlLXdd1Y7fb7/I0gek4jrvdLsTYdV3Vst9NANb3vZk2GLBFYFrTlfsSEKF5jtB8B8PsADiXHVAXU2kLg2uFxdorcRJxGHpnRHPOgUOMEdsOAbq6BnwDo6nUGiIIYDlXRPZ+WWcZ7jyrtoGUCAmCpx2YQVV5+eKliVuWhuVyWaVGjl7EY4ipT279xIGlikpiCogzpwHNHBSgXXIcqJY6ozHYD31K6fz8fCqTl2V/xwA9eMDdc20Yhhjj2dlpXi4dOax1dqOm5soDnvgo7Q2stXAMzIzQBJbB7WEIl6vl7e0tkoOlrSfElpw6i5bAAEG0uYeFKuL3lt/ePouBkVSxNtwhIsQQUkyM4NlUAgYG035cH62Pjo+Q6Ob2ZjWspJTQRW9VHj58aGjPnj4DwFqrogEYc0gpjuOkRSIHb1GtrdsZInKg05OT68vrk/X6zTce1FJCxEWfFl2IVvI0mQpgBZc3c1QLMSQ1ELPNZlfGSQ2UOaTY9V0uVaok4nE/xphaVfKnlsHApnHf7gBEpCC1jvtpvTz6hV/8pT/+7p88+eppFUmpN0Ar9d7FxZuP7udpP43Th598TBhqUTPMVcxoczcBIRJ3w9Atjl6/9+AXT08pch7rBz96/7WHF5HjrkwshoFijC0h1nxJRNxPmAmrCIXIHLpuUaaJiDd3d/3QB8Qy7a3aNE7OGZin6KG30SwqDu0QebBXNQNyEAIUqg+iCGDQ7BLVTFVARb0/ChwNqoo67RlTImbvPtHjt2c73UO5b90+ALgfz9yJqxl6mN/huji0KzOM47c44LydVSsGVMEm9UFrll+BwABNzLs0V9O59b96vq7fPwCG6KrZ5l7n9inGRIieLwhuBOFTvGlbYPUiixTcq1lnaNcjzA0ZAYmaSYDaDGshNc2qvyvM1S0qAZijqctyDYjAQNxd1ddlkBTNVGvb/wIOARHFSQsiMdVSdK73DM4VkytMPF3WQZWcixL+3C9/6603v3F8cswIoQt9H7uYIlFiVMkAkHPuut7JIEIW0dVq7c0CMy8Xi9VqIVWHnEspVcpms5Nambm5okKbO9uljqoKgahpt+alpypygGha4X8VhQhm5ulEbUdprp0ujIkx7nbbm5ubmot74HBgqi32AIlM1b0rxO0IERHAVJEwJW/mxAfPliM3A5oOjFb5WjqKmWZJfeqXwzAs1kf5d37nd9z0XlzTolprQUBVc6SOmGLLfG6mFuqGDz7sNvucJkIzs1KKO4D4XALgOKcAtORSfz/dB+XRo9fYi6GItMg8ttZK+RZFu858V9wQupQc7K+1VqnTNJ2envzSL/6SqJRcci5VipOjjWcD8FFSRFfLweUcBhJ8IGNCNVA1MgwhqBR3MkEjA8EZX1MCd15NKX3znW/cu38PVPtFn7q0Xq8++eDjEAMh9n0XY/xLf/lXpyn/7JNPFouFiLjBi1gd9+baIQMtWcZpipEJOQRmptT3+/0+Dv2j1x+dnq0Xy2HcbPoEmsee43oVpqlILSVXw6pSqqjKPoQO0c7PFjEdA2CpMk5TrVJtmqadqYJItUlVQggcAyJqLWaKppGoGzj16enL6xjSu+99CxH+6I//+MWLl/1igUjr4/X1zc4I+qOVhkiGy265ffkcMFYp2YTTCohD6LvF0A89BO4XC1V89nJrgH/1r/3We+/9wpef/VSmfLLfXd9+zhS61IcYfJ+OAKsKXEEe8/n5eVW5ud3eu3cfmHfbjdzV5WoFoNM0MQcAGIZFETFrVKqZUYhqOkdykJE07hRxfiANvw7ee4X1bCrXEoBR4Cq1CS8JiHka8343bre7WoUAlA/na6aRfdow8VvFvmaI4rQgokvAvRY3shNAnRrwPlxFQE2qO3YZh2AiyixEIQRjMreSNBJVN4NoKh0EJDRwsNMReGqAAxkIBV+pMDd8drQI1Kcnc2kQidQQgyMSpsqERSoCqmnXddbOOJh6MBPkUlEFEZ0RrbWaAROpaZsbSgHEGMwM1KDWioIusjB1G4CDDiTU+XuZoNeqqeTQktNNVE2at5qKAoiq8IygiSgF5BAuHjwIKe3HEe5otRiWR6s+JUQay37MOzVd9GkcS0ghlwnAgQH1/AZiTh16QGaMuFwtpWou02pxdLe73e+mKY/kGKMaEWJgD1IWldnkAwCtqScdOfGpCJvQq0l1sX2RrvM0UPAK6MOEj7MxpHHc+8VGM7DjynKRqmqSXfmKB0aqFXn34hbxTFObzdr8n2hjijMRiGwNG9htd5u7u7fffnucxv001lLnARYQkXz7BC2lzoXLZkA+AniCFpoZtXESIMRgaoqKBsTsRxF9M659VfA9/FwLHt6QhnuC5wQcyr3MZs61VmrjtSJgiNEFx+M0dV13d3fXd33q0tXVFXsSeQyImLrkQ5Y1LVAbxfzZFyne3CticPYEFcAMGxXJSjrlMUSmSKTc7moC564clXv46MHR8VHJOcWkoAZ2t9sis4JWlXfe+uabb77x+IvHy+UyhGCmJdcu9aam4Ji25Cm3EsjMIfR9V0o1pP1uN6wWLy4v1ezmdj8kPjs+1khHq2Sa7XYDGI9jDIkDedoUpZT2u/005jyNCMgBOkbmfrE4Xa1XzLC52/Z93w39druZpmm33W43m1JzCpE5pD6J4sMH3/xLv/FX/uC//LN/9J/+42+88+biZP3eN7/xk/c/pC5qQE79aPz4erdcL0MIqwdv373YbTa7mhJhSH1HIQjThPFofbQ+PT4/O9/cbWKKv/qXfv2Hf/anP/vZp7/zt//mr3/nN19cXr988fL66nq73e3vdtM0ZamB+eLi3pTz0A0A8OiNt4fF0fd/8IPVst8alKmmSCmmTz/9/B/+w//v7d0tBxbAWlVdIt8e3UoEUl3iiwrWVqLayn5rVdX/Hw2kKmgthgjb7c67FWiYTKkGALDd7TabrX+CreqBqSn5XAlwSE71ZktNDWZi2Wo7TjB7poMhgqgyMxt4fIVLv+7ubsF1pi0osXkPEBNxA75dDA9OHBByg/4d1Wsmb0hIaArCwF5JTUHJEtHp+QWz2+ubmPJ8MrQN2kBE/ndfzSwtyqZJaD0n1tomJ8xm8eQjmH8GbifJzKLNGOAgGfLmF5omqsGyqqagLdZtDuQSEVOtquD4Hhi2ka7pvFRUTCJHZvrwp59Mtfz2d37r7dUydT1ywMgBA6JRBAhmBKkPIVIt2cAMG0glVVLqaqnMQauIG8wx9TykZN3Q5VUutd7eXNdSapVSMoCgy1oamKPu+eGTJR1Gq3a5tyfBizIxOQkHCFeXV/64QFMikOMBN9fXzCElLLXEFFuXYw3ZnAu6ARghqyogOjYFCt5QO17q+l/Ew0ZMmwsAnN0VRIwp5Vxyzn/2p3/2k/d/Mgy900H+nwbGxC4zM4NWhed+ql1wPtl8zSKbPDZjBr585LJXBkHmYlPfVT6I6eaZBVVV20FrXglE5HSK9zHNNd03IU0MgJBSitvtdrfbtSnEvka54eEdAzcCQGyJkoZQSwnTtEvMARnQDC3GEDlIlCq55OxSvS4FLdqnmPpOqgDjer3iwDfXt6vV0udWVXXP/RQjMa9WKyly//69X/1Lv/L+j3682+2GfqilOOLT970jiXfbDQBeXJwdHx11XXp5edV1YbeDacpffvnls2cvAgez6fuo62X6jV/75dOzVQFGAxMrWck3bupUbu4Y+eLi/P69C1U1k8WwQAMpJU/Tfrc9Wg2pS0i4unfWpdgN3XK1WKyHSGQAIdKwOq529A/+H//ZH373j9anR4+fPvlf/2/+V7/6a7/y+eefbffbf/7P//Sdn/vW8vRUDXOpVTUgLWn18vnVrm5PTs6OVut+6CFiLbofx8VyEWNMHBfD4uHDe88ePBTBf/mH3+UuFlGCEFNarVfnZ+f90B8drWPgF8+ff+9PvpdS/5e+/Sv/7v/kf/zP/+BP/uiP/+T4eHV+dnF8enR3d6VFv3r+7PFXj73siuPxSGaHMqzEeHCWbQ3AK8a2AWBu/Kcu7DJxjaXvRFszZrHYJTDYbffb7fbm5tbMPKS01urDaTUh8AgUpaZHUgQkbqEo3jE06yFs0niX5jMGdddjM0Y0gydPHj9+8oVD9w1abRojBAQVhdkCZK4DLcCPeBamzOC4lwxzu+YQxmkyBC8iYgpGtdbWEbXNGENkRAJsdnqO6jT5T2Df23JVtUMQLjlzlthLACIT4wEh8fn6QINCW0QgLwf+sxGR+kLfjJm4GaK1GQrmK6J51ZlZzpn8ums6qPniTcFQ3//wwxeXL3/xWz//Br3dpR5ScA1IiDFEjjF4ceHgLsSedQqlVjcCAABmFq0ibpEC3kj2i365HBDg5ubu6vpyv9u5EFNVEWYE18Rjog87ujBX/xl/mPdFiERkt9/FEKc8OTzBIcQQY4rTONVaU+pqFcm57zsztwlxGuGVX9pMKx3uReAZkW9Q1eHCQAADwZZKTUwm6r2EmapKP/Sr5bLUUu7cXAAQmo7IWWuYOSez2Q/ucN97IGuzfXYK9hXDA83uoo0gjj55BrVfUWiOnvmIqTNA29TMM9dCc0FvkJqv6XBgqTWEuFgsapWu77QKxti0FfAK+Wlw67z9Y3roxYwgBhCLMabACBqZjtbLrgvTtP/GW28fHZ999NHHz589qzUvuu783lnO9e76ljneu3dvuVyrqoEg4JTHwHx9dX1yfFJqKbU8evRayYUZv/3rv/aNt9569vxF36UY4n67u7x8+fLyKueMCDcburneFqnPX744OlqXWt98682rq5tFPwDZtM+UuArfbHcvLq//zt/5b/3df/N3Hn/11e3tzW57F6PzNwhm0ziKyaJf5Gkiv8BVush9ikPfcaRh6Inafui4H3PJpU5T0UqQ+mQY9nv46eefPnl2+Y333hun3bvvvPG3f+dfffrVV0x6erz+3f/O78Th6MXl5m43BupiSqBl8/zqjUcnp/e+IQY1i6hZgPXR8s31QzPd3u2++e47rz167f0f/Oj9H/1gzNPNTb293XR91w9LwuC7RY2UqKJSpdavvny8Ghb3Lx48fO3eYtFnqTKN/+7v/g8/+ODH/+Qf/efr5dEd3HpCi6hKExa3z9lnV2vHYEZjDx6AaA5wzwCmtuVFBZ9m3XEBCWKIpQgirY6Wx2fHw3LY3N3lkmNMSOyzKhIpWMNBD4C+aS124MfqLGiDlhJhhNReISFZY/gScWnCJwLQtpOMqHPnZeZCulYrnYdwFEBr29sEbCtXLk80UHBbTWgLNciExBwiMDazdaLZ5Z8brEAztjADC6779AvS9UsH8OdwyGCGIzjQfP4BEV3Gh4RM7NeVzV+h/fPth0CvHOzARatj1kQ3bYRCAOA0NB1JuwrN3adD72Go4XYz/ovv/sk7L2/+6nd+s6v1aHEUQidF9/spUojMXddFDhxIVCkECoyEmhubYjYbpfp2KJG5FRoxEx0dHwFAF7tccs5uslSZGQnIWLSik0Bg7dmDg9arpVAw0eZu0/W9R+O6ngcAYogiwsKNbDCNIXXcd12fx+LMAdocwkhgooA4j2PuC1khBHulL8A2vSHCq2ceDazmQoGhMatiZoF5vV4/fPiwbc+2MutfZ+7Mm67J5m9hM3Rj6K4Ec923udn2T9atHQ5wld8E7eKff/mcAK3igx12M+dH7IAfzdKjWdasJiJEyERokFLyAfjwQH6Nkm/rhK/AMUdOEMN/8B/8T8+Oz/u+D4TbzaZKCUT37188ePhwdXLyH/1H/2Bze73Z7kspbnuS+oQhLBbLLnVAzXPxq6dPcy6/83d/58WzFx99+NOXV1eLxVDylJYLBGLme+cXKQVEXC9X6/X6+Pj06fNn0zR2fXd2KiHwyxdXl1c362FVajk9OQbEm5ub49PTBw8f3l5fi9Xl0XJ5enH+4M2zR296WVdTMhTJeZymnGNMCChVEKDrkmOVLpY2VI8AdOVe16XARIGYsEgRlZqnfdb/89//333/xx+cX1w8f/by7/29f/PB/XsPH568983Xv/j8iRFt9jp1YZlOzHi/2+/H6cFrJ5vbm5/8+AsFZI6pTxgQMRhqFxMi3V4+ffzwQdeHLz776X53i4UXQyyllmkfYmfKVZWR7ja3XUhvfeONbz/8lcefff43//bf+vzzT69uLo+O17vt5rf/G3/1b/6tf/Xtbzz6x//on/RDx+GkH4aplCln0aqNETtogRRaF0wELlrXwC2dzd2DAcD7JnRU1IlKQGhzLpRal8tEHEIIj794Mo3Zt1VLrQ76c/DNrzlteF78AgTGlvsFvipoDf50Fy3nmb62x+ibgwTgCu7WBNnMhSJgrrXZSXoCMCAxgZv4Q1sHd0UTAjJRFUE0dw8VA+IAgEgBiSlEDIHdfpM5xtA6PvdT9fvgQP+CNf8qmLegsL2fNjeJbrTbri58pdFsh3gGQ7z8uQXynPtBau3zQmwzfvOUn4uCt2yHSuPE6UzrtannAK9DBScHS4aPP/n0wb17b77+SE7g4uL+brM/O18er1ch9YthEWJ06KtLiZgaMt6YHWDzzbqWtGXoAfdUpJrZYjksFr2I7Pfj7d3t9u7OpHrfoaLE6HJVd33HphQ43JWgZiEEFTGz6htwZjGEru+prYOoaobZBI08Coqb+ZUvXiAREHd916UOAEopm81mRslf3a/YoCcDQp915v6hba85xlI9wNMsMB+q66HbVqvNnOdVXcZDlZ+v4XnUs1kAZwbgKReHgQAOBiS+TnG4xG2+42dJNxy+ymEEPPzX4YrxgTsE9tguVa21cgjUwlZfXSGHG8sdGwFm7RkgmAFz6OPgOS8IhmoUsYqUbZ1y3tzuvnr8hRmGGBEshm7Rx+1mm2vthj7EgEy11DqO7//4g/12+umHn6yPjqapvP7ao4cPH1w9v4oxqNhiMYhICMn3kldH6+PT0/uvPbi5u5FSb29vnj97Ebt4+dX1wwcPfJVxnMbLq5ubzUZUutRP+wyp+wf/93+IkKayv7g4I7bjk+Pj9Xp9tDo5OT5aHxMShxBDIGIntcRlMMEv6hoajqCjCJuLX9WMqyjZItf6b//b/4Nu+Y+4i998962/8p3fJNJ1v1jFuIzpk599enp29O5bb2x35acffPbV4y/GPH56c1nH8eZus9vvwCh13fJ4sVqvHrz24Hg93H/t/naz1f3m7P6j//n/4t/72Scf/+ynHz15/PTx4yfX17ebzbbrlg8ePBCFk9MjQHv69MnVy+ff+e3f+Df+jb+53+tv/dZv/OW/8mv/7//n/+urTx4HxtVq+a//rb/BAapUIKwKAKhooKjNxwTUNwrbhIfuye5YraqC78rNi9OB2cSQyVzMKOYiIimCgYkZAZh5O27TkBRd6u69uIrUtg5Dhx4GaB5gm2ZDVFrDSz6FerEO6LEqzeUcDGKKqet4Pu3IHJgJqZlNMtEcH++r7f4N56X5Brn4ZQNz3p4P3gAO5ZDjurNKGjkQIvsZQ8LgGR12oLWBQyRqK6BeUTy4ghCRiYnF2s1H5BnFjaBoM78LamewAl0t4xXolYWR47ytoDd/XO9TW9dJvtlmbd3WYIZ9mdp7IlVUjd0AFVVBj096AH3y9Ol2e/fmo9cRKI8jE5VaUwd91zGzW/HEmALzgZ328ihaXE9cZ7hKVbs+tWuvoGfBp9SdnZ2Ou12tMk3jZrPZ7bHW4jBQbXnx4FbbrThCy2k5Wh9tt1uXKRPSYrk6Oz9drVbjfnz69KnrGh3h8RsBHEZyKt4UFRCxFl8cAfdxc7hmLtDwNewHoHlPtUrtD8nh6zW8S9XAahUim28Kf1vA87dfleEZ2G/73c3axg5/fijVTocYuFgPaJ4h1BraM9f5Q9V/VfEPf3r49QrWb+5fr6w4Dheb2eHHOWQXH6Agv4S+BgSZIaGIhjFPqBgTIYKJYLVaSkwhhJDLVEoBNDLrhr5IgYpIpKWEQECUpwxgtRRDNDbnQ0Lk6+ubf/kvvpsChZSuXl4uVisR2e/G3X4/TZNvFIvIVCYRSzEOfT/0y/MLe/e9n+eAT+nJ48ePL+5fXF1ePnv6nDh0ic7vXbzx9ju/9O1fXR+tjo/WteTN5vbyxcur6y///Ps/QMRAYRyn5XLZ9/1iWJycnq6PVsvFKsSYUur79cGVPCDUnKtHAxKmyIh0vKbv3H/jr/3rf8sCPH3yZZ22476ON9sy7a5evnzx+FlI10cnZ9tdXg/0ne98+8HDB9e3t0+++AoZWl4EIEVIKS1Xi+Pj45Ozo77v9rvcDX1M8Te+/ctHywUY1iq3t7svPn/80ceffPn46U/e//Dx48f73f4v/+Vvv/nWaw8f3Lu+uvy1v/xtjvHDj372yz//reeffvnj93/MZv+z/+W//+i1R1MuN3c3T589++KLL58+e7bdbKsIIFfV/TQRkWviVWH2aWn5djN8+UqwYdIeFFWpVVTFX15VUTACzDljDG+8+ZYL6qcpNyGZr6j7bvlhudjrWmt67NXUfEC55yYM5khxQGZCChQ4hBBc9WHgJFXLWnGdT/v3DzANtmLa/qsJmd0z2ZgDuBGq2ygGVxtbDNwWbRgBqEUrEVYpjumjE26EOJsqeZ02TzRTodbptwnIXqE65I6hzJ57atgmhya74jYrICLyzCKrmwHYAelqMIU7HjlioKLGdhAfeqfcenYDYlVTqBWR1ISN3fTixeX1l58/vrm8iRQReblYbG82N1d3b775Tt/1KoKQVD331uUgCmCggEQcUNVMhQIR8qIfbm9vFosFAVAMEIKK7na7q+3dzc1NzpPT1yVXZhKo2AaqRu0e9qoMWoYPhxhTKrUuF4vYdVrl7m5Tcp3HIzREFU2py3lSU19588tSRQEVDErJ/iY78AiHlr99HDADNzOM7k0KILlxVHs4FQFSSm4E8LXKi4ggDahBbzPsaxfAf603x7l+N4ezmfpVO/y+dd5NP+qPhzWGCA9Fun3G/oVbKzV/r/a3/OeaJyrx7TBmAxBVqmJuvPjqdbfr8PBgzaui/gUByULOY+CghciFDiqiShER8PGTx3nKRIwkALjfj9OUxXS7297dbfqXL5fLRSny5MkTFTExNdvc7Xyy/v6ff38a9znnrkv7KSNCCJGYmYKZidZXB5zoCm5yzgjwh3/4L4DQau2HYbvdm4GYoGIuNk715cubP/ru93/xF9/r0+L4+OT07Pyb33yvlImJdvvtfrsHsjKVWmXM424cHz97ut9NYhIohBBSiH3XrVerrutSSqlrv0gpxoSKebsbX1zmUvs+oMScIfEQY1iu8e13j8Fgs91MZfzq8bOnT58/e3F1dn5vsViL6dHR+t7980evPTy/d+ppJ8vVQGZVyvLkaBzHut8MQ7+/u4ohAtLF6fJo+c2fe+/d84cPPv/s6RdffvHJJx+9/8Mf/KN/+J/9e//+/+j8bCXjdndT33hw7/Tv/I33/+wv/tk/+S/+3r/z3z07XxNrHymcrE/Wy/fefrOK3G02T589++Lp0+cvr844iJEa+ODaxG+NMm2zH/lkoAYgYOJOT9hqt/rdULSOUzattWopJaaQcxnHLGa5CIDN+j0DoAZPvLIYa5zfIcuinSvTr03oeOi2mYgCxxjdV5yIvQ60G8L5WHZYs8Ep80alP+UuzMODbhoAOAQ/34CoZhyCM4ROxxH6LhH5kIAICY2YcaaGX4E5h7M4Y/r+JgYXKTHNZxsOVgTtJaNb35jPoQRuce/jP84v+xVCq17LWscqjnRZW6b7r/3V7gmEkjMYmAqa9cOgquAYnJeGWtNJAo45y8PXXjs+PjGTi8Xq5PyofzIE5lqqitWphHXMVNivN3JUpBJxTMGRCTV57dHDKnJ3dffy8sXV9WXJ2WdOpiC1KhIghBBMLXVJqlSRuW0+pC/4B4lmthiGaZpAK4eYQjI21wGaGQc2AK0SUgCAkqsvkyKAiMQQCxRTIEY1ZTyUdZrrXSvFvt1xuMOlbb0AIJCRzR0FERWpgYOp7fZ7qdV90WFuK9qHPxO/h7bm0FkfwP0DPoM42zC2zufVczSjPC2TYwZ0Dv/RjFva7782b8xXzdcYhhmFijGoGRjUUmopCP//f7XH/vCSX2mXABBUNOzHCSGHEJBUimit3RAvL1+OY/7Jhx8Rci3i2lLfWuq7TkU3m7sU493m9vz0/PrqOoZAPcUYY+j3+71IBRUKoQ9htVqtAPa7nYgaWG3WH4RoiqBV/GpnDmYw5TKVAir7XE2k63pVI/SYofjk8Zd/+Id/eH52fHt7+/qbD89OTlaLIUYGsBDi6eliyjte+EdI0b0DAXb77bQfN7ttzjnnfH17tdtvEZApMrMaEIcU+27RvfX6fQq0Pl32XUJdbm+3BXC5PFqfnIlUQO1TH0IQ1d1+vNtsP3vyeL/PJU9Xl1dPnn75s08/mna727u7rktvvvnovW++e3yyDhS7Pt67dy8Sp1UCMw4BATkE29RPPvjJ4ycvnj55BkW/9e67x8tlrdOLp09uX74cp3G31yr6W7/1m4vj7uL8dOBukZKQArGZMaKonh2vX394/1ftVzbj/vHTF58/fny32dZq4gQtoJpjDo191WpmDUxQLW37T8GkgpobhRap/cJUSqkiRUSlZCm1lqJqSgS1WWeBulGgP6EHVbO3V+Dq/Dl4wJoj1aFNQmgRVIDgriEc2NT7tVYkGQkQq7feTeuJNuM6M9UGXqiwWdm0KAVuLucE0NQpTIwEjEwNMfC9f0MCmi8Y/+Lt4sSDbSogUmhrxgqA6DyEScNzkTwQ3U+W48dIyBRj6lBf/XErGO1CgrnQ2wz5oJoSRlOxWWDbwHSwNtqrAULX9wDIGk2VgUjFjJtFlKqTKze3Oyl5sV4//uqr6+tLtSer45OU4jROIaVA6Dgytsa4OVYiNObGcx53u+1nn3223+/qmBXM2Xh/D3LJjs+IKKJ1XWemRABVgNGd6VTECRRv8GvJy9VqHCep8ujRI1PItUipXd9JlVoF4BY8O2gaT0+Pr69u+n6otYQQ9vsxBKZIIiJVBQTAeSZDpForHnYEEGH2y2rr2e09nGFxNQQwRjALMSL9/xj7sybbsus8FBvNnGut3WXfnK56FAgUAYIEwU6NJVkkFWGHaOneCEuK8Pv1H7Lvg6/9cptwXDssPzhCHS1SJEgIIih2ILqqQlWdOl32zc7drDXnGMMPY66V+xQo21kRQObJnbtdczTf+Mb3oeScc1YU7DG9zUDfp7P7ZDB0wH03MFwpm5PXAdZ/HcbfDOz3kf61/yvcjdejvz+hofZR8a0SLNvUvR7Ma4+PAP08yS/q8mxdJQIhfPSTj0BRxLK0q3YdAz9+/JAYzk8uPv74s3XKuztbROx4UoyVmhzs7zVVvV6tDg73c05VDCZiaoEjEVdVvVxKFokYzOz44aP1enV1feX5w4AoECPHGFftOtY1I7o62zCWEdCsQmYiEjmoaGyqp59+fnx8+PTjn/7oRz88PDz86KMP33jr8eNHj7LK/u7e7u52E3Fn9wAFEAxMU7uSnNVkexRp0iDtxBDFDAAXy+V6tZ7PF7fzuV+LXV7k+ep7//nFYrWKsd7emk4mzf7Ozt7uTJfaVpEomOEyr5gDoRHx7t7+8eOHHCIaOqUhpwRqiLhc3K271dXV5c386sXpyfz2pluv64o44HQynm7Ndrb2x7MtFVws21jh3/q731qv1+36Lqevnr54aaJc6+Hh1l99/6Pbm/mbb711fX3z45vv25e/PBrXs+mUGeu6QuKIyAyRoTKcjWfHuzu/8JX353fLV6dnL16dnF9cZVUzpFDlbAYmBsoIDhAhZK3Mt41c0NzAVNEslkbSnOAnqjm5VAOamaSsYGZWtJbBfTYU+svLzFTMPPL3RJb7b5xjAmaiPrZ16oVk5Ugq4mE3xoiEhYVPw+YtOEvG/B97LNUACugsCgQNMwCqifOTiEvtsjnyjjFSrznhgXaomhzV8YGRFpjVyIXAfE4OJWEwFGlVgDLwBAC30vAu20MS9XJmVipE89WBrILl9kW71ZtyQgRg0V7LpE+HWVJBpxB9ChLchzklQCYAiGDgsmyMoBzw85PTly9fnZ2erpfr0Xj8b/7tv2vqMZIRQFVXANa1a1EhhBgjIESKvuS3mN/d3FxfX193Xdt1KYQwqUdZcyudmYZQIQAiqWTmGAPEGEajUUqSujQZTYBBRSVJwlQYkwpqxqGqmiZWFTKnnCPH0WgkUTxFTCYTIp/lKAC2bSLCGJsvfe3nuQo/+sEPry+vfBg+mY6rqjKxrNnUQawyXrY+wnn1Ti7i1F9AzD7qNzUzcR6RFgKuKZJrlIUNCYn7OG69ANbw/WbQN7/ANwCjoQH+G0L964liyAx9erDNyN8T+YahRvlfZnaHIpeWMrDA4X7dYaM/Gb43MIcmwdBVFsPl5c24aVxVJdYVMxnzW19++/t/9ROM8ejooKKwulsCWeq6+fwuBI6Bqxh3d3dHzWi9XM8mUzBzshwAprKnjgrAxLOt2c7O1rNnL8QyGtT1qEsJCLMoh4CMbUoRIzO3bYuAo/Goqeuua5nC7d2tqMYqtm0XYzg/O9nZ3vl//M//t7/z9//OL3/zW6mTnz79FAx/+KOfjCcjAppOxvv7e7s7u3vbO8cHe6OKAlnqWjVJXduul65DNx1XO7PRG48fMAcDvb25W7erxWJ9eXs7Xy3atmvbdj5f316f/+jH7db29nQy3d7e3ts72J7NYh0Y3PfD1ss1cVdVMeeuuIwbAkJTNzGG7dk24rtVjGhGRCmtbufXy8V8vri7W95dPL9+9ep0vequru/+9E94a3u7iVUM9O67b+7u7Bzs715dXPz6b/w6IV2cnR/s793Nb58+/enFxfnB4X5TNQ8fP9zfPfANeCQObmurEpnrrdne1tZX3//SYrm6uLp6/vLlxeX13WIJxGqYFQywiJkjAGJkQoCcFQyAICBZcvsXQ8ScpVyEBu6V6kaP5qvwAApu1eszKO2ZlAgIucsOehiAiQECEOZil+r7C17hlg0uX0Qql7h33L33ABG9Xtts1Gj3KCwQUpYMBKWNMAMDQgQfsXoSUvXTiYRcTqhCD8sM584rJo7Ql13U47O+JectBQUOXlr6CXThBDfKRUREBYAY2LW7vbMxZ/v1Wv8GgEhWXhIGrkpaQoMAAKBleZiaxqGeso1U0F4ziBWooTvreZpRCw1xhZPryc18npK2kiuwdtkh8KiuXcR6Np3d3N3UdT0ejZerRQjVfHF7c3Nze3PbdSszMEUzqKuxmszvFoY2bkbT6XSxXDJT17aSBcwQMHUynvDd3Q0AYl2vV+shjpkAkkmWppnMJnW7bt2YK3Vpe3+7ywljkeBX0yw5hugYWs6JiJer5Xrd2mqdu6ymhJxTbkbN3u7+3WLBOXVtF0PEfovKYUz/HgyKA3ufpLMoFCMTdyRzM7hiicyBA3OR5+ovr/sY3YuADvW+bUTxIWj/DHrzN39/j+sNv9jIJ/c382Oycf/9ZkCRuioNT08Jgx79x/JUynShDKvKVYj9rzFwiIIWq8pQV/O7pqkn01nVNOuu48B3i7sApCq6zmQwnU27bj2dzEZNXVWxGdX7+3vvfuWdZjT68MOfdl0mLFzoOjZ1E5PkZy+fv/3W25PJeLYz67q0Ndk6Oz9bLJajcb21t9OlrsxPxEajJsaYc+qb3GoqY0JKkisOABaYVutlXfP3vvufVsvVP/sX/+JusTi7PN/d2/NyaZXl2cn501evwKgKsLs1O9iZHe3v7exsbW9t1XWjmiRJlqQi2VZGxAx7s9qmIR4dYBWNKIvkrHeL5eJuuWq7+eLu8ur6xenLjz79adeu93Z3Hxwd7e8eHuztbc+mAJZT56ukzIGJHBJngJwTgKa08jc8BB7NZqPx5OhB8PASq4pDSCIptfPFXbdccqSTk1cvX33+/MUnVV2HZpswHD94NJ1O61E0keVq9erFq5PTs2fPz55+fgJmW9vbBwf7u7s7McRRMzI1VeFAAFjPxgfbW++//XbbdZdXNy9PT5+/eHV5fZPEYohqUFeNt0RqEGtCBEEkRIpIZlnEEJqa1W0psZDxDXveUdGaKrCP9lMm/ywQkUbUDwWKPrxD+a7LTW4S1v8eDADZEDZGduD+7W51dF99lZlYLwkHA+cPnAoybF25xJCZOqRDSK5qDlj2vNwRN6fOE4b3Aj3/XpmDP65foeASBcQ+YckqRZsXwYlLOQtuwjv+7oBZQX9LdapmjFwwqIL2GgKJZpeHKd4pgGDunBGZyLeFmclBbUVF9zczQAzqchNatjG89l0t1m2bl+tuvL1VjRpEYAqr5dLUF4gaF+FAgPPTs8VycXl13bYJywiE3RgOGbu2e+ONJ2+//dbe3u7e9u711eX/+3f/fe6Squ3t7x8d7F1dXp+cXoxHk25LlqtllyVUtYERks+LCCFwSKnThW7NEhFLFhFdrVYhRiymJYZATCGlNJqMmYPm7NjAul1PJhNicm39furOQ3EAAGqCSATIMdiQe8zUzOlJmkVVXRkUywK2C0Ex3Xu0Ffi/r5oHe9TNq8zbUB1ivX9SQ7ztv9ss8b0lLPczbObARp1uONwXWr/k0N+iQFHD2MCfIyMNuxTUm9j04zEcaqO+sUWA0rr2OQYIMRDFlLJKVkir5ZoQ1+uuiePZbOvu9FWXUyCOHGKIdVUx8fnF9Te+8Qtbs62mqRfzxfXVjQE8f/5cVa6vrg04RBqNR8eHD3Pu5ne3mu366jpEfvL4zdvb2/ntnCiMRuNmPNrb3x+Px1mziTIzE0ouKmeSpV2tEaGO1cXlVdu1Jvn6+mq9XI6mY5D8F3/258v18p/9s3/x1htv3yxumUjUxJDZxSVCFeJ83S1fXnz86QtEqWKYjMfb21v7u7sPHhxNxyNmIjBEzWqByEwwmYESQGW4O2l2JxPiqADNaJw1rVbr5XJxfnp2M7/66Cc//LPb26bmyXRydHC4v384m0wlJAQk2uCNOU3QmWS9eKTkxMydpCQ5MLvF7c5sK8x21OR49zAENkkKdnXb3q2Xq7vl/Pp6uZq33SrGajrd+crPfRBDXCwXl5fnt/P508+ePv3888ODg6Zutra2d3e2i1yiipkhYB3w8fHB4+PjX/nFX1y065ub+cnpyfMXr24XazFEYAFQQDVXXQNCQjCugpr6kK9cfFzqYgLuJ6gICOKCrOD0fHGbCzD0FXki7kdbPpHb8HEEgAJxmJpl9zfmgAAAvRfG5tjLS+geyHQJ/qEZAAMmdMK1j2I5EMYeFSYSpx4SG5iJGKipEWJdV6bq1JzChDCAoVm+/zLfv/cpDgBo2QkAF/CKkX3JXj0El5BvCK4o0B89VQAIEBmL1XBPDXcmdmZ0U0NXBlMRcYZuVqHSGoBT43N2NqTvcBj11r2AoGqjyeiDr3/tRz/68ccff1QRjUajrlsxhxBiTvIn3/vTq+ur9WrVdq0kMYTcCbt4BpqBbu3sPn7y+KsffPDwwWNfD7q+ulTTF89fxhBHTdNlPTg8Wq+WIYac02gy3j866lK3uFsUlA4QASiQJrlbLC4ur3JOKXXz+ZyZR6NGRLOsTa1paiezV1VYraWp6xBjWgu6CJIZ9Yt8KSX/OEMI7gHl01VENt/X7UecflkwQAyuogxi4s2HiQCaO5gxhfuqwuPvsC2Fg5nFEMdL8MSBAV2uUewLcOuLBp/Y6zAA75tafG2u0G+QYV+gw32l4y0BbuQTT0TQ0xFsOA59d+LnCl+/w+G83b8Y7EuncHV+k7u1iCDbcrVA27s6vzx/eSlZyZhNXD1vMp2AmHR2uHswv7p79slzZgLCdWrPzy7atHaav5uFrdfd5dXleDziEBEpZ0lZUiejyej87Ew0qwghxlBlkSpWVFFgNgCLxS3aVI+Pj0MMo6pJP/rhT37y8pe/+Us55T//sz+rq9oUkqRPPvzkv/1v/4//5J/+V8fHD1brrmoqAVNBEeswrSkjYGSiMOFIyey2xduTm89OLuX7P6wCb8/Ghwd7D4+OZpPpuGlGsWIpZjLEroiQQRIT3Z5dIwKFsDOq9999B+xdUATTV+cv5nfzi8uLTz/7ZLVajZrx/t7+9vbuwd5BMxpTAA4BXSYEFNCQXcKbGHlcjSQnIgoIqUteD3IIgJaS0w1xZ7vZ3t0mX3sEEcmL5XJxtzi7ODFB4jAejatYrdarLqfFcnF9ff38xYu6asaTyd7+zmy2NRmPnJ1CJgCGKpNI08OdR4fbv/S1r8yX3auT81evTs4uL5OaABEH39VK2WV8jAMBovjVRqxZANGX6QuPpfhDoBkBGIVQmM9mUIgxCNi7UathQZc8qRS2AxAFICRXayjQPg0FEfRulgWjd/UKqEI06MNnX7oRUDFswoLUqxVRnuJpWzaRGYD7NI3Yczr99BT9+IFJMszWiorGMLYtbKHITEi5QMmlkOxV+AzBaz7FYjfYY1Z+l1RstrwqNbPiZ+wc5VCVGGHmQ4Fy5iF6/YtuRKUgmAlc5kFQyzu2XK3+wW/+/V/9tV+5Or9cr5cvnz578fLFanlXV/UP/uoHBlg3tQoghXW75hiJw4NHD9//0vtvvfXW9ta2Idze3t4tVrEKqe1Wy0ykSVUAJeWqaaZbs4VpmzoDw4B1XY+n47ppJGdxBkGWtm1Vct3UgRACEdHW1mxxt5Cc63HNgQmxSwkRZluTxXJrtToJFBBgPB7P7+6IKUsGcI6QlRKEkJjNwdWiE/7FAOu/BSz0KAxIRu7hDGBikroOudB8hwLjtTnqUKf3Ax4s0Rf7tF2uoNfLfSzlvtk92GL+6WMvigWbuE7fSW9G+t4tyUpbUZqIvqrfRI+GxOQ3puEw9F/Djf352/10w8JyvfDFWG8SRnW9vTVVEQQENUkamLgKhBibum3bhsfX17ddl0SyaO5cs4mgbRMSmGYDErPbm1uRTIRVjEzctt1yuTw+PtyabatdX9ycr7v267/4ixzZ7UpSSqoCwISFki0i3WLJGJjD7e38s88+/Ue//Y/2D/a//e1vX19dT6bT8aRK6+7/+j/9T7/yq7/2a7/2t1wXN8QgORuAKIhKx4HASC2U7oiZGCgK2PU83c5ffvzps4rDZDQ52Nk9Otg62N+ZjickFgJXTGDGBHU1VimHWdLaP3oDfHT8EI4exq9Uqna3WNzeXl9eXV7eXn3004+RaWu2vbe7P9vaGo1Go9GoigHQrDy1LnCoq2gGohBjZQBe95kqGnv5ZJi152gzAhFsjadbk63Hb7wjXTaEtmu7nEwtZ1ncLW9u5vO7+XKxbOX61clJM2p2d3fGo8n+wV4dqqquAngtZICKaNujave9t7763rtJ5Wo+f3Fy8vzZy6vbG99/Za6yQsqiBkSUxaAn6gFxKc4VECDnhAheiA11kfOLSgAsyj1GUMaqBSN3OhgigImpIbjNhZo4jlEka/3wIPeuVEXRt1TrUM5ZgXkcS0IuiqUAjN7YeEwFXzFzsNSgf0oO2Jc53v3YzJMC9OfYzEAtYyJmH0f7TDi7hC/QUEX6sVcrH19flfcQhGsmlDs3uNeSc69z15TEfouqBImyPEXExE6KR/bBgfOT0FwhxAx6ol2oKiJkipPR5PBo/z/Zdz777DOEkNRSl8fj8cXl1WQ63dvb+/obb77/cz+3s3dAhDlnMV2s19mUqwqycowIhJHXy3XqcowRwd56651x09Qx3MxvAATM6joCIhPGqsYaQUFF1m27WMwXiwWQacrz+dxM5/M5B47xpqoqx2HG43GMQbPktF6u7kajcahrj7PWW3p6JIscAcBXV7B8wYAFFUzcGVP+vjvaqAAGRewHKCAhUuBofdgEKIyAfnEMNmOoW2hs/Lvd4zdm95fHcKXgF9qH4oKwUeb3iaTPMWAGvWcADjcZfntfOPTZwzvd+5vh8FUKmfJrBIB7UKkcOK9SIIynoyLdZDIaj9q21ZQkt6ldIwAjBYqmlrJwsBDj9fX1zZ0042Y8apowrjXf3twul22MEcCISA0YDAxySkBAS6xiJOTPn342mY7ee/9LH/74xxcXFzt727Pt6Wq5coGauqqsT0pElFMOMapJ23WKUDejV6fnT58+e+OtN3/jN37jj/74j7uum9/eEXOM8Y/+8A+ffvb5P/yt39ra2V8vV9V4lF27AEkBjdhdFP3gEQECsoBrVBBUhpSXtmgvP3z6NARo4ujwYOfB0f7u9mx7Og4EppmdregStcRoZGqr1YoY27QOHEfjqq4PHhw/QA6mtlivrq5vbm5vPn369HZ+k7s8GjWHR4cH+3s723vjyUQlLZbrEAiRIgVEUBMmQgwmCgaSNQQmBmIw0UJWUAFUlSUgmiERVwFUpa6q2WT8xhtPVK1r0/XN7dX1zd1qfnl18+r09JPPPxmNRgf7B1uzra3puIp1DATqRmOdATHR0Wx8tPXeL3zpvS7L85OTl6dnz1++bFcrIo5cIQcBy2VGSwYmzgkCMgTkqp9HuiuUMYIRmaKBjwpcJgZVs4MwAO7uNczakBGym5GZMhIU1V4jgGH7V3SDiF3qvGHTwV2rCzLro2kk8k0a/1fH4xx0KjqgYKZuKk+eZRG5bx1A1RTEVRCYAwGoCoAxBc9xw6kMxKrqAqnOVfXGS7X3MCiv0uXmFZGoaI0VdMtZTKpGgEVus9+cGMrTYvRhJiIp55I5EHOWENjbJEQAMkTULMS4btN01IQYlzfLs/PLq5sbAxuNxwaQZdWMRt/4pV/6xi/+0mxrC4g6l3oHwBgs5WxqCF2SwEGyBuYYgoTQdTmEUNfV1s746UdP1+vFerkEgJ3tncAx1tHUFou7xXw5v72d383Xq1VKKeWuqioAuLm+quq6quLtzY0rG3tQijE2TV1V1Wy2M2oacoHlvo2CITcjug7/IJTk/dOQdT2gU6GHmbeP6B8xlnfMQ6VA0XobqmWH4mxzjWD4sv7asz4bAcBmiB+mteXHgiZ94Qb+K+jr/M0qvfxVj9u81lVAH/vvi334mS8rr3+zIemRn/LUh//3JsW9Nnq9bKzrSlU+//z52fnF4u5ORJCxqiMArNfrrkvMTIQx1qvVul23MYbJdLpcr7Gv29XEk18ZyGe1UF1fX5vmVSt/9qd/VtWVijZ1/aX33nt4/PDy6nK9Xg/vU87Zj4+z9BhHHAITE6OZ/fUPfvDmO289eePNv/f3qu99709urm9STnVVjafTVy9e/F/+z//db/72P/rqB19f3NzV01FKHVHAQAqKgbwiAgREki5TYDMwBSLKWREVksbQKKhkXJ1cfvbihMkm42p7Nn3r8eO97Z1xUwtBQIdBJDA34xoMXC1dcwLErB1aNsSqjg8eHB8dH3/w87+QUrdarlerxYvTF58+f3rxV382GY+3xlv7e3uT8Wx7e1vYOHAIrAYISoHJCEHVugJvIoq5eCy7+qCXB2jATBAJ1CRru1wAB2be29s5PDhQ1U7Suu1Oz84Wq/mLly+ePvtMs+7sbO/t7G1vb+/u7lShYmIVM0tggIZ1oHeePHr7jSeK35wvli9evHz+8tXZ+WXXpcCRY1RFGVAOA0PDwL67JKL9GMwdwJ3+7QMBQIQYghk6Z1TVgUkreLErQ4AVg0uXXWAyUF/H9w7Zl0W9iOlVbNBQQftryFEgUFfDLgnf/9Aga+43bhB9W5d6EYtiXwPmdqxEiBYohhD9XIJhdERP1PEc2JBzYA7MrKX+Fi/gXKBCVQr0pBB6zTIfblMB9HXAC/zs3A8ciy4pm5kIGvrWhZUVCrMsua6blDt/YsQEFhDQKjMTRBQzlw1PWVKbYlUn0f/mf//fHBwdn5+eU2RVMyYVzQqAqAauAQzkC4LGgVPqTLBpRk0dknQhVp8/e75cLrem0w++9sFf/9VfAUAV+fTk1YuXLy5uLkEM+wm9EwCqGF0tnAJ1XeuzdBf6DjGoSEpd17UxBhFRTc77yoXZaeBE2JTNIEtarVaeVUWyX0Jq6u+NXxuiLkdR3l4qJsZlEFUSQlEQMfALqcgqbIDw/4WvAREassW9EGjpDhABhpnEEP3Ju8y+ZCmXv3fK/dIc2OtpYGCbvj6NcOHE+3iOA+pTynw/CxsTZ9cE3cwXgIjBTMrWi2GMUUVTTqvLFRKOxiMAq6tKcvb7TV1npu2i5cCSVS0vTi8mk0m7bkWFmUAwp0RMko0Qjx8cdl1GxNVi4Rdrzmk0GQHCi+fP37++bpcr8DVCMFWvgxARiwQ8BwCYTibtOtV1TF3XpWRiu7u7X/va1//6B3/dtd16tW5GI805Iv3L//v//PRXPv1f/eN/ul6smVEpZyGqG+cnAiISCmisa1DBHlAwddUOXGcJRBmRqQKsFOlmrber1fOznxBLXfHRwcGbDx7t7mxNJjUAgIhbUgVPMEQG5AuwbdcCmCKYrQhpaxxmo+nRwVeQKEm+u5ufnl1c3Vx9/MknIXLguLW1szXbmkyms60ZU0DkKnLl4UUAwAgZyetpCA5iFE8fkJyZqKqjSlYFJDVQlZaJqqB1iHs777pL5c3d/PT07PLq8kcf/VQkj8ejUTM6Pjw8ONjfms0I2KV2TAVRAvLuuNl9/70Pvvx+Fr2+uX3x8tXJ6dn51VWXpYpRkYhCyllM/BqlSL04CklWDgGKlK4xsjPziBApACKUvr4UM+QiEGVDUPteGtH5MGXo5TBvOS1ZxLVCCdhQB/QJ+zJQQIodrFq2RMREZD0RAhERiAupzo1taRPwoRAKhG+F9e3deQjhXnSv14nz5+cnjoH9AlY1IlQpHYwP5srUgftTataLHQ1UEiBEQnahbveJgqFY9deIRMWejwmxCtEQxIOuFTpQVggh5rYLMcConsxGHAMxL5dLV/obTyedJKaQRbJqtgxGfsGpabGeBzPV1KU2d+1yvTUZzSaTz86eTsejnNPt7c1PP/7parWqYvW9P/ne3d0S3KtHwVeT/Pk69i2gjKQp13VdULiy0ux4mJUQj9q2goDrVYpVjIEk55wTuy5IyrGq3HCxOC4AiCgzp67zfsI/P6+TChnJAArWN6itFmKCX1OE5ZrzGczPhPyfLcfL1AH7q6kv8LFvPAB6dYqhzy14KPgT6++lD8eFCITYzzOgBw/L9e+/GToM/2scfuzzFg66D3307zPD5gsrP4UQSETRBRMRs1kWySJ1UwNiSnmxXBASMaoUqNSt6Jkpax6N6hiqxJmZ29UqNnHEo5Q7VpxMxvv7+1dXV+dn54DgzsuM1LUdEbx6dfK7v/u7jx4/Pjw41Kpqxg2RgRoRuu8VE6MhBz5HQLOqqmaz2fvvffnm5uri8nJnZ/fxw8c/+OEPmHgxv3v/597PWXdutn/4/b8+Oz/5r//JP49NrajErDkzUTYFAxcGdp6OqktjWuDgq/3ZyIjUKGVBQjJkDqYYA4FmTfj5y6vPn5+Zptl09Pj46K0HD3a2ZnVVZVNC6HJyjnlgHjVFF6zrWmaOZIC0zCsOMTBvzWY7W7uIhMyXl5dXNzeX1xcff/KRV3OTyWw62WqaZmtU1001nkyqEBlRoKgtOYpsfR3LSCK+zKVElkWJKEZS0YAIYKlbA8UYaH93Z393192X5nfzZ89e3M5vPvzoo59++kkVq93t3cODw8lkOplOYozkO/yaiSgiHu3O9renX//ql7ssZ5cXF5dXL09OLq6u0SRiqGNQIFHNPeGzqaqkguCbiu5AAsjRSdrkFYc5VOIeMu7eqwWRBygOflB4Dl5vE3Gvo4LoesoeA4z66s0Ny2Nv/e5GHFqSqD8fVO0dwYYz2I/bSvLADbzUJxX+GEWBi915Skv1WAbU3kOg9QW9r6aaS9TBQCd1eqKvmlnJHAX8LWeygD6qGxWkDWJzwyH3d0s1e6HtHo3OhCdAMMySY4yEUFEUyFkk5xzrimO4urmOobKUVbO6tZmYkQJATgkJcup6gqY2dcQq1FXYnk13d3audq5NZX5zc71c3t3NmcjlJZg5ixiYAkoS7MEXMGMKKIZMjx49urg8R6BHjx50XXtzc9O2HfbOJ8zkEoZZcl1XAKBmi8V8Z2cH2dtK6Lqurioi2tvbO3l14ovfisDMXUputMLMvbsLksuDg0OCfQOJAOI7hpsR1TYCdl85DyH6ddilj8Dl3zcrfYPXYu0GINP3IJt4kfU/wOurZQB9n3A/8P0C8mN2f99m5aIGnykPTxGHHGH304seBgrubsHEgNZ1SVS6rvWHdbsp5jBgRIAovuXpBQ1aSil1netOVnXVrlqOwTva29ubn/zox+u2HU8mJuogGgWqOPj+98nzk5OXJ9PZ9Oe+/OW33n774PAAzNbrFszcZGY6nYxHk9SuidAk397e/pt/+6+2tran0+nh0eG77737pS9/6f/5L//l1fyOP6ad7d0HD4+mk/GzF8/+u//T/+Gf//P/3c7Rge84twpIFEJECGAgUmx3ykQe1cAITAxEpWjDAyKAigJAlwUAUzYmYhwxjedL/vDpzfd/+Fkz4q3Z9NHx4ePjo63JuA7MqCLJRAGBCSpG0JRTDqEOxCJgKYnm8kERzkbV/vYTfeMxMa/b7uz84uLm8vLyvEspUogxhljVVT2bTSeTyWQyHjW19vUzgKIYETIxFlXk4t8JgEQskhlDZBfcF1A10CoEJBptjQ8++CCrrVfry6vL07PTq5vr5y9fuDz69tb2zvb2bGtrPGpiDExBLZkoAkSkRwdbj4/2fuGrX1q17eX17YuTk5cvX93MlyQ2irUSF/NHv6wJgVjFECiBAlgVq4L+FEJFCdxl2Ifkwg991eJlz/2FT0CG4CaKPVeiXNol3ii4X5j2RTcj+3gVCQ0ErFflLew57MNC34hDP6EtME9/8Azc1XYjOpRGBRBNlYi1SH0NxZ/1OMMQY2wjVJTK110HvC723WxfAy4OaCVEoG56krguEkOyxMQgAAaBWAAYAAEzJKIABkQEgXa2tkOoEHh3b3synUqy+WKRciLmxWKpYFUVLZvkHEJQlSrEnNJiPr84O7u6vro6v1ws5tK2hGYm89t5zoIIbbs2tRhjyil1mUIIIW7NZlVVuwhPU9Wi+fTkFAmRMKXUNE0zmjaj0XK57NrEgXNKAKVpcHQmEnVdG0IkxMvlpetATMZjBTO1UTO6vrq6u1uk5JuYmnNOXad9w0ZEkpKxIiIG8Hey0DetX7sb5qX2hZC9+VMPag6lOfhl91p66OlHQ1lfEjQCmA3Yy/3kqr+acQjuw4S2XCZ9d9h3n/B66H79y+7v3/8IhwZl42VtTjr89wiADx8+AuyBbP8ScSINun2HqiGqiIr65MoARYWpvHeIRZjUTEPgnLKTGok5hnC3XNRVU0S4YvFX8rdfRSlwTuLen+PxeGdnO8a4u7s7Ho0lS9b8zV/8pRcvnv/BH/zh7e1N1667lA+Pj778c18+Ojwy0+lk9uLli+/9p+8uFoumqh8+OlYxcHAg8P/6d/5pPZ1BFRUAmTkEJDawgIEChdK79w0TIoWKmMnZHQZghoxE5JvkCEDcY2xIjFAHAEgAhpgCaB3p4dH+o8ODB0eHTWDQbCZUhk9sZorIITCSGeQic08I2KWOOACAIZl30IHu7hZnp9c3Nzfz5R0i5pSJua6b6WTS1HF7a7q9NYsxBHD6CImmEJx+zqo558QUvDZUNQ6xYhRRcjtANQNKEChUBCBacN7Fanl1dfHs8+fL1QIAZrPpZDSZzaZbW1uj0Xg8GTEzGIrkIi2LSBzcNH6+XF9cXL54dfLq9FxEFDiLbwj7cSEzwxDMLGcBcC2H+7huPfnS7T/M+u63IKSlNnfSJPVmSWXrwsA3Y12tU1yMHsjlbApuhEDACKCQ7yt8KJkeSpYZIoNbeGs/WSwH1Z8uETq9B/si3+8viwzNBPQQBBSjxJISPEIV8edStcGgUO9BJkvuC8LNwaZBv3AwPIQnUL83lEI6VXOjeStwsphIlq5b3N397r/612cnZ9/4pV/6h7/1W1WMF5eXXeqIeDQe3c5v16v29vZmtVje3c2Xi8Xt7e1quVws7kCBiimm1jGYSJu6rl3d3S3ULFCo6xoQ2q6TlDFQVTXf+pVvEfN8Pu/alimsu9Xl+cX1xZWq1VVUEzVKqYshZElVVXVtB4BO7xow8SLXTUWYExGZg+u25pyrunKuIDGpKBJ1beuyrETss4Scs6gCIFMIgQHM0YUyazH9nX/yv/nt3/7Nf/dv/92f/ul/FpG6roou7FB59LHbXqP69LHfXmNj9mm+LA9u/msftw0BRbW/z2HYM3SdNvznzxBeTxqbj2dmMcamadq2vbm58ZkT9s5Fw0VbOoC+nb5PGwgAGLrOPawZDUQkSza1lDIWuS03CnLlLPVFEyZyHFZEEQB7pRQEFBHXX5QsSLRed+PRmDnc3c3rWKU2YV1YVqnLSNCtupQSR55OpuPRqGmav/izP9vb39/d3a1iNRlP/vLP/+LpZ08JYGdrdvJqdXCwv7OztVou/uLP/vxL73/pW7/yrT/+9h+ZYYxhvVo/e/r5bLa1v78fQ8yq//53//3v/Nf/VYx1RksioKagaJatYyUFFbPiIhADA2XJppkAuSwLIQBYhhAiIKphwRANgCyDgQJqKTczUc700fPFDz89r6ofzybNg/2dtx8/OdjZUe1QhBHUOrVOgBAoxACmpsCBJ6HxBZWcM5ARESXcasL224/V3kiSbq5vLq9vr2+uF8tl164k5+eIVazGk+Zgd297a2dUjziwChpk1QRgIUY0IIpMLCJq0CXB3i3IF0rB0DQhWESQ3FZMcdrszt54+40nXZdOz87Pz09fvXr52Wfr0WQ0Hk92tndGo/FsOt3anjVNgwqqoLlz0tysjttPHr/95JEqXF5dvnh5+uLkcr5cIwVFFHWDYEWke+csBPPyDBTAmECNHELCe0ofqhgXEGa4cC1yBf31bWAYhlrNiAnBRBzbKKdHQQ0Vzeu/vsD3AM0MAzsbtaBJnrjd/husOPsieAdZ6rbeB9iN0axnc3pXQQS+RO2/LTTVPpp47nBfTiyxBNz/UMUIi6pyOf8AJaOYeZksIkUMWb1zzex3ompmxKxmxO4tnNv12pJ8/OFPEGx+e7O9tWOm6/X6/Ozsww8/JMSzs7PUdYvFQi2369YDHSMBwGKx2N6e5ZzWXTLVq9UaCVRMJU+mE4phNpmNRqN1t7o4v+y6DgWWi+XV1VVTjyT7InYWwcl4++56lSGLWNclY86SmYmQ265zdokZiSdRQjCUsultIYSccukZzU1LbblY+i6YZHGTxaquy7tfBDaQqOhRi2ZILp4hZWaD4FJRWNytN+vq4X0vc9UNrH/jywA2lnoHCKevKL7A1YchflMf9HEA+GDoL/qWoB8YALx+Jz9b/g8ADyAUFe6hTi0bOPb6Te/vCi2Qz5uYVqtVu2qTZDBz4o1oLgKPZlo0ysEMUs6q4hZHpuY2sAA2IFsq5kd8a3v23nvvnZ2eiwiAas5d18WqMkQDW61WvqmR20RTeuONJz//9a+/+cYbP/7Rj3/l137l1cuXL1++XK2W17dX3qEkFQ7Wtu1oNKqb6vzi4rvf/e7l9SUijOoRZJ2Mx8u7xWQyzqJAdHJx+fEnH737/peJYwhMIZqZChARMhD2dF+CJKqEiGRUag8/w17bZsmIHJiROIsSuaQUtuvWszQBIQOpEjLFWQdws5L555cff34+qnhrOnnj+PGj44NxE4gcOoPeXUW1kwGyq2NABhVDMxFb65JDFRAO9/cO9vfBsNN8dnZ2ezO/ur5arlbLbn11dQuAo7qZTEYPHxyNm6ZuGkYUKTC1Zlc+MaTKzHJOBgqWCuMe3HQVQ+CcE6CTpqGp4ptPHr355LGq3dzenJyeXN1cf/bpZxSois10OhmPxru7u3t7e1VVhcCqEBAJVU2R8Xhv78HB4S/+Al/dLF6dnj5/9eLi6tpEOUZnOhUJUd/CIQAK5tqGzpxBkKzoCvJqDuD0QRkMjIBFE3IQzaiFPtQX+2qgTOzyoYbFNAMMJOW+my79tTcZKjoApWZmlqFgO71rB6CneqeAu+WhmY8pPPQPLUrhFuScXFZjuL2jU+UwAagoAoOB+ww74u8Nt8eFssjd64C68jsA5Fx8LFzCDACNQNW5rcQB0J0CkVQEAepmlLv0P/z3/+PtzfU4xrfffevv/r2/jYTPPv/8P/zB7z399NOt2QzMqhi6tvNtMyw6PxkAmOji9FTMpRqgrurJpNne2QkcPv/8meW8Xq5EVERdqgGY3DLMvdiquhJRa1PKKqpIWDWVgbU51XXTtesYIygoqgkAFMlXl+twao2/W8Tsn30PGFCMwcx8g8zMRHKWYoPqgEQVIxGGwAaYc86S0IjNkCgwgdy3f3T/4Zf/3yQCfQFI8Vjdd2D3UH4/ErCNv+jV/AFdDqRvKQhAYYj3my2C2f3995H7tYd7PVX1k6ph9FBQy81ob8Nz/tkXAhjArOvycrGSnDyR+opdzk6SNixpsGfBmiJDYEZkVaFQ3FL9ZZhb3QMSYIiBQzy/vHjnvXeI+frmarG4c76oqIlqjFXKaTxu3nvvveVi+Su/+qtf+eDL3/jaL8QQvvbB1548fJJSu7+7f3V1yURZklgej0fVaDSf38WqGo/Hn3369Je++Y31ch2Y7ua30+l4PBpPt7bG49loNq1H08nWLFaVKhqgZRf/M2IG6kmhWNb9VXMIxfDPDJXYIdacNXAAQFEDyRwYEUySisUYsXgomF+1YkqKAJYJwShwSGu8WS4/f/FhXX84ivrgePfxw0d729ujitEELBELKCIQchBDzWKqmC1WVROCGjJiyslAESiYPT7ce/PBERKv1vny+vbs/OLm7ma+XN7c3b04Oa1C2N7e3dvb3d/dbeoq+vaZZkAxS4wWgg9Lg5olEQNjRBcj4xDUQE0RTKRjQgAkgJ3ZZHf7fQNcrda3d3enp2cXVxeXV1cvT1/VTTMeTQ8O9mazyXQyrmJFTqRRdV7p7pj33nn01Xcfr1N3dnH1+YuXJ+eXbRZDFmB3Le8rHKKyrcdmEirvw8uoyno+hUtFu/RsK4LEjvgiGDGCgYgBgYAyIZorGKkL9nNkBUMrmtLuMgvFUGQ4s+XEiHnA7QH/DXior4QKC8+pn0SQRZhMpDTg9+GkHPAe7XUiKfpuEqi4p2fh8SE5Y9XMZeD6QtLrVjNTFTfpLTpACNm3H5gMep3jGMGADLNkSfkv//zPV3d30nXZ9Or0/Hf/9b9WtdVycXd5CTml1VJVFymlnAMTlY0EdbsO8UUJRWQKMVBg4DifL9brdW+1aAbAwYlMBIAhBt/vU7Wc1fGZZlxPt6fLxaJdtwo2Go0AoYpRJVPFagq9lJtm9bbMWWSIoN4kiu92gKka9kBykYAGRyIGIB6Lyaj3XRg4UAADS11WMCEOIXh53POP+0xggOybt/cR1LeohkvhZ8tw68mg2PsBeE7vE0AfgaHMHOxeh67Hmor3mUs5OULlucSviz6QDw+NvVH2kIN8IbqHofrEY30WKT+W5FCKIgvz+V2Irs0kcO+DA4joTG5nSt+nG7+gEfpmE8G935hcjd0v2dR1iBQDX11er5c/mownW9OZ5Lxu25ySAIUYTSW1azRaLpdg+D/89//j//If/v0YY5J0cHjwa7/+q3/w+//BQD/42geHB4dA6itXq3atZiJqhj//819frZejaoKkIGZuiobYdqnN3WqVW0nrrstqXcqAhojB11BFHGsGNGJCBeYQODi3tigWECJSCOjopwNCogqKzGDgdrwICBQIEZxgiK4upGhoKUPJBBV3CKnNd0+vPvzsrKnC4d70wcH+w/39UROauhbNzo0hJA6MgOs2AavT46sQcjYwZSYzsNQJIGPY39k+PDpQtLZbn52en5xdrlfri5vr67vrjz/5OIRwcHCws7V1sDerq4C9saI7fxlAjBEANGdC7FJHRZMSYmAABLWUM6LrZIkhjiajZjw5PDrKkrsuX1yen5ye3MyvXrx63oyq7dlsNplOJ1s7OzvT6RZTYAcJyQBxFPGth0ePHhwltRcnpydnF2fnV4tVJwYcYhYBZidZgjNcFXogGATU31mHzP2wiWgMQQpVSXsJOQuBARnIUkpY9h1L6PRogMDgZn5MiKCm6H7j5pRBRHKyT88q7ys6F+H00ECEoRiNKTN7xC+a7O4G1e8c+S2LtWFf3xGR68Q5ZgOmJRkVOKK0SAV3Rl+yIXBflBgBIKU0TAXqpkk5F66291Y5l55C1YhenZ0uV2tmXrXdZDz+3p/+57qOhNi268Dcteu265gphgj93jYRmy9Uq4rJZDKuQs0xrlerxd0yMHVdi77+VqKV532NISBhrGvRAT4wIkwpxypyG0RSCEGyVE3MXVJTwuAv0kEM8W3m3jMSAVzDm5hzFp8k+7zEEzMAIpGZqSlIj5/k3gkSwH2nffTCgQOhJFEVd4f3rs1KqVH2RUoI7bO9gfUh/rUi2t3QbCD4F702ryn66ZGZmhL2u98Ds7NH8zy6Y6EAGPTMHxxie5+GhjnEEPcHIoF30478e8fpL2QYAPT3icNzKAjXqBk7XVdEAcBdNEQN0Fxyg4Dc69nAFERMmtggURUjB2YOAFbXdYyRieq6Xq1aAnxx8mq5XBEhEU2ns7fefjOGuF63q3btUtRqul4twaBpRrPZVtXw6m518urk7PT0gw++8tWvfPXJk0ez2daDB8fE0KV8fXOdUhpNmqpqXKMYCVfrdrG463KnIi5fQ4jMwYdqzXhCIRBHdG5pYKZg2IPBAExMpRAgMHBgvP9suKDkqJGrvsE3f5cQQVU5RADEAKWxGOxqvf4h9BYWDMo02JzlDohGJGiZESbj+u0njw72d3an44qJQM0yKVWRTRKSN/RaVSNDzBliqExykkwIgAqkFAIhApKFpm3z9c315eXF1fXt7XyRDZkokm7NJkeHx/sHe6MYix4xKJoilpkbMRIUwDRn8UPlZ7JYeKopCoDLSCAhIxAQ5mzPX53PF3enZ+c319eGUFX1zvbO1mz24HB/Np3WVUQkl142AEBH+VHMrm/mr87OX7w8WaxbN6IzZFMAAikUSwBEd/ZAIBUJSOB9AxoUF3nX9fWBHLjSUK8CZIamrgLkWid9OW5gRazM41ZfzlvPDEOv2fR+zGeFcoTuseybqANEY70yDwBy4KKCSQSIKXXYr7flLnFgG3wFiFzvzUNY0Yi+lxIz14PzWO9+uW51Y73oKYCl4gQCpobmHs9QiiG11HUf/eQn3/nDb69WCxNBRAUYj0aRmIkuzs/Xq6UZgpvtEtd1HWJsmmZrNquripmrqjo6Or44P72+vXr5+Qs1dSAuhuCnCBHrqol1NRlNRpMxMRUxZlNniPgz93HAanV3eXkpSQ4OdiWruH23it/MzERFTVXM1I3UPSeBQWmVRJSJSo+CaAZMrKADg8YZVNjnWi9YPZg7TaD/LOl/+8/+2W/99m/9/r//vT/+zh+LSNM0iMj9RLMU2kNZ3ZfUUFL5F8fCm7jQRlvgHJP70rzkFCjshv4GmzDUa5Ne6NuIASPqS3Crqtg0o7Ztb26uATAE7ouWjf/tr+C+EYGhH0VArKsGEbquI+IipgpqiFxQN6CinctAXuoiEyMgl10+Ho1HIbCpec8VYwwczi+v5ne3q8WSY5iMJ48ePWrGI1MzBCIOVU1EJhpjDDFWsSICE1XVGMPtzfXt7c16tXry5Mne3n7brvePDhZ3d13qqlHDISCQgCI4Mk9MAdCIgpcMQMREgBw4gqs/IhJTiEU9n9yUnJApIDExIeFAdSBiQMtSBlBmFkMoAzcAuh+ygxkg99ruZsSE6FtFsNFHIwIaqmVjZM8oaEABEYwAiVRzSySzpjre33384OHB7m5VVaApQgITKBEHDYAgSpaqYkD1eTUFSFlMswJZqI0qBGVGBViu0s1yeXF2cX52vljeIWBg2tve2dvZ2d3ZGY9G4zr22uLqCnwAyMSgmN2KhBBARAVMA4dYcZLs9hqIiC6NxtGwVqOscju/vbi8Pj07vbq+AjMQHTfNwf7B4cHh3t5+XTdOiHSXXwND5KxqyPPF8tmLF+c3ty9Pz0zNkEQRKQAjuHuBGSIToSQt0C36Yg+QT5+KvVc5s17RIyKQ99TW6y4MvoxOseiTdMFrrSfPeO3uiL+6rKmfnaLt4+wmu6/TETZkxbzFcPAJAVytF1Gy9EyfYTLszIKyDFzuRMtMQrKoKRN7Y911nTkP1VF+7wmyAPm1gcjoYdeXMVxHFNCky4FoebeY3962qzUGnE4nbKQgf/QHf3hycnp4eLC3v1+PmvF4GqsqVBw5EkEgZiARPTt99Uff/qMutevVajRqYgg7e9tb0+1mNGrGIyJmijlnYhDRnLNoLmv2KftrFMkhhpzyzdXly5cvCfHJk8eqKpJT8qEL+Cg9i7hoO2RPGdkNT3zwlrOEYiGp7mqgqsSsVsiErrFaCnoAM98kL/sp9/U7IhL+83/+L377H/327//e7//xd/44i4w8AZQV4l4T1O7/4mej/EaU9ivBa/jS4MEXb9p3tfdkJ+ijf6nK+6ygwwS47B/+TAIAhVjF0ahZr9ub62sDCCH4hThkLui5QAVm7AGp/qRgqGJUs9GIiAJAcdAGJGYKZZfPvOggZi8LDYo7lAtSS8pohkjMwSNoiCEEGl5423aAkLrkXVIMsEp3blIoWUKWnBIVGJellboZnf704+fPn338yadvvfnGixcvv/HL3/za135+1a291QF02wEAImZWNeSAITilx508OAZkdnV5YmIKBoCMSFTFSs0UlJm1yPdz6Z7MRPLQ9XnpmkUAxNn2tlEamCrIQBSk0sr5ZzRgcKWeJEMFJCjrFKYqhCiF2RER6/nabp9dfPj0JAbc29178ujBG/s7o7oOzKIZVBDFNDmrx0wBLamxMRgQVjHEBJhTQvLiSyZV2Gq23tjfta/83M3t3YsXJ+eXlzd3q6vbO3j6vGlGh7vbuzs7O9tbVV0FJLUEZiJCig4EiSVCCMQAIJpzawDA4FUAaBYAgJQMMxpVhEdbo8Ptyc+9/Xi97m7mdyevzm/ndy9fnn7+7CUH3t7efnB8vLe/N52M+jMsCIagW+Pq599/DyK1XTq/OH/24uXp+eX1zQ2FyozqepSyogKa28WDEBqCKZD1eCYiMbjuRM6512z0vtUbD0Ym19YztTIjBgR2zR1gZDBQlKFaKiWUc37LnpoRkUru5wFkg2NXP6nzuGyOFlA59GYmkt3f1PuKYiBTGKL9gSxqBCgiWBRHiwpIXwk6kc0zaIlODiMIGmQDJykVoXxUUMleWQkwjmfT6faWWCYmUkgpPXj8+Mlbbx4/fDBqxoDg4LqZSspEWHFAgT//y+99//t/IYDbOztf/spXRk0zmYw5oCqqKiGKeYqGlH3nDpmCERhY3dSqllMmiIQUal5XVU4JAVLOjISATVNLFjMgUBUFAiCIITCgiCcAyZ2IZACIgQOzE4RCKDwooqAqWZOp5oKzedls5kLsvqBnNgjGWf8RQBFkvc8Mm6B/CcrlvkqR8DORv/zQx2cPuOXzeT1RlJsNc9vhshl+NVwOBfMpQ93+XxEchDSwHse//9+ffagSkvpWoM8dZbyGAIEjj6sqpZSSeFXOgTkEYlf0ws2/MLAkuYqBfQxV8FVfYjQIRoSr5erq6vrq6soJIc4P2NndzSkbOX7KLos4RHM1U80IiKZViKPJ+Jvf/GaX0nqx+rkPvrpYrQwh1FW3WFAgNEN2lCYgkiFxZEBCcAUxdgl+pKBWDGDNTFSZIyMaQMq5X73p/8Ph8zRvrZ31R8iIbqDoB6OfROGwOlRWeLAkhr65cz1CRrDi9kfMrmmD4CVfacEUgCLnQTqfLIGeXC1Or37057ndmoyPDw+PDw/2d3aayIENGdrUxcDMIWgBiyWrSqekgTmQqWJkEhFNGSkahkkzeu/dt9/70rvz5eLs/Pzq6qpdrz579uzZy5eT0agZNYf7eztbW9PZJIYKkpiJgILHPaejEFhW5uiXlqQUmAv0qSCaSEk8MCE0gZv9naOD45RtuVpdX129PD29W9z84Ec/iDE0Tb2/t7+/vz+dTqeTaeAABqrZskSwB/vbD4/2Vl1aLlen55enpxcXl7c5KXP0rGqIxZiAy7n1eIpUzkkMoRi4uBin+wAzq1qgXrLTfQQlmxlyQDAQcwa9V/eqQkjm3BdD9LETmYq6Co2D/dh/9mrqMk1F78HPuIIbuXi1heDlBRqAqyM4LuTIjwdTvYeGARBM3EvRCB36KfOMAQQI0ZsksV5Omhg1GzNnyYQkKOzOv8RVBWrqxg7daq1mh8fHqWvrpjYA896IkJkNoKriiON3/ujbP/n4x4b45MmTb37rm3erZU65rlhF25RJCfyR1QCAQ0QVzVkNHATrcofQE/kFfbxUoBvRalynhGoFo1cFYgAjx9YDEWbhEBChpXa9NpHMITSjxsBEpOs6USEjtYyEjFFNTdQGmlk/EO5LsVJ0l/jjIdYb+gLAQD+F6YPmEHIHEAbuv71X5DHr97X6PIDYP4mfyRcFs8fhUUpqGcCaPmvgUMcXmLlHKPv8MHQRcP+shyf8elIokem+cPDHD7GKgK6+gKWaLi7O/n4gF5NkKiUJlc/Jl3hMCzYHaGBqreacV6slAsYQJRMxT6cTyUoUFJWQDYxCCCFoCY6FLu0jOFFdrVeEdHhwmHdz27am8NOPP3r86AlGBjHndiCTGapZFkXRKlZA7GxHQDagwv0oGpCu01jUgAER1LJlhsDErucFvaZgQEYEUQW3rjXwrojuNcIAAbWMZK2MbgyhHyE4nbGUh1jsQcxMyNUHtU/e6LNCUyAkI3B8wj9k4kqgum7t8vPTH3z6rIm8tzN9sH9wtL+7tT1VBUjCBKAWmTgEQkxppSIJQQEpoyFWoUFiMSEqDrO7Y95/+xG++2Tdtq9OL6+ub65vbi6vLi4vzjnwZDLb29892NnZmk0jBgPypRU1BNUQon9MKh6OoRM1sxACGBSvVVNRIxfhZwuBptMwHR88eXKUslxeXV1cnV9dXT39/NNPPvtpjNXhweHW1s7hwd7W1pQNA4IaMCgxTWbTg62tr7zz7u3d8uLy9uT07OLyap2SAhMG9YhdkF50NjMCZMmAxfUJEbgf9Jm7TWcwIGYS1UCBkMGHnYAA4oxYcDaIz8wJyiwC1dDZPhiY76H5kvZ7armfhjJVMncnFPc+6w1kVATcFg0RBxKSRwREdCEgZl8NCRws+wBAmM3MiIsmKPh6pnksK6ediYmKADIAmmrTsIlJzl6neNEHBIwEIpH5+vLSBOpxbYRObBAVIiDA84uz7//lXyLgzu7e1772jbvlKouaQZcEwKs/wwABkIxSykkSIoYqmqqIgmQGBgNiQgNV48jj6Xg8HgNArGJdN2orVN/IhrL8XHgyalnryICYcxYVVVHTlLM7P7ddSl1y2zIzYybxZQ0rkr9WwLziMefh3mGQ8k7bPdROiP1yBwxxuA+r9+XhEMk3gvDmjxu/Hga2Q4vXh/z+1vezAujnEqXWx/sf73fBvtBvuLSec1NeX1Owvp25h7D6PPEFUAsAAhPnLDGEqq7adQsGzByYUsqAEAK7KDkVdWuHV8o2XT/QcIoEqBTVfCLqUsKMZlozj0bj5Wrp0yvEjEwgXvWbU83AfHPRctYEiJ11bW5Go5PTkz/4D99mpi6ls7PTw4cPiYiKSjKhC3uxi3qDgBo4DuSaZsih6AmIFl9QMxJUdN15KHui7mQ7fPJadOL6tgZAexrvxocIiJhzxmFkjwg6/L7Qvb0/8CtNVMjpUg4dACABIRFhTtkRBuldSRQNBTgE72WJm07t5Lo7u3lKH3+yuzPbnkweHT/Ynown4wbRVBU1x4CB2DQQgJqoatuuAIAIs+YqxsgBCZKsrYM6hDffePjk8WM1vbq5Onl5fn5xcXV7M1/dffrJZ01d7+9u7+7u7u3s1KFyxR6xjCCGhAw+xnd5tZw7dfUOQA7EAcEsiwCAqBIhUUACJjo+2js+3hez5WJ1fn55fnn+/NmLn3Y/HY/Gk8n48ODg6PBo/2CPgKj4wQCD7ozrncmDt588bLvu5u7u9PzixcuTxWqFQKIUQhAn1amDfUUkDpkBLGUBM3fkChS0r6ACe+uKgKDusmvCHMkNL0WJXKnI47iKKTIikKomycxUhWrzSJqjZ9hPmsunDwCcc/ZKjXiQ8hfzBoKoTI/uKzQUER8LRypimf1KVD/TswI0ofcE/aaxy7epWEqdH2RD8uFHiFXGjGBopJY1SwDaPdj5N/+vfzWf37755tvvvPeuw0dimZACx3a1/u53v9PUtRm++967dVNBAiIBtBCCSF6u1oDAyF2XGAEImtB0XacqkoudWe/uY2YqSVJqry6vuq5rmvr87FxFOTAAqO+zlaBdimuKqKIirhAMPpRSUX9FiK4XzffweBErK5Mer/q0T5bY+/dYT770QNnP2HGI6D07876e34DNX/vyt31A2zcDuw0T4D5eDL8YEgP0L9hXHPCeo1BitQtj3KeQPvQPmcfvZvi23Oumo2T/lDYHGOVezAAwpJQNrO26wJxS6roUQpjQmAP7bon/qc9VkNFxtULGIvJYBgBkRpFzzpHCeDze2dlDRAONsR6NRs6f6IkT4Ju2pbJmMpfXBSBiUFDQKsZqMkbExXzRSbc13R6NJzEEANQsAgqEyIGryMAOlDFzDDGECpE9ghM5QdxR7MKl8ybDh8FFgEV918F6wkA5aUXAC8owUcH6Q040IMhQeMQ9QIcGykhiviPteJKjTJQke0CBghmjmqEBkbOlykUkqtzLJKgCqLIHCAugVEU+u06vzk8//PRkNq2OD/cPtnf29w+nozHBOkkylYDITCEwqIioIXIIKeWuy45EEbBky3lJzJHweGfreG9X9MtXN/NXZ+fnp+cppZcnF89fnIUQdrZ39vYOdne3Z5MK+zLCa1kD7HKqOFjf1epwYVFlDESgkkDEl6vqGAUMAbYm9e7Wm++89WS1XN/czs/OLs7Ozz/86KcffvTxZDrd291//PjBzs5OU1egPqjNbDCtcdrMHh7Mvvb+W/PF6sXp+ctX5zfzuSSlWBEFLa5bPUAPxkzmc1Rf0yuFkA8QExGBoecqRiRwrcjCFcmmUHinSlAUatGoRA3seTiuwaTiZ6x84v3hBFMofHYEgyJg2bNTenqGx311TqipUq+Sj0hmGnvKqd8t0T0l0fXyQwh+rapqCBxDk3ImZJHMVKlpsc+0AkkhaE7pB9///l//5V+27Tqn9KUvfelusVp1rZkycmC8urx4/tnTcT0eT0dHx8cOzaAhAKoBcZiOJkhginXTpNSt23VWZQ4GxgEBIQBLzuh6fCJgJkkRLKXskm1+NAJHVBmaJFFxBp26eYJa4f+qVXWkiOg+kT6fAQIDt/zBsuvUf2cAZuhbmhvx0S8PAyi5xzfvSn0HNviIAXwh7g9Dl9eBfYCeW2mFDtA/2BCuCxXnPgDfq8mWW5huUDw3bznUBUMoHzqbfsbQ55vhmvtCN7BxP/fPuMewgoqFWOqalHJOmYhSSnVT6wZWVYRKGBGdrV7wDvBwy4SE6sboTIG8DicFBZ+xABQtx8AcGKnYueUkKua3IYAizg5IRFUVJ7PZkzffBIAQqljHnMQIEIE5MgeOEZgNgEOwfqHDzAyVDDkEp9A4QlX2v8GyKjlNyBWNnB3qCjPM97nXCf+AANZK8twDRYtGUyqvy98cZ6QNuCMUHXKvPoBKewF1VTtS7B9jPzYAAFBTSQZgCBhCQAAlZGJDADY1daYEIa6TJ80RBl5m+ejp6Uf6vGma7dnk/cdHh3t7Td0oIZJ00qFqYCYMWFBr6VJyz14Tcd43iYiJIRvy3tbW9vb2B1/5yvXV9en52dXl9XK9vl0tLz/7FD7V7Vm1uzM7PDgcNXVkYqoctDJENUEMhe6kotmFIXMVq4qjQ2pqapJyzhQqEbUsSDwZN+Px6PjBUdvl5bI9vzh/9erk5cmrzz7/rKri/v7e0cHR/t7OZDxy6yhTQYCAuDsbz6Zvvv/u2/PF8vT84unzlxeX14ZMXBExIGsWn6kauocltqkLTEagqsQI2fmCziExMc2pDURW9HrBNetVlZCQSM27ViVkZlYxF+1BAO4voXJEVYetC+hNH6VXqRzczLlsXGZnSSIiEIDYsASwSp2PIkMIficqYgB+AbtmnJUtnOJEzX034PA0MYuqiAABCBTllmSBOeXlH/2HP0zrzkzdwdFAqyqYWgyhivxXf/7ZcrFqqiaLLhfLyWzadRmwDD+qKjpLP4OaWdZMzGAglg0MelWLEr7BVE3FQowpZSb0eYmaBWJ/tsX0ASAQGoCYhBhMrYqopjIvk0gzYGYmjhhdbG6QMCvbdLBRd/fVcj8zxzIcEiUmyVL4XdCPVV5HWvpivA/99rrIz32Qvbd57FlkAGU4D/p6XEZE6z9fv+chbdjGzGCoRDcTx2sPbaUx8OEAomOV1s8jfHnSBuKTbUBD5RUhmGkAhJw15QRmQBCrChDalEJV+Rlwpg05bhaYiO5nBFBwcyZGojqG8qy8jepfG6H31oyIiqBq6Pq3APWoDkOxA+gZwqdGZtClbIBVXYcqABLHYGBMREzAwV1R0KtvQ2BUM0JjRAAQEUQFBT8bvq3j4mFEJJKZGQ0CB/IlXhUkKpZXvgXWqzWFEIgYyXmbiGCIcQj95boE48CeLgd6cuFy9TiRt67i425E52sjofZm34AIgFmyT4mZgBCAjAmpcv9bMDVCNNWkwBSUkLhZGa1u1ueXPw5ss/HswfH+g4P9nemkjrUAdG0XGQNjCNWkiqZKYBHZwMQA1EXVOIuirAkULexv1Ye7b6q9uVitzy+vT8/Ob25u75bL6/ntR0+fj0ej/Z2dg/29rcmkrismNCh+hIGpCgyBNWvgRg2Sqq/5ozExjmIUzYEJwBQymgCCmoaKJqPZwd7Oe++9u1q3r16enF9cXN3cPn/1fQCdTKYPj49393Z3t7dHzcidXcmM0fZn44Ot6ZffeWPVti9enj5//ur6bpVFgAJySGoGJKDExBjAaTygIkqAgUkRsY/I4B8wkcM4hGRmTNH33JlYBZAhZ2EgRL+0vLIHBIwx+p3cj/oMiBySoo0Swes19E1aQqrqGsy3YAGZyZdxEAddaK8wRIR7PxnA8nAiBTohIhXtNPs0y9QQrMuJOXBgRETLypC7FIhJ9OLs/OryYjIe3a3u6rqOVdydjNuuTTlLl9eLdW7zqGlU9fbq+q/+8i9+5dd/PQRWM0Y0BBWVLoFZJzkwx1ghgmUQiGYVKIBBlzrpktu4JzASYmIzDTHmlALzcrkCwPFknHIyMcfroe/AmUCzOHWqCtVK1+KRCimGWFWRLfTZrhRt9yVV2c4ogY+J3cNHpLCE1BQIfScDEJCQgQHNEczXMJ0hmRCBfRFtx2Gq2vcQPS/nXjF0A+0ZUKXSbZTovInj9LfBgvyU9uK1Oy+JoQRbB4QG+axhkDGAnOUvNsbE2DcNIcSgKiiQshAXwgI78z8E8mgLxT0HOSCSmSAg9NKYpf0BK9sXBoQcI3tt6ONBEQUQROAYQwzEwTckRcu8uoxqCZGZEDlGMwshhsCuxICEIQRkjswUAoZAHCwQgKuQRkIwLUBPCBUAAgozuyi89/Juc8HM7jaHGFTLLl7gQEQhhP4tKk9JVByWIfWdAXb0X1WJyTOjfy5DHeBmWH2eLUUBgavRogM+1i+OlakyYnn/sFcFQPB1WBAUUWCHqRC8zyVCIgXQsiYFgAFopACXy3z18bOffPJ0ezLa397e3917eHjERAkkpUxohAaiiGgZkAkATURzgjIaQc0paYsEQDyq4xsPj99844GIvjg5P7u4vp7fdjm9PDl//vKkqarxqH54fDgZjWeTWagqBFPNIhnJIgUEAwyqmZja1KqqmAUiUxXNxMzMoub8HcktYEVg01H17jtvvPP2W1nl6vr69PTs8vryk0+ffvzJZzHE/d39vd3d7e3tvb3tKkYCNNNIEMf16K0n77315qqTk9OLZy9enZyfAbABxxgBhLFI5XsIM4Dkq1POswQTEQ6EjkYipZyRQDX7bnBKCcyIsaoqPzwiRb3Sob+UEoJn9NLhgaFooR76uqXdzw0Nsbj+qmoPWppfP702lW/nqV+WfpOhtnPjhBA4YMgiphZiYKaUREUMjUMYudlWzg5Y+mCZDdCoqmpknq8WIpoVFE01O8BTNWG0Pdk/OsAPKVYBCed38/PLi8l42owaEckqMUZ38opODeh5j5YtSQJDUCDiUDNzUJEQGBtDwxBCzkUY6PjhkU+jndlpZlmkfEhIqtm1gcxsZ3eHOKTcNjiKsQoxmI8r+i68XL3DMEXBBizFd8tNvUrDHtpdr1v0RkQFShwFydl5R/0o2IecPZq38VVIOz2oszE43sgZPdJS0smQPDZmsUOF3geM+0cYkk2BFAyG9YJSWbpukgEzOd7gWlL3eeX+2W58t4FI4cNHT9Sk3xlBH/jGqgoxOjbpwEgMDABEFfl1jRirqk8A6sIJauAdh4PrvpVlBszBoMQ1dxVnTwBVxcy80TuHug7Bq4my7x1ixALMIhOHGJmZg4v5MHJPRQUgCoguwMW+3MWEgIrMBEREauJrYGWh31mg/iwHnArAU67rwEiRfgtuk+RfLmEoJoT9J2fDp4WlUuPC78Z+VO4gbwEZnfxTuH3BitCed4H9hADRNBOAKjARMA0YFNi9UAExFLoTmAF7f44mhMZkZIYodcDdnemDw6Pjg/2tyQhUEIBASQyx1zE3BTJTpRA4MCBJki5lR4+YiZmNagwhZbm6uj47Ob+5uc5ZAGG9akdNM53MJtPp0eHeeNQwEYJJl5w9K5pCCN40MgfJbd/zmgL4/M9HQKYKoISIzES+ksKKsc1yczM/Pbs4OTud381zSrGqx+PRzs720dHh3v5uU9XMhFDUocEgq2bVk/Pzz5+9PL887zpRrgDY3P/B7VeIFS1nRSik0mHPAwEsi+dWP6ze0SP6KEE3+mnwI5Ul3Z9fc5omFEYZFtHx0gwOl1rf5nv/NBSw5j5WarnfvOv31zbgYJ8hAwwtgjedpTp0rMYMTCWbmolKRiA1Wa3ZsIrVycmrV2ev1m27u7+3Xq9UAAdgXWR5tzw/fXlyetql7m65fPPtt957573RaCSmqcs9/UbBeTUGakJAhCRl0mFg7hHtcwpFgxD4009/+pOf/CRy2N7Zfvvdd1SMCN2B2N/ILOKNQpGyMLCCFAGAZRFfiHPcw7lDYARoqlld61wL62eAcPyQOlEPqRiBdKn7nd/5nb/9d/72n/ynP/nen36PkIK3SjD8h1+o2Yc76z9k+5nvvvj9RvzdSBKlarb7gcFrwXqDjrQZuPu/3Bw0EJFk6bquKJOXjPNa94Kbdz/A3F59vvnWO0ili9WeSOCRVFVDiBS80i0auX5hW4m1jjl6ves7tH33UCaxvrrDITL6OCZGYiIgZOykq2Jd7EGQAJFjAADmgE5oY0ZEN2QvJ4/JH0X9jQhExIEDIqKDPA7BEIMZIyqIIRBQCIHcPrBXCAFXRYYiT01FDwRxmCUUG5yiNOkXxmBaWU69+qoEAPQjBCzYUenfCm3DnMbgbUgPwYGnRemXjYldf7gIzReJAzDslxPQ0Cd77iYFAFC0HBQQFSICqWUwKRaJBkxmuWVSUImBdrcnR3sHD48ezsbjJhBiVlBCdTY5ChtoloSIRO5r6I2ktm0GrswnQMwcgoHNF3dnp5c3N4vlat11HRLEwJPxaP9wb1TXO9NxU9VmYCimAqqADKBolrqWC1kLsJfQADD/YN3hr+uSGSAFwWgUCVkRgfh2Pr+8vDo/Ozu7PHdwaTqZTCfTvb29w8PD8Wgcmai8fyJmRGHZtjfz+fNXZ8+fn6xWHXLwyTggCqBrxZTBrxqIYZGY7+N8793lEiJOL/Eg0l8qVhpJvAcDhgbdAMz0/jO/dzpAMFAV59oOsG+4h6Rgk28aOEjvkTsADqrGZT4BYOV7X3EyNY8OYABEBqZkmrVCsiTQ8yM7bxlEEVBFzGS9Wt3N76TLMTITr9q1o7Mc2NRS7jSLoQGSmaacEJB9BC1qBqt2pWLmhHLv8B1rTAKmT59+9vLlC1OtYvXel75UVVXbpRADlnXuPKxGE3LOWUzBLDiKQB7mtYy0ip6+YbEzSX6+hiKZgMGVU1Vh6NYKH9dWq/U//sf/+Dd+49e/9yff+96ffo+JQ+DSyGx8gF+I6rg5BtiYCrwG4/R//Fr0vv/tF4cNX/z+C91EX2sMyQh6FqsTalxJngq1qawQD6XIfa7Y+MI+yodYReplzQGA3JYVCRBjACRE3wImtlJdaKBooOBmflTEV8uImClw4MAMLizoHDVAQvJ7YTcaBTUYNeMQIpQJvwUmzYJECupDBZ87KBagxBCMesVYREQCBj+QBkZU6NtM5AoNBEyu+Idlk4OoWJ72mGy51JygHUJwRFyKK7abSJXNL3AdCA/QiD7EG+Yc6OAJmKbMIfgm50YQ6C8WIg4uXoCE6IPRUJKKP1fzugBNkIMZiJmBEBAYkglqFlEyRkDvuhxtVBXCACDEYMiazRCpInMLLVNj7sxOrvKri2d/9ZNn4zE/Pj443Nvd29kd10E0u0Z1YAQ05oAYwEg1iWQmrptxyqZqgSynVRagwNujauedx0BxueouLi/Pzs9u57fXt6ub5Q1zmMRqa2u6tbU1m03rWA2xEs3qppZsKkrsnw0CQE6dgTIjUsySiRgLhxSzJMttYMpqe7Nqd3b8pXeO53ft5dXV6fnl2dnp5cXF06dPR+PReDx5cHy0v7e3M5tVVQgUwHQc4+Rg/3hv/xtf+ert/O7k9PzFyenV9Q0GBgUOlRggKBiWbWIPacyW1Tu2IhzmCD86rbCcUkJSM3YnOQAo86dCNLSSJLTXunSwsIwcPP/1haaTra3oyZXGkUKPc/YsRoMyJDNiCgxqxsjmRTcBKSkaGIgV7zNRBdMkgoRVrCwlBSOgVdu20pEzpAGaKhBGM+MqTqczFQGVddtxU2UVBIwhcp+3kNAQO+na1VokO30ndZKzeCXTmzEAGIhoSsncRwExdXk0avb29paLu/HoEBp/r7xuIgAxX+XiAG47peBB3YnjgRjQfbENfLpeCjsc9C77YaQDEb63IeriQgbuUxRiQEbmYGbr9Zqg4HBDMC7Qrg0x2WAzUn8hOWz+eN82/E3A0Ma/4mb++P/xdf8AAOD6EDHGukIVcV+2Ho1+Pfb/DffkUA2oAX7lgw8GHW0E9IKPnFLDVB4NwUsW5oBuVu25gnrCJSM69OHkSh8dkK/QMxYrHzQETwBEHGNUQCBDRBULIQAiMauq1/6epFTVLXudd8RETNHnxSGEUFVA/Z4tsc88oC+wa47e5wACFfcCv3NyfT7fSbb+DXUfUehPoLcCqsoh8EDaM1D1jVeoqsoBuBCD+mwWDJFS6lwpemjFSntL9wUvluk8imZm9k00FdfgBQZCwnXumIOzKAIHQDBLpAhatIOtFJNkkE2VKYCBgllvcVF645Q9uTAFYoMyy86Sloi5idX+9tabx8dHe3t1PSbSKiTTbEauXkfEqq6cgQoiKdWx4kBZ1PV0FANgIEYMlETm8/nZxcXF5cX6bg2IVVVVMexsbc8m09lsGpmqGMyXoRAJwQxcPhOh8LLVzMwdE0xEXOsphqAGhphFCQEDiTJiNERRvbmZv3j+/PTiYtWuu3UbQtiazo6PDo+Pj2fT7VHjViFFFAKAOpEuy6tXp89evDy/vl0n5cCAQcsaHhZuMPhBgX4qiJIzcm/VBAA6TP8G9wDtJaEMAJ2dslGBbVgCqBZHMyjQLRQxf2VE6WWBPRNIf9V5CeL4D/aJqG9Cyn0juscymQtHGHaS1VRMwDAS5ZwCMgBKAM3iGSm3rYllU9Hs7twRGSkIKjERYrfucufK5EhMXc5ihTdoKa/XawOLITo5WHM2UUnZRLtunVJyA4P57fyv//qvmLCp6y6ld955ZzSehCqGEMrRM0fDLIsRkwsBkSdFAEDMKt5Nq4mzfn1wLJYQ7tn7RRXJlJhdzVJF3RjS9QRX7fo3f/M3f+PXfv0/fuc//t7v/15OObLvE5aPfii5NwLqazX+EJhfawuGf7yPt5vj29cC+vA4m/Pd//KPHozv4Ye6rkfjUdd2t/O5mfVbqvePgX9DEig4h0cz/OrXvlas9JDQt2lKQV/GyobOcvMZgKvZQJLs3msxVgNnxm/vKYA5EPFwpGOMdagMS/PFjv1zZA45Zw7Bq1RXoQhcORvD6WvFsYsYEGMMAVlVXW7JiJSAOSKgH1KnZvv1SgZqSqHovvi8yD8qYvLGPoQwYILaWx977UCMXGRwFMAtENDM3IQ6MIup5Oz7By4ElnIG8/0G7SlrvntAAJBS168ioOYyMLfe4NDxcBx2g8k5QL0ah19fiC7abL0BCAVGxySMsiUDcTmDwlcCADWGsqlgamLij8KMqoIEoIgmIB2jbm/tPX5w+MbDvVEdmYnASBNxMWZBQMPSIflStIkhsjq4R4recQEhEhAvFun6dn51eXl1fdl1HRNVdb0z2zk82BvVlVMb2UmkpohOzkMiRijuQiK5NG9kXZfAIFYBACULEmcBQ4whAKIqGJKY3d4tPn/24ub2drFcdl0notPJ9Ojo8Pj4aHdr2jRVWWwxVANQVEUheHly/uzF56cXV+ssgIFi3SV1DpY3rCX6mqvfDAWhgRTIlYiy4wwAQBiIzEBVvN4fmsgyf+rjxYBxQy9f4NRGFxwFKBw2NdO+q8hd57Qinyp7xAw88GHMFIjLGBAJUUxEOcScs6IhoqmAGRmJSAYFwLZrfYjBxMYEaNKJqTocvFbpuuRSiV6a+JWbyr6XEICJAEDOuW1bQMo5g6qJVSGYaWq7lJNoXi/XYPCXf/nnN5fX5AYDxF//hW8Y2ICKEaJL05sYMQOgqkKWLBn7jakCqZHvChiYgLnmj5M+e/82MwMQyeKObIYIriQPSLBq1//gH/yD3/i1X//ud777B9/+w5xTFYNLwNwjLeWMboZ/82ncEGI9elhfKr/eIQzpo59D3GeWzZv5JaHDrzYj/hdGAdYLU5haVVcxRhWd3821ZwPjRsJ6LRcMdzjg0oT4wdd/wYNSX+PQcCMnyAuoiPog1gvzJtaOFFnPgHK5LA7cc1QcMoxVKC1B4GCivhfKVFCgLicvWg2sa1sgrKqaQxiNRmqGfhUHl40IHIIPARgQAAIyMhEHCGQGiCxm7iwIhhSIkWqO3j6WhqQARxhj7Ptr9GgOvbR3SR3DcS14fcHatEzYy8eCRG5mraJVXfsfqttPO9zoul/muWkj6yK6xKOqr4OWiOLZy4NCluRYs19ShGTeZQAFDr1IlVeNbnOGBuJzcDNTLO4WaoqFF9DvGoINWa1su5KpKIKAAYIEWE9G9aPjh8cHB/tbsyp4/6AEmZnBytzedVdEcogVMRJqSkpMBgiGCoQcAQMydqlru/by4vL6+maxuFu368lotLuztzWdTsdNIAiVi9EXDyJQQyJTRQgF50MAQNXcdZkImDhpRgyASGBZwEwpRGIWQKOQRa/nd2en5+fnl+tuuVquYuAq8t7O9qNHj7a3d5qqZoze4RuKK/gk1eenZy9evDo5u+gSYIgcKlUT8x6AAAnQC09wSxdC0OTtmzIFQDBf9VMApxMB5CxEZKCOYHg6R8SUslPselClTJtcSkTF7lkmBkiQkruMgV9XfpWKiutfYiH5qJsyAaKqMDO40AiYqubiJQmqQq6iEQqs1HWdD8eRScEki6mU/XhEEUupRUBX2jGFEHnVtqLi0TYyo6GbcLoDDKilLoGKE1JTylmzilYhnJ6cfvLTj09OT5DowcOH77z9ThFrG3R2wVSFy/YliAhZERRSVSyDOSAmyUnUBVCKjNJGyEUzyZKZ2N/InP0NLwF23bZ/7+//L771S7/83e9+99vf/nbXdZXLWPbMzo2Ii5sx+/+f8n/zp/sIvjEz+C/fvvzsI3/rU9qQCwacS9ViFeu6liy381vtrSlemwD099M/cew7gNIp4S9+61vQF5dEbsDmRHjKObsGYuDgPB8iYkbG6LFJVEOI2BNpkJCZkbgAm0RubzIYfai50ahxYIQCtccYXSUCAEMVOfgxA4d0XB0KGN2YN1AoYm/g9h1BQUNgCl7mEpLbBwKAMRARmtPsfISL3Bca5R9LHeo0f/84yUFAPx/kJ9bf1UGDFwoejCHwUGp5xTfMoPwhCCnE4B+k40V+YyznvhA7bNicQIQyZUBm1L66QChkEjAozuyasQBK5QJRlRACO7MN+qmUNxlEBobkBCkq5asLJFvhX1M/VmJQU82S1dpJUx0f7D08fLC/sz0bEWhngohCSIQWmSOFrltlFSakGHxKwxREzUy8gLYiicGuqHF5fbvu0u3d3d3drWapq7A925pOZ+O6ctyROXjOE7fMReC+KwAoSdTMxEpwIWYklGy+pW6IRNFVm1Xh5nZ+fnF5fX11dX29Wq9FNcTqcP/g+PD48OBgMhoxFsYyEaoZIK7W3cXl7fNXJ+eX122ypGahMuQsagBZs5lRiABqReEQ0CAAZU1qrhXKhuii5aDol7frSpspKIj61M47BC3yNQpo2KXOR5FlsNsj6X4AXW+58AEgmFlg9+L21AngbpKgktVpBYW3pk72K+JtWCzYjctFAcvVyjUq+vyhJooIOYl3JEjowwmfWnc5I0CW7ANwAgQ0306jMthQyIp9RZ9NVcSSACgjdalrU2KilLIjF0QoZoCQVdDQcjYD0QzmPagCQEoJAbNK9npZhYgCkIJmUPAs5wvV7tWKZD5DKOvr96zLLqW//bf+1rd++Zf/43e+80ff/kMRqWIk5EKohy9+bQL6r+MyXwD6N+P5ZrJ47devgUL/3xLI8JCv9QR+qxhjVVeSZe4QUBFug9fbgJ9NAP0NDIJ/3k5lyVmcUQNIClbVlVPCyu2R/DpgtizKRFWokGmYKIOXzCZMwQxEFEGzCIfAMUiSfkRLzsVHIw6BCBVNxEIIyMWQMtaRHWoALKsDSO4iyYRg5ogEMQaMyCQiwL4kNbyBRlTwA1+/9PLcW+keLjURyTn5ICjGCE4SBK/HCeh+LdOPoop4dvS9Nuj373sHq34mfP9JWU7Zf4r9Fo8zuJ33AogqWqaHhfKEPmB39twwC/Hht2n/HBA1K5AE5sJ+wjKg8XyoqmWs5szX0rq63wuoauToTHQF7VIu0wTBGNiMIBBDbDt99ur62clVQHvr4f7R3t7ezn5TVcigIOucWksVYxUqSarJEDVLytARUVWHrBnNCFlSRvY9LN7b31HDYzwCtHbZrZarrm1Tyid3c1BpqmY0GtVVjUiMRIEC8YYksldHhIQoSk631VJ1ErGIEkLuWg+XZrA9He9uz1J6vO7y+eXV6fnFzc3Nq1enr16dBApbW9uPHj7Y39/b3d4KvpQBOqrjGw8Pjo92zfjs4vrp8+cnVzfz5UJNq3rEDAqQNYEBGyqYAopKB8ZGAKSqxqJixoCAbCGnXGoLBAUjw8DBG0B/Pb557lqi0fEchMJLNdMCcajvzTvPDsCp7ZA1me9+ukOfITIAMkBiYlGRLNly2Bhl+alHUAJQlZwygIlkokBQnGS82cw5cygYcT/FNjUDtVIxeGIqcCMiUhXJyn6N0yaAEMUs9ys1gKaSzZADq1ldVVrmGmpO0EAMFDpVUCEHQ5kICVRDrNqurThCTmaGRl1KPXMXARixtFkcgg+TDYzx3ohCC4naaKDzAVBZ0xuO7uvYyf0Ep5/X9w/Y58vXAv1Gw1DQms34johfzAlfGBEMj1KeCt5H/RKLfybl9OOBod7ffAGvPyCWRwBAxMAUHLzmwMSu/wMciJFD4KGqQOdmMwXGlDMAZrV2vaqq2pwSYDpqxkSkoElzXTWxLN86jROb0YSYiNALE0C3w/a6oFC1ApehqyOpITAxA/mWFsd7np0z2Mr6jC/il2EvFwObMuZFNOgdZQF8xWzIsAXSQfZ3U0SsuD4BImZVNxzvnZiGRTtFBTNlDo6pOJsI+s3h3iMQ0Ld5fP7su5qmprDBRMXh88VS7LmdU/+IrmZV/IsQAZAJrDfqiYPOMCKiuKyZFDXLMpYJnjZINJvawLnsZctUswFaKCZTZGiSBQFNfD+LVMmAMNBHT88//OwFAR7u77z56NH+7vZsMgkEbWpBhIgZDM2C85ER1l3rpGwiJo6WlACB0VAQEEUAoIk02pmBbYGBGXVdp1kQwTRnbTMaK2OszCCLchFFIjNQU3ejKwr7ar4WCwAEWEf2AXLF7Hh1YBxNRluTyRsPHy5X66ur69Oz05v5/G559/0f/jUTT2fTw8PD46Ojvb2dpmoANCCZ6sPDnUfHu4J4dX377MXnJ+cXlzeL3EmsJ4jBICMgQMAQVF16JIIZoDEDgjIySPH6A1BRARFToxCcHJkFgZFCQANy8APuC//hU0MAEWEqC4bEJbAQcdt1VJh1ClKAx6KYBgXtNbVS+4sQs7OiwaDrkmkuVGYDFeGeIGdQqBAmljR5zYuuw1M2k4EIQ6gQcJOz77i8q56EKoL74bkCnipVUUTchjZwZWaScsCAYEiYfR9MRUHrpvbIJiKm5vJBxDgajZCQczDT1HURwExS7po4QUQzBkNT61IHBjFEydjL7YGYgvhbqC7OUSJsgb2LLulr5b9tfrMRhTcr9Y2A/EWA52cRn5+5CfzMn2w+oPU6chvoVj97wL4i6nOC9bIXGyMH29hKHrCokvsCgDFT1Yx98OirdIhkgG1qCdkRfGTyQY2o1lVFTpplLsgR+kWjbrZVYqXvNSJ6NnYgwwxirJx/L6I+LyYGIuIQiAkQYqyySpEOCsGn6doLIvoCt5e93rN7Qi9MeShYE/ab9D0pqVA2h9kaAmQRAAshenjtuq7MjgDAre76oS0M/8gM3kFjD+P0Xw7tOgo57IL66qanLW/SiRCQysKew5lFxbDQOYpggIFmJS7PBwnU44hqgbr6BTosRBoZVhYGWxowEBS0YascidGRJDV0VsYAYobAHi2wJDAysGzi2F8yC9UYtDaAs9vV6eUPCXR/b+dgZ/fNR8ez8djMDIVInA+LaAxIQIqASIASuQiW5dQRYsDCDwYb2iyoqkhVBaBmEUCdlLZer52E3l/sZkCmaoBqWYHdt5o5+C6X17P+CZoWZU0AltwqciCeTcfT2fjh4+N1SmcnF2dn5/O7+fxucXt39+nTp01dH+zvHx0cHh3sN3VNZmo5IBxsNzuzd7/6/rs3d+vziyvXquiyhtiQiWqBL7wEFzUyBGDNSgyg4gfTqZDECGgmEGLwKtjf7YEdVAKBGig4oYHQHS88Sms57GZJOmZyioRrS+TsenPFvtQ5qb6Wo2VVHlULj4mDE/RIVasqdimhy5OY5ZSJARCMLOXkEDMR9WRWiFU0AMkior55odmfv3FgE0MEufdTg7quc5ZQo5mRgai0XeJAVRV9OpF9PgvgtthkFkJIKTkexcBFQ00tq4gKITVNA6VSVjBad2sT9HsYhZGTb6uqSjkBIKhVIUgQj4zUMQd2Fm+Z2DmRpi/ve8SkRPdhPtE3o+UfS5veB+bhBv2vSlCCntBVAID72W+ZMWAfrfsf4X4UUB6sD+m9H0kf5L8I+5d/GFihXpF9Ef4BBMBf/dt/198+h4uxQPcUOATmAUF2zYA+MoYS4jx6ErhUjokV/k8kM4wxeugtV2/ZVQEDCIGRqKlH6l0cUYjRA43HVaCyCMYF00cq+sMFr3cGKpNTsFl7Sw3csGqJIRiY85SgB+X7BHD/cmjjT/xDNBc+7IfG/adlIiXu+yipz0lYWq++ijCfKcJQtRdGUxm1OyjZw/cI2K9x97xpKC1FVi0fAViZ/vkWuw3UoNLH9VOKvtFx+lbvkWgua9M3iX2lUF60swpLW6iSRUKsrCdkIhOo0yKl5uijD7dQ8Asy5zVjbpr44ODgzUcP9renZEqmTJYlV4FDqCWrgaTUMaIBAmrgyByJSQqa5yRav94UsWzy+1tExKLq4voAQISEbGoKYiLaj0NdQceHn/5W+F4o9kclxEoV1EAAsggGRnQKFd3NV2cXl69evby6uW3blhjNcDYdb822Hj98cHi0N24aKGiNAZAaZIF1m16dnr08Obu6vV2sO+CIVIkVh3RQREKxTIySs4oQur4I+3qZATjH0UTBUExM3MYLeiqUQVls6pcF0AzNrRB76pq45LI75YZYiWSnCVnRPVNCDDH6JZFzVjNVKVfU8J8rEoq4IqmKoYsrYFFg9oImZ1foVFXt192dV6Pl9Dm6QpS6jOiyFuJGTFxXpiopg4FKBjNxhmvO4Je0Wu4xMUDQlIaAS0iSs5ZNX3Mqdpbsaq9YVth8fmYA0Hc8YgWxMnfv0aJGTGoqWb/1rW/+4je+8Yd/8Iff+Y9/rFlijI5afCGU+tDJ/2GzvPLIb71etPXUsP58lScPBRn+m4cBww9Duf6F2+B9VoDNNsQl+WKMIno7vwX3XXDYo7yF96lhyFIlSvUJoBeKKo8GFIpomqpmbzm9tvV2A8x5+urCI6HQOX2CihH9HpgDMYtJVkFRRWPmwKGOFccABi7R48qx5iw3NWAD1b7eJUDIORkbElYxuu+zv0hCUjXJLSFxCCXJeQRHDD3pR0Q5sGQx0iL5GzhQUJMyggVjDv0ZKzobpZHwowdoaL6YDj4pUTNEzwRaMGhF8BUHLh8O+SJ0n68RTV0Lvqye+caJfyY+GNu8IAgpBASEiO5rAf2iv5OGHDgm6jWowRfHiu9SwSW132Og4jZuPSZuwwqr+/+YFRMcNQMmJM7F6MrtATHEgACAYb1YxRgFQMWY0V8pVWPJeZ3ss5eXT5+/2ho3R/t7x/sHW9PJaDzJIG7QGIjG47FmVTUGXXbJiTJVEwJRxGBobm3OHFSU0QzQxThVABl7GNePkb8rwswhsB8NM81ZRMXXqgEgRMo5+eESFUxd1ky+MxLRh7IiJmpb42Y6fvjOm4/blF6+PHl1cnp9e3NzM7+5nb94+WIyGu3v7x0dHD548KiuK5DMCBygDnHy5oP33n68XHcvX52dXVy+OLnIKRHVyCwOPxrkLMgOqFpOgqjAQU2y62L6uBIxMPm+btKCBOYsiJBzdtKzD/lLrQqaiwC1EfG6a4crFns5Qo5sZqQK/bajr5t4gB6QYNUSNJ0g1NcQ6N2o65161YWIiBk8rIsAoEgm5tq9VHMmIi0yjilWIcaoWZyu6rtgTmTjyIzR3S2TW8sRoQsB5eSP6xCrqjAFkWy+D2TkcztVzJJH1YiJcpauawEoa+f8DzOVrO6WA2B11Xhlh+4tU8SxoTiR9XWYvzNFxWszBENfOw1jvT6yO2P8tcg+jAH6VuD+x82S/2e+bAjTf3P037jrzWxRMOTX/sRVqDboQn3M38SpoBTAQbM6kTVE11FhA0s5FRfgUAQ+OQQErGIkopykarjwFnypovDTsZ+/SwAKoUIVM6urqkwyaXhqmlKuPBQyx3tJBnT1xBBcwAtFsiuvIfZVP4Civ/tkBiKCoSi2IDri7k/D+xyfvGGRuPCaXMl6NebhVCBiv/N73wMCgoqWiVkhd5aPUEU4BG+thk+wb3NATbAvTJ2ZQYg5y727Tj+FNrPhitt4cDA1QVG/jK3IgXmaAytUUeonE9ZDxuXwABRieK9Tb/0lbgWbcg0pVJNyzTEhugcOVFUNBoRgQbPfwsxUmrpCpFgsPJOqobi1M6sZWiCM10u5ujv96LNXs63Rw6OD/Z2t3e2tKpCqJs0ErKpI1FSNqnEIKbdr1bUBAMamMUCT7K+T0BCQQ0CgcjidiNXXCNE9QQuy59EPYohq5tiRiiEVnkxNdUrrGDmr5NQxcghBRCKHyJxz57VfDPClNx++99bjdZeevTg5v7i8WyxWbfvp5y8/ffayaX6yvb391pPjg/2dcTNCAEYw7SYRvvT48OfefrRctmcXF589f/X87Cy3GpoJKlpkKRQuJGZABTSX/jARIoxVBeaCzWYAwdsys6AAoMQBEYhZxJCxiOAqG2YuvTVURTRCkNBVWzhw6hK4buBwlRogo+RESMzsV0TO4ExhP7yuzuuMNSojU/PtBITCQjVC5uAzCdV7ljN46wzBL2JC4kAGliVFjmScc9YskrJ4rcMcOQrkYZt3PBp5ukqpI+S2bcHXKhBNretaKmZKVHNTunmium7MDFPJjQDYrVuuue1aA10t17EKBYky48DIZMWdxEoX7ccPCgZQ7mYznPdzgj6c432cv4+qG5TQL851S+ttr013y7HvO/jNm5fmfoj1BYzC8vT6J1e6DgTUwZHqvl24vzMsKaC0EP0LxOBM5IKDIwCSmcXAIQR3xQuBeyVLAgI1a5omWUYEDETI2CtigsGoGQGggSoYMVEgIgYEVSOmGIrAHBNFYp/6AoJrrpmhC5w7duTDfQOLIYRQiWYcECJ0WANd288rcfBNcVDxfYU+CKopGji/nojAt0sK7KUOzyMXkTjsZwA2wFX3ET9bUfkkAEMu2xNSVB6xX8X04XBxoXO+nfU5W1RxUJA2dXKDd1rlUfrfMlGSHDi44aJzjXxrwXdVPNW4x6z2MyJPol9oz30WAmZZVNXzFpqpmnBZvyxRBZkAoEudCy4BQgzs0HPKqQgweT+BFCMhguOnoCgqWZ2hUiHTfCXXHz8lyDtb06P9/UfHR9vTaSRG1mQJTQHZRAOHbMk/zfVqWSZLgdmtNF3tHRUBuQjVsoma8yULEOTSST4pQlWl4K5bBuCsME0JMgmCRY5ViB22KmCqCCSSwTIhgYKblPiUpg707ttP3n7nzbvF+uz04vzq+u5uvlytLm+uzs5fBIajg8Ojg6OD/f3peBoIDVTSuo74+OHBo4dHi7Y7Pb988eLVy9PLrg0cKgRUNPFJqWZAc5a0mGXHU531ZUDkPAUFNELSYu/mCVtTzmDA0d2qFdRULMY4bkZZMjhZKGcv84tXsKmqBeZQRUQU1ysFkJyJmNDTEhBVjr8hohl3XSsKphZjdOzFBtjRj4cVQwIwiLEKIUhOXdcRZgRQg5STmcYYQ4imlnIOIXCsDAxUc5acs5iUwyu9PBECmoUQ7+7ufLSMCIzupOcCKh5OlQO76pHDQlVdi4hmUcmj8RgBOXKWPKrHIXDbtTllYuy6LudMgbyw87RX1mIQCxIwxPT7eexmAb4Zq18r/zf/9vXbvFb+W48B3v/9RqixYWBw/1AlU/Xoj0FfJt6H+QGsxoIB+f3e38mQczaSDf7d3/ztsNERiAgHjhwULMTaF6lBXXUkOMoRKDiwplbkVc0MmdA9OX0KFsidIwMHN+0hQ18iEFMkVpX/D19/1qPblmWHYbNZa+8v4vT3nu42WVm0WKxiIxrwH/CDYQG2IdgQ7AfDfpANWYRgkoZFWhRstpatf2UIJmlVFf1kgDbbYmbWvZm3OX0X8e29ZuOHMdeOuElBp3ALeeJExPd9e689mzHHGLNLIyJt2lvHMDmJpjER5Md8KJAjZiCbn5aoJlqQKYCFwJUhhKdVAzyHsXyDJnCPeWwJ55hQTZv7VC8UjQZLmmZmrEp9QjhsZpRpUxwAo2k8xUwgHtzkaiYawyAnZjkwLqN65g8cjgFc0jwvrIIbOKsUTko3SyIIlY9OovfOTOYOS4nIw1hNiHnpS2Z4BH6KmNz9WE5S9dsUmACsRh0OHEZFGouHCzbzzY7C3bUvGQn6wNirDBNVcRemyLT9rJoXp/b182dfPnvy9PMHZMZBkBw1JWFp3Ibv7ubuWJZJzL31JDF3VuVavqZECZXIZjtuFZwkyuYlYVikqKJtbJmJsxQBXnxSdU6RTixkw9A7Ui0CKv5osiRrEhFrEF1fnV+8fPP63ZvXb95s+7abbdtYWrt/7/7v/OyrJ48/v3fnThPhyNrYRWTD9kHffP/q+xevXr99+/HqzH0lXSyCVbYx3FN7Z82xuTKzZIzRuUVMuSeKdA/Cqt7JZoFPHyV5+Ngta1v1DYEFj8wtEjNR8Y9nPJl/4FGkqvu+a1OW2rcVERgQIdAg+jMDbSeQfZalw4ws0sNjKhvmUCEqNIPGw8pMDE8kmHgD5RM04qWDTQRxFgb4s+1bIl9RRkRvPTPMLDPHtgOyVpEqhogdY6Ep3vIwDvJ0PONJIcKUbOm221/+d//SX/iDP/+P/tE//Cd//Mfp3pcuxdq4wXCqxMZOypru3ubp3Abuk27Nh6uuvwn5/3ZGmLB81pDg5scz81ajcGBPt/+akdr0dDq52YcPH/NGB3CA/zfJ4VYSmGGMiZP4v/8//Pe6KB71+l5QYaQEWUmk0pjmemKipS3ELFpiMfBv+rI0US6BldBkEzEzq8C656iLWblyQ8VTSMHgFC1g5ePHD3oi6lx8MwYIaOHwdajJmAgygiqoJx/yKKsnwoJZt972B8W/QNKS86/gUYDQhp89YiUK5MnHzcxs7WZLzNzQhG51ToKnDeGRt4EI8Xwe6dbdxWnj+USB74TnVVWPQa67L617hLnVyszwKpq0DFAx8YvpHABJAQb4lGW3wnM5qqC2EqGaG9cAHFcskzQjKUHXq26MtfRlTHMREEUEhzErUNUway2FLXy/f+f05NHDr59++ejhnUXBHE4mp7DeRFgzM9x3G+7O0mGsJKyTChXKjYVJxSI4g26KI2ZOkUaZPkZEYHknETEikBCBxFvWFhCKA6pE9VC/ycOBJhFTkhAz7NIt8mr3V2/e//Di5Zs3b66vrpPCzJq0x48/e/r48ZfPn927e2fBYpPwJJC8+vuPV9+/fPHi7btvv/v+erdlvbBIkhKXZVB4UFMVjm0AYUb55eZByUxL6ygv3GG0luwpTd1n2R6F4+PJw6QKw7A8/AoitDWU2wd649jIyEREYwwoQLs2ho1ghpsjKBwHLzwIpUCQu0UY3pGqUGL87hWwhIGjjjEUbr4EY4956Cs51Vw6I1XFbOC8AbUcYwxU7szMAqViUqJxhzPS/HXpZjRpDYLtT1LkvWGD4NrIFOF/6S/+pT//+7////rH//iP/+iPwgxb51B83Cq+Z/QtmP7mqzcl+a24fuA5t2P+b8X///a/HtH/5tfffEcSlf4iI1tr62k1MwjBDhuDmxr/BkD6rfdayaWxaOFxgMGprGmgEK/8RgkuatMOk7ckJC1nLTGtCEc6k4iKZ3TtXGxi6tKScfZcVZioEWtrhEcf4VaY5l7fyHDz1hqormDpiHBjgc/J9IvGPBhrtfV2DMUHFJr9FHozrgk2Lk6guyQeY+ASAw8RlSLdIBUKsxMz4yVKCYwaIJFvgkjMbIwdRatMuT9SlM3WT1Wn5xcxijhmKqZCTQyQXSJShSYwqsDfYA9NmtWoEoJY6Tmbar0r4tYkiSI8kpu2yBSKzIRL43wRUhEPN7OjroDaIyJGuEimhxKUxpmUoeUkweXLyKqaGSVPS3Bv6lOnh5ammoOIRT3SiZn07Qd79+GHP/nVdxen9vXz58+fPn54/9HSehfbfKd0kIK0LU1ZWz9v58igDOYy7I0MkiRtJTtHzyu45wRhXWtK1MxGFi9ZKdhGuDuiITOLNCoTyKAIysTRigDjPjgjIwA2KpEwL8Kni/7g4tnXzz4/7+P167c/vnz56s27Yfbji1c/vHjxz//Vv7r/4MGXz589f/bk0YOHKiTmmfv9O/rwwVe/l1+dt9/78dXL3/zw4pvf/PDp41mXk7aL1By1fR6wPlaJ1lpjYcgDaniDdAX0T1OnAwwRcDsuUn8GoPbqAuHkiPoFxs7JFSaVlAT2G5NQgM3xwymz9wXLEkCNiwgzV+GATlslLSkZRgucBOsMMBZIxYdheICmJDNVaw9VBpkNVc2g1nt44ASbOQXhgY1I5Kf1tF7KpYjs2xAR8wGtpLmzMlHuti/rkkUsCTNvreOZHcMoqfdGNCjL7N2G125hOio0osNQ9Lf+3GD2RDMNMN8A+0dbwLNuvw341GAvb/0wTVz4NqpR0Wq+l1ld8lEaHjnnNjT1W+mDbnQNt7723/yH/wf/438/3OC3w1DEEzFBVZ29L6qCRACLf3Nr2oBvTO49/uOIXNriCeMgjkht4gGqKLelM3iMmU2VhHtfCb8GpJ0IzHPGGCzSe0MuhW0OuJ5YB4psXwNVEQi4dIJFhYIxuxlMAnhe3jmySbiy4HIjaRb6BIMRj8wEGk1EmUCB+KgCjt5cpu9j8YIymrZ5/+mYuBaHc/oDH416ZqL+wqIxqRlHpXvU7FNlWf17KbmOrnhuFpv/lJH4x8S4FaJ8kMFnLmEqS7sCrHKmH/xKVS1ls4VOsmBkclvMB6x4InzOF5GxHAkPCzuVOCibtohMUhLJtLDwNOZUVTInSmF3O1PYg3v3H3/26OfPn3z24O6ydBWidMwXEA7dncup23jifKQtmCTLGgzYH6IYcWo11OhcMyOFO072pDkyY201pCNR+mrcJWEKN/BTsEgLRyicWltGJLM4c7I60afr/dXrt7/5/scPHz9cXV8D3mgq9+7d+/L5s6+fP7l/934X9jTKMgkK4qvz/uHj1a+/+/Hb3/z45u0n7Yu0JVmHjWRu0pLJHcRYvEFnpmSRZFZ2pGeh83YmglygAkVEuAFbr7/ynDDNQqQ0RABOcfwyY7iZWXXY4bZbVdnhAD4jMimaNhU1s2EW6RTBIkj8Tdv5emMMg4gN7pJzKbdF+Uagp4R8D24QlibMSjKXExDDcB70NsDiAZ2boEWYYMnBcCo7prEPN8OzyczhPoYxEH8ONx9jtKWNbf+Lf+Ev/P6f+3N//Id/+Ed/9Ifpjl6Ta854O9wjnv4Ui7n5Sk7g/bfBnv/WSr/Gz/kTrP+3v+f42+0Xx98BAcEL6MPHD3Sz+ZwmiHWr8s+ffqT5L01Fln4iOUhCNa1obVHtRIm4V4GVUqEWpsI29mEism87M523benLsqzrxamJqnJbFql9XqTaMCG4+bTCEamCQZzioJtZa61CLYtwcaGdwDJOSyf3utwg+UDCmrVnGEk1MgvKn/MCAkn4wM8Sn6EsPwmwaX0VYAGX/1SGisDX+lZO5sz0dDgkyYTjMRCe30MF2vKtNFTPYd2CgCcw172txwPOi4q9SDNdEY5LUZwwu5tiLlTp5u4FdovO0xIiDaT0nGMS4MtwaKEkmTq++oH5ikFRCZpVmZKDCU0ZLa1HcR4oPZK49poJEwy5kmCoGeTkLuQRQcIpYs5KnRhbIpaU+LDxu1+/+MU3355Wff7k2ZfPHj9++ODUWDiJsQ8rMzmcel/c3PYRkdyCmHyyvCOjtw6k0T1IhcFEyNs+4VHTxPLAt3SHyCVZwmPib0SEfZninkStCiPh3lrY6MLMIRGeu5A8vNB7X33+868ef7ref3z5+ttf//rt2/dj29/4m5evXv3Lfy537lz+ztdfPX/+9NGDh5wpEUJxf233Tw+fPXr4l//gD16+ev2bH1788OOPb9+9W/pFSmci90D/1ZqmpjOzcESYjYWXrhru4bksPWtECNBQKElXJSgh4gamdPOIsGGR0VTLoQgech7ruvLcgGRuwtKXbmYgFzVYK+Zw8/AUMU6OcHfD+C7cWXgfA7o1jBZEZJiFB3T7QNtExaf1AAuFhwg3asKiKuxCNPA4MwkqNJl1T2aGBYydPcLcMwPmtxQIi6TaurZ936Ecz8iLixUlm1NmxilOni41T8mfRFniA+n5bSCdOG9/M9GtiPlvwTgVDX5C+pxjNj7ySeUMqm7g+OZZIB4/Nb/nVityk4fmeyPMsGf7wPOz/PRt4QfmC/2P/mf/wSTAMANnR+iZtae2Vu81koSEpfWemVriTPDLseR27rNu6jZUe2LNYhJWORLmblh8Lc0iVFHhsSjfEoKRhzdYkGBPb1ajP5nsoao66TE4/aVF+C2JHU3gf9qdHgwZuqmtmYjCHY5DOASoBc0qns7NB7hyYDHEoU1jYmx2jChD6ZgL33FiWRhDDfxamIxWgs4UjFKItUneUglipIxPWPy7quNq7CyieXA6C/MBgkAiAscuc4f5NsMlNFxEp6r+1u9nZuEsUQ9UC+rhtZaBmIh3PyvLtMrCA0Xujj0/wqV/jky3QUkJ4ISTidIH1nQmsxs1VndnoiRnZoJqwnbsiucYl4s+e/zwi6fPHt27czp15SAunRgz5MUkKtf7OcwpSZswsxAW4ChswDFKrokuLMyEj35dsYMaGsiYjyK2OIIHCXpVDW0wLCERJd8p4YnPSamtE5E57v3qRMlydd5+8+13v/nxx7dv37oli4T7ae2fPXz47MmTn/3s67uXlxlWdCai4UGkZvH6zfvv37z/k1/95urqA3MjbsFMKhi6ACxl5rEZJBqZARwvMeBNnoJzERFzA8oBtLRiKD5sBbsML4cfJuLpG8rMGQEhAgy3922fllxuZmNsS1uJOdMgcxZhIK02LCwm1blwEhAQasVIzbfI3d1CVWCFhCiGByoy9n0/IqmQWBgBQU3cU0eORHMmwnAuIriFUxJRRPoY6PCI2M20t0DfkeFmv/vzn//53/+DP/rD//qf/PEfO2YAU0fJP1UC5y1k/5js3gwFbkB7okOR+hPcPo88MQP0T3PGkQmO5FERmsFDmd8w8R/mzGja1tPJ3T58+EhZpeSNEIwP6XChTT9JA6hI/yf/wf8c7x7bu3Du0QMlC0GWXYGLy0xVFLWtziICIRgjR6irEa1Z4DZWROZMeDWiU+Vg6q2zyD72pq33nhkMCGEmQS0xcGU8raVIpCqtNbhSj7HXWAK7yY6seOsTH1YQeBjmE8JH74bWGFiQzw05AIjmm+HZHfG8gIw4Dh9pfA0tLVEV/T6N3nKOTG+Y+1MJUAMFeAWwHGV4a+rmuPcY/KIUGmPAZDsjW29MbGatVQsiovOAcoFIzFNpDAk0cS27r2CRt7JRBXwAwe5IJ57OJNgEgl8EHInhYExFTmJhd28NuJvs7izcks09a9iTQuzu5VwHTCWcWJpIZggxkTAHU1IOobhY9fnTx08/f/Dowf1Fsze8oXAPBVdJmUnMhpmpNFVd2mph7h4Us4kkwtYg5jJdxT5bEs6qw7AavZWE0DxDuTFzBUf48eEUlT8Pw6+w3OOZVdsYrk2g/2LRoPxwdf7m25c/vnj56fraM87XZxZalv7wwf2f/85Xz558drF2LAyjTCHJIGrL7vz+/ds//c13f/LNb959uF5OdyyFld2TWQJZmzKS3L2LzjSVJVsR8XQhmd6FqO2KFARYL6s/gMyDkhLBPZnSMa5TJoFDg4AfMRXm8OZb2poRpCU9AeOUmbdtg41iZhUxY5iHNegb5/mJmY8jg6IkBpD9V3tdSrfqqbd9x+zazVvvOIibbUSEfYXahJKGuZtj+F8jBHjbYYGxSpazFo19fPnFF7//Z3/v//3Hf/yHf/hfh/l6ggKGbz3jR82flRUyj7RwC5f/SRS/FaZvMKLbofem0q9nP+sMzSf3QJPwVvInP1XfCUj8dDq5+/v3pQSmmcBuDy2OXHK8iZmCqKErLNbg9CxDNd5W1H8UkTk3xky+EOMtu7mwqkpfFo9adsGlEmVmoaRWewKmjlcKmlGuTZOqAj5ZBPfWajGLQvVXpbSKUvH1YdIDP/2IEa312bYn1Q4WkD5rmJuZmHrhc5s54HiPnABRdRJTUpAimhlNe4S31rFIEoDprL5xThQHJEp5y23ug0SpJaU/YKJUbYkNsFH8y8wUFgtTUZbq+MDlb8zbvkH/hbXvtg/k12XpZg6QdIwh2NRWDhNYHQWqT5WL+76rwpLaiWZ6SHRjRLAgxalliXQmzSztNIo+hmwmJgmKazJf/YSbiHhkWvUTVugd27AgcnNW2PmmeZQ5BzMcvxtpYqydSsHJGPxTOgfRtce/+tVv/uSbb+5dnJ59/vCr58/u37279JN0StqFOVlU26k396Dkpffr6ytmbdoFISydgojSbAQqGICHYEaRWFqT3qQlB1YeqnQU9EkBN3lmTnZzD/KuS3BgyaDCs4Eo3T1NhcsBXzjdhPjexfL7v/fzP/vn/szbDx9/+OHFy1dvrs9X276/+fD+5f/npXJ+8ezJF8+ePfn88eXpgsD1t+vG8vnDy88e/f4f/MHvvX3/8ZtvfvOrX39/dd6XvhKxC3spAaWt3cZg4iAKD1biEMwASIgoLVxyzr+YhGW7PuPhQsQfZmjoUxI9q5slpZuDYdF792EVgDi1tc6Lu1+fPxFTbNV0MibpERenC/hRmzsTd11ElWgZNsDil6TWekQAxd7Hxk0yPJncI6L0MTn/YOctli81gTlgwFvldDrl1Mmet2uaiJmqbttuZkysChsh93Q0eCJyBGbBOqVppnJICw/knH4SvPNA24gggrlJALejdj1Pk0Q3k0P93E0EnullVmNTgJY1nowZyPP41nplni3F8Zun7cShaahp4wR94njdnBZFzP/ev/8/FVE3K2KkSE7/ZFIU/eBZMxMvp5UVoLdyUqEEWIZOpK2zSGsNqoooZmQNOpo2EaxuJ05W1WE7Kk5kICaKjN5778vRCbJIa6AwKEiZNP3d+CBl3srY4AUhFCbUl0Q0WflHSoaCUVjM7Za8gN29qfal45kfY9gYfVkm/6z0wACbPHzpC1huNyNivtFhFTIzd/sxC+RsWROtsnZA45lEOVc64FZ30GTlxtwRCBXTwc0HB6kmwyVuKGf52axgZwhMhEo4ViQlUJgwgT/AtGNaAb42UKOI7K23pjj+KCmRAJBy5vvHWU9mvCBWrRUxVITLDEPZLHANb+4KUZM2zIlqRtKbElFr4mMIEXOED+G4vLM8/vzx8yePv3z8QMIV2FWYKjVWClGVmONNZvE0wsIcDOI581jgXk+OFPhBUDYVJtSkRdV5NWnkMnwtZgE+FNXioCIyUi3pKowxKLm1qPJRPPL12/c/vnz99t37j5+u9n2c933bxrr0zx599vPf+dmTx5/fu1g4gzNFEmQL8zDnH1+9/eHFq+9+ePP6/YfQhXTFhGS3HbU2cdgIilRlDhISCzcOj2yHUCaJ4KhBok2DEk4/zKU3IyyITCcijIvDDXp0KJbdjRgaFMwA4jZeEe7JbGPgkXQzVqlMyeQDu3GAOzlAFgtf+tKaMgtARLSe+77NoFfcZUhbzMI9zHZmbtrMTZUzaNgurJRpbqg/9/28j/3idJGR6+kENyEpD7EY+/70yZO//Bf/3T/+oz+sDmBd6GD6/QTUoQMF+mkHMLdT3HKIu8HobxXsP/nC8Stvuv/f0hbQT7+zUMubzgLIeukALtztI3QAIng8j/EFntOfTgFmxw7HTNFGmcu6Ho758OCEAogmcg1IqRDtct+h8MB0l4lZwVxOmBGK6nySQ+FoxpGi6c6EzcDUWqekvrTMsqY5LjFz4fB4w8MMi4uBrjgSBk6Ye2ttQkCeicebVEVa42nFDBy7EAwcAm0i3KIdY2JKGhOiKVI887quLNx0TSyTC2+t4w5I+aAzoCSickwCW44ZUszaDYkALaJZI+toveNVmirc4FEX4/5m5B6DhcnyyCVStp0N7RgiLzFTlu8jyPiV4FkyI9xrW3KRgwj+LuX5FYntLkREtYQnKUseiTvlzMylDMLZNPLjRLPw5KfTT9cncJi13ienjhX3k9NhcsfJLOmIScSZ+9hb68REzgX2M513a8oBIklrHv5py4+/efGrX39/t/Ozx4++fPr80YN7FxcXWd6lleMhSaQMFoY4McvykLEotwA3ZqBbbka1UrQJZxcZ5sB8MHro2igjUpmbR4lgo7IbJ4WUxT+LyDFIDnfOjRk+rNKZP39w5/Fn93fL12/fv3r1/vW795+urq+url+9fffi1Rtlfvb44ZfPnz1/9vzunQvOZI6m1JS+fv7wq2efX/9evnz79oe373/xp9++f/9B2rKKEqunh6dGBPHwUBVzQ2UknB7mI7Shp+n7GMJi4aLKKhJOyuEZFGEY6mhU/53m1rVxVGXMJBE+fGBZdNHPMhHWqSrQdI/emvROTBbVcoFpPblsRJmissh6vZ0dS9u53OOHDaB7iHTmnhG9tdba1fVZW9OGvCIsHOGZDkPJiFiaNm0isqyLuUnSbgO9cusNQ2/iIragiOEbgOU2dn/DWJkYMHrnm6RwO0EcwRvp/jYCBIj0t/GgQ50BzJAAKvGRBuZ33UTuW/G/kNpCqKcLTUX+iU8d73AGCpqwFtCnbH3pYEiU83Akz0El9s3W62DeKLLHuNBLVXW3LNOoIBYhHWbEnBxomNGNUCZiNDBHEYbmpC+913oKYLJ8o1UBqjzlh2Cz3VQZRDQtc7MWA9TnxP7JKhqQq+eWzZwqNmjOGAuTonwzVNQnBwa4U94yFgWLhmCT3JSIE8RT1boCN277VJgVOyiYU3nAxDTGDp8WUabUOYWDi8txprC8lMBpKSFSBBPfQFtcxDgWMXP0RyLcmDPSvWxVDopnRJR9RYH7zCIJH6cIYR45gFqo1D4DTAhqKk/q0Jf5zTJ0kIYJaxrHfvP8MM1JzCTaZhKRm4UyNrJpuekRS4goDnik49NhuRVqmSacgpeuGkiF0ohIVfvV9fbLP335y1/9eP/e5RfPnz79/LPPHt5XSolgDkrP9MbKR48cQqC+s5BWMiRW88EkxMpJnsGUoi2IW2+4IxkhTExocKaGXNTDYh5LzCNyjg6FGyFdCLOQh9VkUqQlcaQwf/X44ZdPHp+3/c27Dy9evnn7/t2Hq+vtPH54+fq7H1705V9+9tmjL794/vzZ4wf37nF4hmf6xUI///LRV188+u/9pX/nxYsfv/vhxb/+1Xdv375jXRY9RePhSaLB7OyaqSIt2Z3WlZlCVEFUcA83EyG7GpkhKky5tkZrI6bgNDenFGZxzWqYGD5wiCHMKUI2PCJVq8IwM2Jeej8wVW2KJayoe2y4Q5lIDoO/EaOpiOjIXbU8wdwN8RHcBBXgWOwRtbiJcmQkRe8tUsaerXUzg/4/iZyCmLAGBxx2xD4XZyYWTnNg1OgKq6KZMuabmJ4/wYH+G+rzCsm35rQ50aKZQGZzMNWHt5qLnPSf26X6T7oHoEj/Vk8wfzrnax6wFU77kX9uwVQzm3EVq9yIpC2NikXDJCDzCWOVrhQMhEksi3RemAWxQVl678UxVxGmyCCm1nu1DHMogc0BEOx1aRglYNGKNm2tE9VtADldVXFcjq3QZoOlsWD4GXU1wUBihjVCbw2RFDUyESGQ4TOLyBwJgCCvROk2URqkVBAiMyKDWUWlPLYgio5JEUHr0zQJi1g9MpQFgtqmzRMzAy/rdq5imQTUE/bwHAXEVUsGkEgVtGUiGjYO5pmqelQJ3npDbBUR6TfdZSEwkGBiqlZr8Ir8CuweOZBShtu6FISVlIAIM6G7CWSXUq7N3vOm15l/opxK56AsCDMaFsog4AMZYW4aauxMDCiJWCIpbABoIuKlL2jylBtljDF8z0zqvfWumZScKowRpUWodMlGRO+uxpt//at/9q/+zWcP7n395fOvv3h+eeqtdxX4+6DslxxZxEImlgyr+9K15EKZ6UFJcXghSAnkayw/7dI1OClTRIVuPnkmNuIVG68gYiEbpirrslBmlEGHCaFLGCeRp5/df/r4s9387YeP33//8u27d1efrq/O5x9fvvrxxcvW5LOHD77+8qsvnj6+c3lSovChHOT708/uPP3s/p///d9/9+Hqm2+//+U33755+2npp2QOVhMiosZMyY7QxrpvTi2p6BXMlMvaW5MxfNU+wkjS3S19epnQcnnpFiyZkbvBkbTk8cmJCRxms8ROlEtfiMiGId7ZMIyy3C0iMIfQppp43tpm56AkrtX227a5ewkYsbKYSFXMLEu10BTTAFOMnXPEejoBTY2JIsT0D48IBUuMaNt3SOqWdXHsWJuF/wzst53UZhi+gXZuB1JE/Vnx3wToW1KyCdncBot+Ci0dUfwnawaORDPfSx6TgFsvkpHgd9wCh4709VsvUvkJfcENXb71pWO2W8LUGQdRemNbgqgyK3O21iJyhJXvtBQRCKBwV8XBAvQM+h1lqbTBY1z6AhIOEzdVkFOcHPce4r/MHD5UlYVpmsWf1hMxQOTaG8e3iFY5i/ekQ9VSIjJU3zNGloTaA4tbccInQl/6MpVp0MlTDIz/TYIF9KStcWIDRu1Umbg3g78vieXUeRtQgkgY+aJCRrAqN9GAnaU7WDkHcejmLk68JtxFiqAGFzlIOgO7LGZKyTkAJ3cqnii3JlEbxzKnQSBKflwWNA1m5SpB1UAlLmbxlzIiAskbt5VViUiIk7I85dOBRQ4bxe+tsQFeO91dMlVbcaEoM2Ife1VfzE219Y7iJyI8jJkzGKx2kUac5hHmRLH2JVgp49WH8fpf/Jt/+i/+9aOHd7/+4otnjz+7d3lidpFUwZkBvEZz02kSkYhGQqd81FtOWWaBxHOlT5Fn0iHunuYo1cglZUZdrEjCwgZiJe59yXSYH6AjbE1FZezWVYmCwyiNhZ8+uHj84OfD88P76+9evPjh++8/XV2Nffz48vV337+8c7Hev3fv6y+ePH788LOHD7hIU3GpfvHo4smD/85/98//2RevXn/zm+9/890Pr9+907aSniIImr0IksZESZrkljFgd8EkRkRNggBCEhQoIpIe7iMimaWxONvF6SJochyZMjM0zByIXW+9abu6vl6WXigCc2Zu5w0drUf01j1933Y8xe77uizU5m5hltbaFPFk7z08RDBr4YyIMZLSw2jCAMzUYBefgY3BydxUeaI2h50wM6/rIjU7JApl4ojyl70JuwUU8k9C9QHw3I7heXTu84E9/v/tn5xfOEL5LWzmJszPLx3/UKf1p79+/hsRSjv80wHVHB0K009okLN++WkmIEItSVnIHKsqCau2YQNlMnMScxRBoUAnYelrx6RehEVkXdbdDBQdYgYgyMytL3MuWs5rGN9DeSBlACdH1y+K8T/WvgpIQczSVMcYsBc54jVNUm2pzGapiiUYmYmfvd0xQQvfdEL/WdE5IgJqz1ZD6Yzy5oQUGXeA4fufRFwsOggjkA8QHzExllqnE6raWkPEBNpTx7HWfhAGvJQ5hjFba021taaTVVU3f22nYmRlfbo0T2YRmY4UU50QWXj7zGFZ20xoZs2kmDUvjLqOy1UDImK4KSQRkYiYOaUfzQrN4+XuOQmjonJgWZlBQkvpP8AZ5Yw0N3fPRHNgdeSIQjIiaqN91mkB8tv6ivEsXNu0bAnE4I9PuVuQMLMGc5Nubi/fbS/e/qvG+fjRw6+/ePb5o0eXF7oIFXOUs/aWJzGLx+bknMIs2mq5KEuGlmIvU5hkLmFJmFcyVEx5lIHk4VI6cDoy5Tb2Jq117V3dndgjcxsmLso8xk5E2tTdltYTVy396aPLRw9+9hf+3O++e//x1998//2LFx8/XV9d79fn169ev1mX9uDe3aePP//yy68e3L9LGZLOnL3Fl0/vf/Hk0fhLf/6HH1999+Orf/3Lbz7uZ5VVtcEEtIzztLXWxUNVseF0H7sShTkrNbBd3WI4VeKjiCTheVYJHogi0pr21vex996T0s0fPHhw0yES7fvWeodxBQscUEhV3azWaYgQ5dpW8JspaVlXYTY3G1Z+L8JNa+QgUj4WS1/KKHU+qlzPVTtCBDO33rIEp44wC4DAmFvviAyqMmfSdNPOEuWB69yKzLfgltul91Hgz++89f23fkce1V2FlJsAHzcDS8qjAix4iG8BN4c39fHDN+llSlmmsJ+O9gGfJY8nNIWF/xf/m/8dFwovSdl6Rx0UmYt2Zg6Kw25TRGDtnZwijYmWZUFKU1G0+4BfwN/H44NggbshIuYeEeu64uEPj2qzI1lqjyOUYjn9teuiMHOF48p3RwgjCNZmI59TGV+KDErVVoeD0UMkQjxKcoSV0kAV3QcAt2ddqTpQRxjFFZyz2SKF4qYc5cZxHPCb12Vx9wE39hlbcc8whDAsrqPsvau2bTsfiziIcNcrjs+tOJjROREj0+ByYTCA9wA52K1TwgQaj4iZgfGLcwvaddNmNlAm4FO5OxDCg1qKw3qcwDIUIsI5wS04WA04zHVfEqYOFLNuANFwcjyyiEZJYPEnSkgk+5gPxmz3gDQBCQuOqDSQQoSukdPTTdguTxefP7r38y+f3b93cXm6ECbKaEqZzsSttq4Ri1pNtlpmzsKBRVpGOoCyTBhaMCEDlEIezyT4hWW6iWW2FCJ9+IDEvWvzMIjWsP2YqPhRyIsAG92dWUjgX6BB8ur1h19+892Pr15bpDmo7tKa3rlz8bMvPv/i+aP79+9J1hPOxJmirW8jXr569Sff/PpPvvn2w4dtubhvIbyoOfQrlO5EKV3dRiMw8Twjw4yZOaUtzZLHGCJUhn9EZpZcrrEFbxKZG8pwlAWobnB/bX5StL1mg5Jaa733iNz2cyaNsTPV3o597GMfy7ogLCBhQFxZ84Pw3jqkZzYM9u8lsY8seKoSUHnuMhcqpap4BPbzeWn99/7sn/3//tN/+o//0T/0MZZlyanh4p/W6bfnvQifN5jMbaD/BiU6Yv3RPMxvOSYKeRPG6x9ufx/xnA/c0DdpohmICaJycXERER8/fAQnewaoClJH5csTOZ99AQOL4//lX/nfS81yiVWwqB2RF0n7dLoQhpYySIiZu/bddlSaF6cTl3WVDHMV0VbptxwxUaFPkk/MoDmniCk3nsYBSOn2mzzqffwIHW4/t+VaVZ0nJfV1OaRbwupuKGxVFREf80me88kbWidVQ8DCMEJAuY3/f6wbzFv+uh7RWyuoAGx6oCIohJOSsmnDMQKlqiAX0Sj/zi4iWLjae8cZMHM0FjL5tbcBLmH4u6GRuKn6j3av+q2mGYRtfKJt6n3y9gXERDopbYwp9eOcjsE5ybJeWmLNLE4o+jlhBjULS7iIOIrAh8UyjHx/iI1RuVD1oKUQpaMVgr32NCUtIDGJhYcZT3ZqYPpdKYEYgyZVZ2cWIM4RocQ1bOFi/wi75Pni1J49fvbF08ePP/tsXZpwMMe+fVy7au+NFfwTc2/S4PZEpOEBAQsRkaSqeAyKLAVkgAfJxcJI4qMh5yTi5JYUYR4w7wtzN2FubfqbhouoTmfNZVEohM0ik1gbs3g2F7XUF6/efvPr71+9fpuwSJJMv+Icnz16+MXT58+ePL13964CLYmB0xase+SPL1/9m3/zzS/+9Luzc18vOdUpfa4QKDYzczKFhZNTpJAQcVCUawhRkwYqM/rgW4V+qqobCDbcVBFCIyI9WJEGsPpRyoouE1hiazoMcuIsf3+PMhxjwhlDHMd0AU80Vj271X55ItrH4Blw8CAoa41aKMe+12ERyaR9P4fZ5eny57/zO//y//fP/uE/+n/aGL1s9YpJdlPd3wTgn6aEKnGqq74BpG8H8VtfqcRxK/bzgRDlDeh000TcBPz5lyPHcNV8d+7ciYgPHz7g9t2MsGt6UDX0xG/nO67fwfy/+k/+2qLq4cD36/WY+rIAP/AwYnY31XZa1shseiQJEpHWmpmz8NKXjEC4oSJHZmt9jD2nWzJ2jU0ktImKCFMijkcWS0fmw0/YQ6PamWmMkXPC03pzd8bmozHWZVVt7ibT2/m4zNOZef6pgqUiCq79vg/U68KC0APwH+d1mPG8F0iQRY1lFmbEaxjeHejhzE+krTPRMFMRuOwCc4OFqiE/3bi8lY1bb/2odFSVic0NoyLUzjFXpIkKmD/EhBrzKNtzlvBg2uBpBTd0tpkoJeQ4zVwLdemQWcixRZJvOpuoyTASWhbUe8CmzLhts/ISbYJHlIlJqLd+pHwsGiGo5PAej2Q8O5eM1K6wJvcIhNjwgPYdC/2I60BHwr2cbfgYu6o0gbKPws6SwSxrp4tlefLk0dMnnz+8f+/BvR4xyFM4mEiJVFW4jX3L5ExWbawNn9piF+wSSKI5cMJwfZjV3KWwxyp4SXqWDhwiBEenbuaqDDs7dGaoADwGUD6cloB7Na48NyIOlmH544tXP7548/rNW4sxhg+3sY8mfPfO5c+//vLpk88fPriP5fOqibZ+DPfQX/76hz/99vsfX7z+8Oms6wXLauEksm0jMltv5pasGSHMYaMrQw+sqvs+RMQj17VHQNuY+9i1qYpQ8rafcQJAIZW6+EpEEIIhsnk4jsTB/06sPBomyuGZlK01N9emOT0TQaqGvwVmhG7ee4vM9Bg2aBbvwJOhckWKOi2Lu8M7CFKADOeg3/35z3/1i1/+V//V/2PsA66rEwHgiZncHsXdxuGPiMK308LRHUQmH/H8Vui/FdDpJqZPqCePCulIH8fzeftFmVE1AoO5ur46mOs3T/fx4sxH03DrhSkj+X/9n/y109JjjptBRWcmEiYWStaZYEVk6X32KXyU6keEFeExQKaWzOh9Ae4DkG6WsKVswo5cbQ0gDM5B04ZUdGAFqNCblgPo8UswrQLbNzJAAI0Sl99MY1C91l0i4tpZmkeL4eFaNkG8LD1mA4jjdRAJcladyGGYCuJdgQXUtKHk8/A5jcBjDm8lQbUCjdLEsuvcZ9QmMiT9itdVWeXRzB5VBvNNX0yzdsB2Vtxf9xsg68C4bifF2TnVn+Nfi8kaDs7UceBxjmU2+NPgqPIzjh2akmMb86Gcwv8hlyAvHm6UIjge02kNAteoKwaCAN3YNx1mh4n2WlRtDBgXiOrxvEnrmQSXbQ8PC8ipiL2VEjgksrUUodPafvbF46eff/bw/v1Tk9aIAxNs1WSWQv/NLdNVG0BqgksIZUR6wBdIhFuU5QAOKuZPqBM43D1cRPB05HQfqsdJ9IbrmiZMkBoUbalEJBKexESixBzOpLLt8eLtpx9fvnv99t2+bfu2Z1qEKfOjRw8+f/jwy+fPHjy42wUQryenJzMvn673X/7pN9+/fv+LP/31GH66vDOcIuGuEyK6e3DTiLDzubcmSmauqm43mC3uNVGqtN12FEYoxTLJxui9owX08CjTnkL1oaUmwtJpHjZs2LIuCHaoYHANxxhcGC8R5dX5DCt4ml4mUAoTE5bVwDgAt4GZt30TESWYJ5qZocgMcxX5Mz//+W++/fU/+eM/Hvu+LnAD45tIM/tmOkYDx1ORNPP27bhawf2nhf4MuDcA0G+hPf9WIvm3cs0RiG5nhOkpQFgsjgYo5w6rm5+leoqr657vSFX4P/zr/6liT0imiLTWk4KYRBTeiAzRPgszA9wA+oZWgEoPBa98uMWWMzM2OzKAAmJVqNQUP5szIhARTedLZtn3fVmWo45mpsjsrSMrTgA64TGC4XDNkMuZGRgCqQpmA4dWi+BijzkA8XBLhBtlOCAuy3rMMHk6vEwmT6VQPNqRNxIRuFhjP/BUzxIuRQWvpiqNpzYoYXKSBIVkay0isJUlolxwQXWFn+IMzqWEmJYp8zhmxhTggG0NjaWq9hKsVYZA61NySqqgXG0Bzk1mb41FbAyaPqbFl6DiYs9MDoIjOLjiYUfSPRIwbjHVG66SGR8lM/exS+0Iqq2zUnZ1x9AeHxDQFLZPZ9amJxzg4NYzUsiR7dzhs+EsDT6pmalNMhiLks77lk4sQpHKKRj7SkoMZb97587Pvnj21RfP7lyuiypxsJloEnOTJsLhI8JV2zAsdShUKqeTkraOBq6aF9AhiMINEB6e3ymrJPdkKZuNmNP+COvaiTzI3J2DploatlTJQmULRzjSRHoKXq6uzy9fv/3+x1fvPrzbh5vHGDtltCaXdy6/ePrk2fPHnz98KJzpBoEOq1Lv1+fxzTfffvOb73/x7Xfna7u4uOsh5hgONFLJwMYVrGjv8EqirNVx4YBf9sxws74swgKXt2XpeMa3fQuPWYgQETcVs9pWPc8kjsaELJhFNCh92LAh01kEaCRmTm6uXQPMCvfeFwxAYT6KrTnMpNrMTYjKyc69sBHK86frpu2H77/7l//8X9jYiRLDlVu5+QbnoQll3sTWibgcEfY2WnMb4v+tXIDk8tPvpmodfoo3TXypvnLzWv+W+/QMgD9NOTT/uzVgqE+E0vA/+k//szCjckkkIpIidgor+CRlOgZuOCX1jue2HJ20lnlh8V45+SG0iUhkrsuac0IjszSlWruh4JIenyQzFX5BqpDzM1NrDZ9Apo4cn0+xcLiWxDJaP/ySBsVWFWNl4+UeEyPjfexu1lT7sqgqZrPIXgiyBbO05qA8HhTbwn4YgXKCHkREGdD6ZjFcM8uWPSmxsG0W/mMYlG4ysSSam7jx627G1wJJUQH9wrwbHHcFfTHBN1ho34fOGWxOPu6t7oFualJCgvdDWc3T94bnr42ZPiGWcbDlJlRFWZAdEA80R/g4SF1HG47ThiSN6h64D9VmAqJpvcm16ZCJEk8p0jak11hcU/KTlEgnbIxIV21gFxEyX1/KOUPYHVc4nSiI3JOCMqN3CYuMWBbliHTPcGE/LXL3zsXPv/zZsyeP79+9EHHW4AyKUAYjGbLEwpqR75kYJ7JS+DyJGLOROyu8yEu/UrN80IZzyusS3xDKK3OaG3HqlMMToXGk6fSRU6IhSeIpLOokzrpHvHj19tWrtz/8+DLCtm0nofRQyfv37j1/+uSL54/v371sREFwaWZPItarbfzw4uUvfvntL3/1G3Puy0WShMh520Wkt4WFzptlJCmHResS5hZBRGajtdZbE5UP7z7ATEVVxjCMjZZ+MPQDoCUwUqC+4JLBFlRUUM+OMS4uLo5wP2rFm6zLam4ZaWba1DEqIeqtYQIx9jGZx2j6MaRJShqGDQciwmPbt+vzx08fv/3Vn7548eJ8dWVjhNkRyfHcFAODsiaIRwydJWreOGEcmaEwnBuceQbh324Ibqe9ctw5VAMVqn+rd7+J/vPN5UF+uXFS4KNBoTmsZj7eITPhOUj+D/8Pf7MpjhnX0lGRro2YpPcI5+k2fMzoJ0pevxox1wzJGTIbOp+307rCGQ3XSmpdJasoImjNNiknzD1kLgXnsi4oAxBkpH3sWpTHsqw53hvsowEKIQMhVh5njssMOTIDsR6RiFmWpas2lBGF88C8bY5MD1zo+AozE3PtySu2YuEYgCwP/QG2U0Eh2Rq+KBEeHq03DM1Q8h8aK7ywu8GQTsF5N5N5BXFT4D9qZojULLKdz31Zeu+4HXMAIPu+q9R+R508XZxvOHsXe7rcRvko3vMW/R8w3ZxVVLbzOQwAcpgZwjczmJi18PwfmbDMxhvmgsuQIJEDwNAEmkmUxJLYORXJJbrOWm7F7EIJJq5IE40I3CXM2IEU2fCgVGbWBp/bJkLMcI6DMT1nanJSLl05PWwIpYg/e/b5l8+fPnv8+Z1TFwllZ04lSp+PZrIImTtFetLS11qLNh/64vnSBLMo53PDzOkGn9GiOQTwrwhAr5SdiJLhiEGs8PDIrD3VRyVDTTBxJaNI5iBhVg86b/b6zbvvX7x+8eMLczdzEh67r10uLk5ff/n8iy+fPrx/j6GZIojbuyVvW/7iV9/+6ttfv3795mrbqZ9YFx+w/GOiJBV316Y+LA9CHWPXZpW2bjFsR0BHRMbxQNGmojgPXpAsalLetx2dNL5SAWTGtcw0G1jTdOCrMwdTwE2kYAkRlbHv0Jzv+1jK7J2dPIOEKYa3Jvt5f//23YuXL968fn2+vsInMKv6LDwQkBG2cnqU0WwODjSoBv+za5ihCTUS4+0dA4a8FfvrOEwPKZ7PT30PH3Q6AtEAj4aKHh7aB/SCWdQRqQ4g+reyyHyTlJn8v/0//mentbsHUqaZ6dR/BWdrvUb22AuPoh4wPWHWnzz5G1Sb3MuwDOV/uAsYHUQHvoHXiluMK2huRQRrAIpeKVIicik8Z+avSR4P4M4C8gnNaFUMAfdqSqBYKXBZk4JJdHJ1YJ8JED8mbnjEPp4jAeQPmqmVZtYliLOAvycUN3HzWZiIKYIy/CgEIDACbgb8J6cpnqoyLJKxwtcPg6CsZytJmtZAOND60NGoYf87/H+OjMt8g+Qczn1EbD4QW440hu4MIClPfhHVaDoZS9Yg65sLamSmapr4D/5gxD2h8pnWKKlU/qTahu0ikEwobhkKJzw5TDfe9Ojeem8Q8bGoirJOke/0JmPh5Jw2JJbTrxQrjhG8lDI8klWa4kkc56HSWpex7yrMmSosnOftisguT/3JZw9+58svP390f1l0UVbOBhgnKSMYW45Yh204gVyC/wxPDKiiXF7KzxznQBjiOQLcUnVZhoc36fBtjRk4EFNIAPpQCb6Z3H1VNR+oMCNDe2dmN2JVdyZtFvTi1evvvv3xxZs31+etTE/ST2t/cP/u40ef/ezrr+7eu8NhSdDA826pfX3//tOf/OKX3/z46jc/vBbO3i880jy5CbpuVSWh8AhPgtQjk4mGjdaaMCMBHOzniKk2DEejlBljWO+ws01t4h5jjHrGM80dCDB22FUcyJKh4cmtwxmVKlSb2WAWs7HvA24FYQbvlkgHaM6gnDClx9X19fX11ft3766vr7fzRplmhi3zVFsHLMynZW/pFxHaf3sGcKvA/y1Y/0BiY5Kzb+KYO08uH7oHuvWDFdFvYEWMSPn2E1ep9Bb8fwvQ5gNERSEoRx3yH/+f/nPKIoZPO7aJdB2+xzIrfmIiwmAHKQGQGUa4MXXeM+JUaCiHr+Ot1CcRYjoq+tYaaKBM5JlNZQzTpmj3EOxaa8D6j6nDvN+K/gPBS0SmXQkxM/YZZG3wUJFaJsfTUNvdIvAGkoGHMJCHdlxZqbLRa+QQ4eGTXUN5GLrNu4amvpU1BQMWwHvDjxt+lRbvEPcyS403nZZvVdMikiWyTWgIDpRGVatXUGWwSD0U6wEik0KlRQJ1Ia5Tg3qqsgvqlluNDuGvmL5WvTqp/QyC05Eap0Bknjb4eQgLB8js9VQkqnikFqQl8wk06a1B96TVgg0GF1iZBSBmP0d6hiMbg7JMJdQAlZBnQUYgXDJHMEV2pbJsa4rRBMxuMyFd3uEaD/tMVVYi93PYdnnRv3j67Kvnz55/fl/IVOEtH8xQA2iSIW3XYx8JCSGeCTybSKju4T5QWtUgAehvkohSJDF7DLgZY+EJC6m2mHDBVKeTipC7SCkE9cZ/P7VpBKlqEkcQsZrH63efvv3Ny5ev38BgEdbQreudy8uf/+z5kyeP7t255IRhRZCnB+ndey9efvjlr375q29//ebDpwjtp8vzZsThkX1ZM9N3o8zWW1IGxgeTgslEY5i58aGKjwSR4fr6uvWGKVoVhRh6CePZYeaDvH+QAPd9763hgZpoBCeeqdbQl+/7TsxNdNioR5gwa8kIb72rSriHByfZAElvjH0P930f1+ez2di33c1BJRdmH2ZmkVXXhyNqQ0kD58ub8pE40yfqcsP0q/h+xK6bjJFxY0px4yyMYJK3EKlKKiICQxq6VbAC47jJIhPWrzHeFKvdwjOY/+O/+Z8D3K/IDBsXJrg6I2LSnD0eN0boRsqLd4oQAFYiC2/bDr6tu68QdNR0XgJOACzaVLDwC5fIE74U+xioCCbHoCj/S+8ACjHGxe9EhDJDngffv+iYBSzgzVdOEhHprXmGcPFPjm7siM7uvu0bZhugmjFzfTSel3yaccR0366jUM5/M9vhpmVtqsFkdR+7eyy9F1tkBgA0Jbdn43UqmNockwDdVpagjGJPSe22FqHMYSOTmtYWHaRDmbMBmbtb8fbwYVm4QSh3GE0fBnwzi+fcDwVfP5koGU+WcUSMsRPzbXmdu+FEY3aHkyiTpcoV332MQUm99wmSlnWoRxbC0/oBwsKzSBR2wdV1zTcjIryNfekLZugEER/WSxCrSFfI1IuELgXZtchQLQc3EQlop+sZDiVm8vRQ9ruX/PzJ459/+fWD+5e9SVb8YiYTJlhUEuKnGZGotoEVETx5Soy6B5MxB4MIG8pZKN3h6c34DgrKwMNnbjAzEmHKtHAYcDSROEbr2gQrGrFNiDKcPIK1CbMRB3cjefn67XffvXj7/sN2Pu9jF+HwjcM/+/zB08+fPHv65OJ02VkicsTwlBTxpB9evvk3v/zVr797MYxSmLgDOAxzd4+glIiMJlrhJ6Eh4A5fWK6yBPO2StVZ7IlSuavu+46ArioRqSKtdwRJmuH+AGwpaYxxQK9JtO8bE8PqCukT98jdtzGwhn7YWPoSbm6xLosIu7mFIUTa8DHGvm/b1eaO5cdu+z6L8QwAcTkfycyMnDb4+HqhozHFmwhYzHwLzJgmEDd0ifm4zajEN1A5EBRGhZSTWDyjB0r+nOXc/P31X9I0LacbXIAjgv+jv/G38PBgvyNoIYjLLIJRaoUjIp0SJFU4uMWyrOiVMPx0gzlzqa7LqLmMc4OJ+7QJ7K2oGgWzRB4ZBRfOwxWerr0jxEN4VXAzFO1VJgoTDbcwB44MWmTrHaEH00jcCmgFAEPNQQvYQEFEKopJQ2ZC0dZaG8MyfNt3oDrEBAZu3JJxyyHFYh7bVtLEyDLBmTI8L0KFEJGKwMznvG3g1+It3VwEyrJXnC9BBSfDUqbUEpkxywTGOja6ZRdaPlxmqgo6FvrA1puZHXrpOKic7jBjKYZ7tX44ygk89DjBRcaYNFM+BsKY1ZbtUuBuJlF6RMbSFyp0i5kFiDZNvQJuCMPjKBLW1LjyeQNfJmaMSGZcizzxDEyWNzz7CAuFqu5GwR4Bb1dAQ+xuJDJTZmRSmEGEIqwe6W5deWlYYqo5zpQjIz777N5XX3zxxdMnj+7fkQylXdiFhI7dk+FEvI+xrieowChpxEChRawRoUzaJIpV1ZixBMRzHnI0Yxa+tE5JbmCLwpaUPFybatMSykXQzQSHCnhFPkoiYriLBClmLMP9zat3r968+/Dx09v3H8bu27Dr89aaXl5e/s7XXz198vndE7YCsTZyCye6Ou8/vHzzi2++++7H19pOSbKZZ3IELWu/2kfYaL1t5+uurYm6GxrWdT21pplk5qxkZhNwZxEVlWEjrKZ6gbJvWfex7fs4ndZ9H01127YZznLpCyZJfenupUiHmmee0oIzlMXdg5MF/nQ6hqPUOl+fscCDmzKxm5kZmIE+DJ3Btm27DTMr6lyk+4iRTBxhSApElEFRMwbex0ZZrTNi+hGvD8g3mTAaERZzA3985oyCN5FLDtJfzuqtSsuDaFFRmg8SUbEFjsSbxV6j6W+RSfxX/tb/mcEYAdEKy5pFEPhURHvDeyqBAEz5i8sRrfWb5y2CKCddtxSnQJZ7XyI8Mnpb8HbRvmVm701Et32jJOwFG2MQ0el0QjdQJg0R62kd+6AJnPGcAMOeEKgIZg/4p0JgmGiuSI2a4jLlDS8FwQiFSW+tpjbE274dYRpG5JjAIG5KGQRVwSiwNMhkIlFxQ59YABoqBbPiIKPcICLkSGRocKKXpVeNRGWqccx06uozmXnvHT45wP1zgoMHitKagmhRfFniOZBPNDS992FDp3a8rPeSENBxOGpQT2B8V//OAnOG6vlQ5s+LwOCJ4gfhbYBemEodMqEbYKzhrbWmDY8H3g9ukGCRL5UGjad8GsgSMgDWDTHzobI+hnIYREVkdU44/qCWE1H1oCqNIxLqE567JdxDVcY++tqFRbTFhPPB5UWOVRUhDx+U9vmje188ffJnvnx2uSplKpF0ViY3ExJVwSUyt6BQ0a4LsZh5MhE2PN/0jnncyjkJA+hJBSeUci6ixDvJ0mCbcUQBBAateVhGhmqlvSTixoUeEEfScODp+sPLt2/fXb959/7T1fXHj5+Ic5gL0ZNH9x5/9ujJk8d371wIJQtHhkeeh+0jv3/55l//4pcv3n5gXdNyXS+utrN2ZRIzt2EZLJ2FpTG7275vqrIPW9YeFn3RMdx9woNCrfWCMoj2sdPcvw0o/yBrHDiGjRGRHn5xceHmYwy0DjI9S0BXxmHy9Jx7NVAR+9gPoNIw9UWhw7UczYaJZEYO9+vtfD6ffbdCRC3Dw8bGzJTVIgelwvE3HU4hOUH/eV+auYuWsBH5hBK9QzHleLI8vYTQKOFLcz5hnBsE4UCBImo9bc7nhya8XCdj/irkC/5rf/fv2zZERRu8lkA9LAsaXJqYShx8BhTmPOm66PUO0mEtWJj0e8X62XmmMWxsqtpazqYb7EKibK3PJzQwsh/7DjjbzXvvqFUbbH9U8HUsMqvyE/RIYuZCVI4t50A5khIYIjGnRxJBji8i4J8BJSzyZdE0aR4aIhCfmbOagMikmknMOhqRFFX/sNG0oVqBAGaHyXPexHdtLTwivSqX8JsEMNdeF/8saVILUV8WtnMclwNbFJExBq7qGSm0XAAAVPxJREFUQQPAKfQIADIo7WN209XBUIZXc4vhDuJm7x2aZy2pYF1oKjwHXEA7Xp2Zxyi2VZ17Vbx/G6O1jo9J00+79y4qwOXwmagWlHLmkfIrU9pwDwPX9qZJAQw3yV1IyQehgIgT7CkWETYP5mIVqyiis5sB3kMIbl0z2dwiQ5nHcFDUxtgzsrW2NED70YSErXM8/fzB189/9vTzR6eTug8mE450702ZVVkiIyiGmXCH9n4SlQsMQHGp2nS2rUgAAIRrWngAl1gKxLAbyVssEWLO3hc0QHMkUa/VVGYR5jSdVDyYWFn69Xl7/ebDm3cfPl59ev32w7YNt91ssLbLO5fPnz95/PjR/Tt3OIOinP23YW8+bq/ffvg3v/zV1dV5DxHt22YRJEypLOu6b/vC6mNn5mVpwx3xj4UD5BEVM3dzbObAwA9OhTiuqBHdHVX/GFUu4MyLiIdv2zbnhQqgyWxEBMwCtn1blsXdM0JY3EMF++gz0ltr2jsRbeezlwldYTK4M7tHRGzb2Q1LmjKGZaCwiAo1lB5OQSI8bFS3frMTEBBYEHEWYn9jzXZDi8RN5FIOSe3rpRveBxFPT7NiHwGN9kiipjp5dLOJwFwqM49Ny0kYJPBf+zt/v2z0j7TDhARgUxORN6YCMsYQKSrnwdCgSW+/yTFJ2pvMci8z4XQPn1GixLaT3tskQRWszCJmhtyA1KlNgeGqqGNbCHwaZh7rrXmUzGRamyWyRWUvWCZkDQOkCCpFosdYAo89ClgwlEWKk75tO9QLmeV+jvoUrRLAS8rEewATP9y1NXTloiKCMbXUDH5eatzXY46CAIwDylI7s4hojpfrT28dU3wwAfAv7g7y9WxojkKeI2xZFiSS47WQxnR2acdgFsNt3OIp+AwMyYHSMrGH4UzFXHcDdfcB4h0NL9dkpIYNGYU00i3FDb6f+GA43HSy4Iswo4ienDG+uRioDXHTp0yCgQNAm5KRqHaZudZaE4sIpnnMMh32Ev5lwFKghnUfy7JEYFM0t97hWpLoKpNYmHxQZqavvcUYQu427t1Zv/7i2c+++vKzh/e7JrFJTQ6xQgwkRT1fXxHDprqpSoRXgsTe0KBpRxbMFEm9N0q8MGntPaVIEq5KIm6GUpmcTFBslCkFFysMe7xvUICcaLNouz4PSnJi0rYPe/fh6vWb9+8/fHr/4eOHT9fJ4WFMdP/enc8fPXr6+OGy9PQx3Nqymud53z98On/3w5tvv/t+2yOCM7Mtqwe13s/XVxfraQwvOJgy9pFEy7J6Biu5xbxrUVLyiCQw9Lg13bedRNwsAuuS4urqCp0x2M9QqsJf0s1g8cJAVzDoEgoLG8ZJn66uil/knpweHkmt6dhHuaxTAjhCAT0iWGSMLTwq3G6OaxvpGFq4u5m7GVF1rhTk4XXKMCUpFkZ4RFBQkdpLanoDmFdgrw72cCWYdy1AMUBal9pHkEfjeDPqwz/VtjueLcUsIf763/0HeLpQasmk903mWZVR2NmCYaBM6hJRYo9w5QawWeafCjQZtehD1SPcLTxa72iyeusRoQ1aD26qxNRbR50LNhgLq6r7IbG58UTF74dWAEGnaQMhRFTMDHvYeZqMHomx2HoTLjjECu4OxohNvmNrbds2TESIUrWVL0Wk2QCsNEEhGWZSFnIMWExUMQzPdJUGdB4Io6pMtyOuUdFcd4x3ihoQjf9s9hDydDoFxfThQJCaZEqi4wYRcYRjQp4Z7rEsHS9nY/Slz98jeAfEtPQFUv48hF2RrWtdTMZG8qSJ77FwU93HiDKJE8qUpjeRl8XDj66IDvJoBmYqh3MRiiMciZrNzE8xEcyiGh855iDSHQevOu6JEOJ1RXjsA48UTMsRXIAeHJ0Bl52q4QD03ivrCAhvNe6fiu9UYduNRUVCibsIJTcJ4XDfHty/87Ovnvzsyy/uXayNmTgoQziFKIME67EnhyAz4fQgrQUHsh+X/RERRAdoUKo9Ooh2APuh3PaJ7YSKRhjNqkwaCwkxY5suJ4vyROeYCJwIjsjdPYXGCIeclvv7D1cvXr57/fbt+/cft2HSeDvvy9KWvnz++f0HD+7dv3MZYZzMXc1lRL549eEXv/jV+4+fPMg8hXUPx05wUSXWjCAPolDtyWQ+itREBPRi3/fW9Pr6DIYhPNUzc1mWzBz7iPJPLvVJbY1V3bdtkimy9U7HSgmSJHdzrWpTtn2DT+VmA71zaxrmHr5fbyhr3Ky3tu97CLl7wBuRm7v5PojYxkDgjwhWkVkMgd1Q8+oscg8Cttng2rWeBeZRBejjMSyoZ/qAVVC9NZDjmQxQvgNfiVlR4V/BOK+RQx40vzzAUv6rf+fvKZebfBLdLFRzQ7mERrVhTSCze7TWjjSAqvZ43g5WlogwE4arS19Q6mIjBDP13tHgoy4u7dKw3vtu+2k5IS5DAo7wAcJA6Qxm0YIocHDwER2OsJhFb+U8TAhmWMxMUCdFhbJAg6NIB3akNRXMohEVlSgxZ0NpS/URtBz5k4Dh0DRALroLNm251ayFiIgA1RXYjVWIty5g1pOdlAnG1HGnmRn+eiJ6Wk8WRZMlJrPqaaoUmgBCBCpcdo9lWeD4xpNEUXWEB7oH7E0lZsdITQSfy9xm71Xpzc0yo/bSULnRbecNNInT6QSApfeucyZBWb7BmI7A7Ss83CE+KKLk5CBh6iBFxKoeEdNRiJOLqYyKjIoiLDElhFyBEuYzhtM/teuE3FPZyAMEKhTarEVkCo9kynIyoL505A0F7ick0lTYzc/X56UvnImbrII9Pecu+ejBvd/54svnT57cuVybJLE3ZpggChVU7eFCFRydkzN9ODNob2jOwgE4YKmW1qGe8+9q2QsSJMrwmdIwIZgk8Fr0c0xNMBjmMCOq9U27jbYsGXl9vQVLkA5Plv723ccfX7z+4eXrDx+uPGPsgzmXtV2s/cH9e48ePGhdCYKyoCS63vYXr968evPu1as3IeKhXVoSCYuZA84186TULr0tPnZR2bYdxdqydGYZY7g7QlOEU7K5L0s3u+lcUSxu+wYbUUTAbduYJSmblvh0284HYLufd+2NiSMKjtTW9n3H/QD/xc3NzG0wMze9urqiREAQAXk5yMPH2N3M3XcfROkDpCO7qbVR/mcUFSICFcRR/SR8B6lk4ccBpkOOMzH8qvmpCMQ0Ty8xMQvdmhiXGKHiZOCXc/XBNRjjv/p3/n66E1Hr5beDK4qKMiNQ/GZpoDIyW1OwM1vTiNLxEhfHEe8VvldQ5WVNrHLpi9bq+djO26SsAP/hyY3D0uYi/EBihid29hx1xLFbxb0WzmHIWXEf6LUqEfkUix4LziYoTDdtV6m68EVSbeFeI/aZZmcumBYLlIARK3dOHi6QFgw5bvO05l0pImZxGaWyJghws7dAoxXI/ABAPUKqGxNicjNtjYhU1MOPD84or6phLIhDRMe+H8Y7mKZGYlhUiRNHslhVJcd1tFAVhRkj38IlSxlXVlwONOxm41eme1xcXFQLMg8fTc5yQvNRIjuZDIdDW4dlO4G8ckgKKuu4EcQok06OBwMfOWoXMeiq1QChYMja81Ml9ywdipkn5RYuQLqaamSgqFxPa3jYPpLSzE6Xl9efrlQ5PZeLFU9dZIiuTVu4b9cbNxZOZVq6+NibkkSsi37x7PGTzx4+/vyzuxcLp4sGoMzeVYXdhkcKtyjTCxxg7HRLSBMoOVGKhqtKuGFp0hz9lcKGiHtrpWAKEMmgMk3mHpRZw9UiClFmuDUtASAxu4eBscZ03gYlpbCNGIM+Xm1vP5y//c2L620fkRZ+9emKJYmjiT68f3H//p2LZe29m0VfV9H26vW7j9v244vXVx8/ZQq2xDvR0tcxYvggZaLsrEFpY6iKqJaQeAwm7kvf9wF9jEd0bYEdkGj9W9v3HYBjRJy3c2vKqPFvhQ7bBzTzOCQR2btiG8c+NtU2zLq2CDhVMGZOGLaRiKcvy5JBVfXvhlCJmgmr5z3Ch7n7dr7KCG2diLo2wSPAnO77GFTD34CPgIqEGYhtc0RfMRyzt0oSxHzY9hz/3bL/5GRz8/BjsnoUeXT8OeqAcP7rf/8fjDO2QsPKQeH131qDqmKMgXElbHO8wFPG4ioza73hoh9ARMlN59QR/CIV3caGtNZbz2kgc4wpqvT2wJyQWbDKR2rvGIYy6Jvw7rM8i+Z8Pcowh1Rb03Z9vubKdoQBNoqFmEP5Aq/gPcQyxk5zLafcmjEg2CGN3546zkjnKtL7QpxmnsXR1IPUD67bgTgdFWu1JpTaGtfrJrByIkZyxReFBXPgzMSuRDMT1QJJM9GWIn0eDRnd8rFAm3JQccwsKXvr6KkRKzGhwST8fD4Tc9O2LkvF1nlwcCFwx82tiYqW3IaJlmWpPKd6vr5Wba23yeYhbEfgmrVgzU4pyLgAatydgilR9MMFCJcL9oc4wXDVlzl8iilkKxiTGIo/hMXe2tFHw8AyM9HVYb8C7ECqXWCKCPdoTY+uEXofhht1hmrbzhvyJZ5taYt7CFN4WThQ+tj31kSSlWVpnDHSx90765fPn3719PHDh3fXRVWSKYRJhVWab0NUi9jmUEcKM5+vrwVMDWFi7h3+g7Zt5+QE/X/OgSkzZifEDQqA2GsTS18zCbalMcdClCHMNnYPE6bWOrPsu1mYKCVRmO/Dt31kqvY1ZXn3aftwdf7m1z/++OJ1JBkEweERW9q4vLy4d//e0vrl5aW77+aXl3e24RHx/sOn9x+u3n/8tJk17em09CUozczcTqf1fN6JMgkkEc6MZVlwJM7XZ8bjMHsYYl6X5cPHD3fu3N23bd5Tdfdt34HNEtH5fN62s7ufTivwroSbkHJ4rusybESU+W5rOpeekpmx0LosPhFkHxZwN4rCepLJxhjTRgEKR7dhw4jI3NIL5EWXjHiLc2vuZa5XpnZeZH+0CAdoMXFvfA+CzKHuqgKdkkmCgrOYQjV5mggEA3Oumowyk//K3/q/9KYIZKKyLEtiS6qbB8o0ElGIdNBUqDbMPG/jFVy56cbMsl6YcjJHiWEez3RsDppFsR6T61knFjOViIQZXq8y+T3HxkdVRYzY9x1VP/oATIHQ+IjoMWpGyEaxf9gcyaSHwufgiNF4eHhiWXlDy6uZxwHyMBNMgxGIQWPV1gDdlOCQeR87A4zQAi6QHPd9R1ADeXlqI8jMarItQkS9F0VVYLXPjJANwsPR6+H9a5s0kqSYlInWO94tmBVQReC+Tf+cagWY2d228wZ6aG+9tWZugMvALj2WmrEwFBhzck5ufvhELsuqrXz9JjQXALuRnpFg6jp7YVxH33XwmABh4T5G0ZkcDD/wGY4+DYchPAzdYVJELOuKVVkYMkE0hx6yafWOP0khRbOrhtinfxFlUqYe2ah1C7NtZxHWpbWW4Sxlqx5ukCrnyCTqjTNciHojlaAYd+8sXz5/9uXzp48e3hPKpGhEjbLDG9UTPgruxqrKUGVKkkViLy5nqa4yg4JiDu0EAy0UEObWe28iwEP2MXAN8cChvSuxfzHQQrQJcwZcjspoa9t3i8iUYDZLDzLns/m7j+fXbz+8ePH2attYZNvO+3kzChvOnF37uvS+9IvTKsKinUU86eOHT1fnLTK2682Gk/B23i4u75wuTudtM7PztkHaMvaxriuWxWfm6XSipNPpBNoPsPI7l3fwvAyz+jDAhYhJaNvOrXWZCjIRwQhBRHvr7m7hlOnmkTH2/YhsrTVgg8ys2iKcSSJdWQ8c/Xw+UyZ6ERAQUHXYGLNuSB9VxUekuY+xo2EFhT0iwiJnH5+T1pE1xa2HATAvKjEPl5oP8UFnr4wSo0u/xS+6kejTnAccTAr+63/vH6hIuPdlRV5CeiEi+HQj6AOhkcngBo4GjHhZ1zwKLSJhLm/kLOurpNobg96fjuGtSGHloB6GAwDR1sZAGdLcA+hNRczJdkJbdzyuwDdymupl7esoZClu4n7mLcZqZlKSqExQzjH0QyIGzsBzJ2LST7EjproiGIrOiSJgnJghEguqiDDHLoM2UDXmcOJmXWIeIZIgpq3VS2CRYz42GTuUh84Z9nwiHp6eANaxebW3vu87UsixlHhZavUYsPV53nJ65rBZMSwPk3FI8MYUjvENB7RWyev0SsKFRd5a1xt7bcg8ZQ7SIyBJS7CBQVvKWqbIrSlAfyojPz6wu4gAJh4ZbkV8Mjc0VUBU0ToQJTKxmatw6wvheZsaHAwhwCkEBwG2FjWaplJWE3OEg+I9KU1g6Ka7YwbSWzuP3TNP6ynTM1NZAho0lSA4kVJmaGPfTRsvTX3srZNKKufDe3d+5+uvHj387O7leqexpIHcg2ZXywI09hgUJKK9taCSXGz7zmVWRjOyR0w6OSWJMrhq4S7KKk2UKSjSYbNRFNQCjSM8ijWEtMKcmfu2s5CqsqpnbPsgZiidz7ufLV+/vfrw6eq8jR9fvNl3t4ht21nofL2Jcm8tzdbTCp927Y2Imva29I8fPm3bGGO/uj6LNu394CzNhTBElGMf7n5a16vra/e4OF30pZsNYdn2vbd23jc0eb33fd+X3plFlPd9YCpgZkK0bZsIh+e27a0ps1jYxXqBY7nvO1hIbeljL9kwUiUM7CJyjNFUzW0GUyYiG8Y3dgokrNt2roo2MnD9zaEosWFlZlA0nhBiLQKCE9VjNWeTg+e0PysUc0Sk+QzxiTjvWWuXErveiA7cv8I9xsK1A4oos6lqOhBez8kizszeOiVYxkJEyaFwMJ/VAhF4kDoxk/r07nGIvIoTieDmEVn/RERjlElT7w22P5nJHjgfGR4iQDAzpfhkvR/cNZ97Cm1GVWYGbYAITApo05yY9afkJzzMOYV5YUHEnHREMdTL8CBKLCjHtGAuNgHMuu977z2I9jEQGYu/JEypmWnDcD2hCpWpFmbmvvSIdDOf4g4sPCIiGE5FOHYnZIS7owYHB9eGLctCWJTu5VnNNMlRwutpjYhhI6a2CNp3d0d/ytiWR9VzZPGLy64d0jyOIFhYE6/lGu2oSlSFGJW164TyE5urMlUVhI0xeUE0DUHx6YWZRFAK1QmueVJM1koVHghbrTUSloCgt0ohFoYmIzL3fWdiuIQeLVoEYe80GiZ3E5aI9GFApSKCkrbYAGsxcZFAstxPUUpg1IcjJqoiHBYeOWzn5IjoS/cRTdtpXZhpjPAxmNUzImJZOyfJ3G8DokkwX49k7hHUiM9jN9t+fPHPTuvp88/u/ztfPX94//Ly8sLrAJswr0tnoiZKHCwyzLCcIJWXZQFSGhGUobXsmsJdexfmJLZwCsokM9/dWlcQLUsfXA+0XJwuInLEJoIExkks2il9WTvoj0TORIpLFEHpS2brstxfHl/w9Yh76/r24/nj1fnlyzcRvi5LUCaLkWyfztqEMtqwjBDWvizbvp/W08XFpcj1bkOafHj/obUGa9vMTAp301ZV6N07d4EBAAbh0kkQ8ED44xa3O30fjpm4D8sMErm4OGGRwNKXjBxj79xijPPV1TATeIu5RQQmWwckAGiI+cbpBFXy+fqM2MpUvbioJuWyLhmJNmuMIaKEtptpWTNgK5SEZ5+TzTbGymUgq8ytrVR7IIqZCQMYB20a57xyNODd2tEU6SAjATfOMojOTJI5DogIUWnIMOaGFcySOsHtlFqVlVxG0DO4i2hv4NVlYDFphT/QdW6gFS7qps9HXaabdGtq5q01bQ0FcmSgAs0xTqcLpFNzhvU8EZUmgJmskAciUiieMkUV7xDDzNbX89V1640KQij+JVIFIB3kUrz/LK3TIOKMZGXV8g8QiPbA6NeioAjz0heocHsmSmwUF633KjBLQ6dNWxKdz9dSI2wpm1nhRg0uQ+goZ81HVOmKqpT22KE/6g3cWYJRvgQ4xIAYl2WhuU1JkKp7Q2OrohCa0bRSGmZN9di0TBNfcrOQYBj4NDWzbd/MrGnDvRNhzMmHO7y3euvMgi9UsxWJpG5mlVNVYRMCiAacxQ1rMsGH9IgIEHnBcfb0zBxjp0FHch3DM6NJa9qIUrBkSmvpYEyLmMwcI4hIGFuXs82LPwVr5NNKFjw0uIDQtDzho9+G1g/EKpIxrLdOxNqUnSMSmqOIgJVLXxb2ZGJujVncTRpp10gxi2XtEeQRZh4O1bdemzdeP215/vHtDz/8sHZ59vTJz7744vPPP++iIjQi9v3cmzbVxrkuLUI9PBxQNbuHW0BPkOQi2pfTsAGSuaqSpoREOvdSK9a8CLN0VPvh5iFKvalj61xbiFu4JHNrhDRPTEtr27brKsxs7mN4Z/am69pPl5cPr+3dh49PHj/4+OnT2zcf3n34BBkHMH2UbsHByWO3DLo+j4jzbqO1RYQfPniA9LRt52VdOdnMmXhZu5kRC9wjqsMxivB935betbVlWdyMmGwYCaMtNbfLy8urq6uIEFZYpJifPWJZF3cHKL0s3SOZ+Xo7s2jOWgF/0M3PNjfWZcXkEkMOLioBA4IOYnMnycn5AbNZOHJpOoaRaFiC5405nqqqtA5E392KmjQ3EjCVXoAZQVyUPZyCj8KfeEIfxE1bakYmTUNWAYv0kEOlZGazMVi4yU0UEFFwHHkGa5oeI4QtYjVIPCjhxESlzuiNQFguEUByBgt2s2RmLr3gV20NsgMVlY6tdzUHnsZP4LqyiCQJpv96ywTUhjVVpLjIcIuldbAeRprsUs5r2xaZtTt0Agi4c5g/TwikykBi1qYFqwlrE7jGI9lk5ECvh77PCZuN56ylflNrbap5O2pblMaQpOFAVGzhPE6zaqtyJjOTMBoSFkoxtww4uxWQp000xaIAMSJqrfXewyNilJkiuLZummBBiahwEtatZHgexC2iKSyv4XwpM/cR7sipCNSRaeZmPvZ9H0OVP338tK4rUFro79DbiTKQ0KMAcffr62tweXvvlASl9HBfifexq6iT7zaEBdpjFYnyyZgilTlGBvkae/6i6K01tBcRG4Z8r13XZUV3CEwZuFCUbMfgak5Jbj7SmOh0EhGOJGIKT268u4nP2UOyn7feG5FEOkViCSIzQcm6nJSFWlMbhrmreUoaQGeLQUbDxrJeMEuE2Z6q/eo8pOnam+2xWXz69tWvfv3jncuLZ08/+/r5888e3O3LpafZbpRn3I6MFGmYZuFZIKGyiKAEhRTt13z+BYRIoBGeZY4kLMziYZ6mKhEcaUnBQmglRRhKO5/GkSK8LM1xLCl70TckOS80daH1Xr/2uNCLlfnO3Ys3bz9eb/unq+tMDpGzD23Nzfui2iQMdRtfX11hJcmydm162S4z6DzOOAygsS6to5QUkWFovntZEkB8jJaUyIZJddtxPp/P2/lyvYgkVK4qrZ+EmFjU3duyZmTErsz37903n8ViqUQlNCaFG7ACXB29LwvishZRNZmTRbpqRHiYiFB6RtHic5Aya2+q5R9DsCoCUJQhwqpLnfs5rfXwTAdaDn5PgBJZeGwSRACBjw9wlkDZpqSmjQ7yZ5QElVn4r/7tvz8pmLKP3c3X07ouJzDe+FhdGwEo/9bkkzEZZyJtDZjM0heiRGkJFBV83huMGGo9j1qvOmMv8uecvUwQIHPqaZmKMl/viojcrME0lNmwERsF5th7X2CGM/ahrUGkt/QOws/5fEZzc8gFAKSBoY+PqSI3n2LOACfTtIiMYECBSwNqE6oh8H7Bx+AbRCKmIiy8yv8alR9kBppyaJp0YKpW7HBLDveYdFtlJhgqFBpmBo5v6721dt7OZtZEPQIzG5ThRJjiFOvLagxAxzXBq6DvhPyiqWbSvu8AJUHrZWEzYyImbr0BKI+5C0Gbqio8eEUEHD5VzQgMrqHPjDnpighGNaACAsV6Wm0Yi/SpAEKbiMTJwtu2IZXiSPTW8ULn8/kWJziadlWN8H3bEf5w2SEONxvbvq/riovMzOFYjQnyGBFRWzpkMTaGJJMoMXVpCMGzGafzvjcFU0gSDEtmEcbuw7BBnK33ZApzJtZ2kRT72NZlTfK0GB5M2TiVOJWEktxby6XxRdef/+yrJ599dv/uxaLZupI7My19sbHnPDkOC+6pj0lJIfbpj43YVPQNYoKiApcpSIUzIw/5npSqJrCwUxROVlnfn5ROfuNbHpSU7Jyq8vHq6ny9cetXm7/9cN4z3769+nB1/f791dX5PIZfXe2nywtK9ozMGNtAQ0xJrTefq1vHvqvo+byREBGjylzXVaSxlHVjocGifemlDgPuCu4GpddgNvdtu3NxF0ZPRembARE+VDCK8HCAGefrc2aAOnHYJp63bVmWcF+WZd93HLNyLCeiJGgYmeXT9ZWbsxAFAXwv8uZkjmQS0HxUdaCxJgzOM2FDTQn5lGM2AzbdJPt51lT4hhBKCXYrJgb195r5cTnNHVw+SuK/8V/8l8wMYVdG7GMQE4ZgwGyhogQzvQo37PSg7G0B0QI6UgfrhhVinJyEPEhWbAyob2o8UGCCHMPuG6wKXhSF1TgXaCwTEimKjk/DS1UBGaAvHbyF3peIOF9fi5TAU7WpyrbvuCB4aRi9EVHvHYpfAF8gBhy8dUx7isjEYP3VVG26TtZWI5VWM3d8NDP3AJZVA8Z5Z4SlLx2DOzPPcNUG/mvNmGtlbrnmYTI/L0LRfqUc07CKXfZtQ4WBDrfeIYhpXG59t4lGY+yqOte4J76PoYLmWsaCEXQGsZANw5YPcxv7DmaemeP3QH3NzKD0YJG3l6DAW29gkizLwkTmPr3yGaQUKgcqQX5y9/V0OhYecK0LLsZU7bCMSKZ1XTGs84hjFiIimOXWPUXbNx8G0A2gbRz7vqwrAOXeO9jDs8qbE2/V02kdbj6st26RIrzv56V16DCYmImTQlhGuGrBrx7ZtA2ztihFjn20pYtIuoU7pR7D9IghoubBkuQhrKkdiypbk668NnHbeqOH9+59/fzp82dPTgt3SmVnCZW57WBp5m7DRKT1HpNugMYXaDoL+gNIcCQphZiS9rFlpkdqYzdXreaYeXoEwCUgmIUEtDERzlpbVJE3I8K3sY3hw3x47JbDYx9hxC9fvnv5+sP7T5/Oe5y3PYKSWUQtAp7PsNnBdQ8HNkUq4uThsSzt+rwTi5VMRMfYqUQhN0BHIeNMtrs22bedj0o5yQrob+HBKtt2ZuRsUAHdyoQGpHYRPJVHeC3KXMYYdkAHAEIjkspxHRZM2IJepOfMxKjm+voanfoY+yx8G2CM2dd6b01YIwzVOsimDBs+d5hJbGNnJkiFJzQSRLyPc9PmZigr3WpLHaAa1KBUmtnkv/53/69L79pk2/ZAqaiaSW4Geg92tqB41GM9AIaiGEbPXeelDS5Ojhx2oVORRKhgjw0wwF4xdl/WheABoFKCRgWVzVgYVlmFHQPTnDT5zOh94enogNAWkCwlIUhFxr7tqo04VVRU3ezgPs5MU5bctaYRxveRHnHezmtfWutgTfD8Q8SqbHaMRovj2PvCXNsCfitX5dwSXHsxj0FNlJVNHgt5iKCCmUnDwWOLTFyiMQZaJTBSSqLM5OaRqUeFrzr2vQpe2Iy4J1FvHS6nSWXeWYBwhKo0dDNmIjJdt2YjEjHGnkRMDKetpS+gx2AZ/XbeIGG7e/fuPizcRQV9EjPtY6zr6obt7bKuq5nv+35xOqG8+PjxAzELY/2cehi4VcWLjxDmfewRcVpPqDnM/bSu5o70Bq3J9fV1bw19Ru9dRTFOh7uAMC/LQkzX53NGutu+7xenCyqfal2Wfro4uce27yJ8Op1QKu/bDlhv7DsgP9StyWnDVLE7l7dta73hG2AkrqrmXiwOztpdGrGPjbBnuz62xm6tr8NxMDw8hLMJrWv3sadHb3nnsn92/97vfvXloweX68KSISpYMKaqkuxu8O1halihEcXfA3lhwSOpqizFvkW5c7M7tZxu+bydhYUJDRpTpAiTEJN6LbCsFaRUNG7sz+B9Hx6UIts2Pny83sw/fLrenViXuZRmJOnHq3NbF6SCJmLDRWXsRpmn07rvu6gQ54cPHy4vL4YFEWtrGTnM4P4GNwFIWzyciAfM23sbY+z7gNvz6XTar3ftamMwsUdgLQzSW9VYKk1VtV1fX0FyBPQYrGsCxbmEhDcUTHR7uIDDRniiLIviB5KZZVVjUtMCrnUPcCQLd5rri4koI9yDJu+csH16jgTq24TGGBR1AsFpRgYaY4CLWMhCUmaOfRxd0WRpCv/Vv/33evmvgqYiEx5iKLy0tbEP6ADgA3HezuBH8uR3IkiNMXpvog3FGs/2PyLgvikiWKROU99c2NMk/OHdmpuKiDbBgwSdFN1IjjPiKDbxVq/P56Zalp+1V+jw4BaMiOdMexpIiBzC6JgrtJho30f96/SfaNqKaJhlfYHAfT6fmVlb6zOZMbFFbXGqlXjTANWGLesi09tSRNwtA7OQBMhOnF4QP3ZVlgmritSOichiJdXOesxlSEUBkoB5OcaAKAxNn5R/JwH7RpIGtZnmyAgXx92jlr/zZEzWs310SMC48OnQLzKRm4OYlDVRodZbFC+IAN2ULKAvqvrp+mppXYokVpsYhmHIlqpydXU1xrh37z4a/MyEyj+JTqeVidfTum1bBd96gA33d4yBQXdkAN8/1vvxFF4wcXIR4whLbFSgJlXVZVmAGcaxRq36GyISdyfOfbfWhIj73O1c9UiEqu77WNclInfbT8vqYP1GLssCbWrvbds2FR1jCHFf6oEaw7Ur5jpJ1BUmtRmZvg+mZOG1K0WE7a3L5UX/3Z89e/r5w0f37ndV0RQODlNYi2e6hdvQ1iKjaQ9K92TGFmUXRe8lHkEkHkZS0z7mMlGKSDN4eLhIrarZzud1uchMzywlndQ2033srSslWzjiLPhu2xgfPlxtlqn68dN+vdnbtx8+beP1+2uPPJ+3bXhvOiykae9dSHwMKMK8dm5nUq7LYsNtWOvaehvD3AOSVW16fXUtKuu6utmy9rH7PvZ1Wfd9a22yGFj2fQdl6LSeIoIInxF9W4FIUJPlNJixMSpChqPzk8OFLOIWEx6LQOTq+hMRuUVfega2DfpEuBnPOCbwR4tAE97hMgLEljqjebaK70mMFbOgmUwoopoIUFeSCPa3dciJE9X6RFzdnEX4r/6dv1eyVY8kWtf1IEUguR3MDSKCJVxNNbHYSASJIadpX5H9agCQZdjCSdPUlyaTb10X2GNoUy+pKpdU1e0IvrCRAeg2zMI9IhsYWtPnpKjokdgVN2z03lXbdj6zyNJ7XzBECgSakgIE0Bg+/CGkdjklvOQiYuyjLx2oPZ5tFaG5lQ1g39FGIBuNsTeMeLSyvd9SkEXE1LWWHd62nZm59X6LWV/SvsxABER3iUk/mNFguI59F1WMLlQEGboY38LIE7XtEtZMt1wGAbzkZEOBlhORmPUVX1sVbE6QUI/mAKUQeNPoKlCDZyZlesTF6UQEm5QhohcXFzlhzfP5fHlxmfDl7h2tg4hAWI46E4zki4uTuZ/PZ3Qqx97NjOxLd/NhIzOXvmBuj1IUwxuUhBUXVDKCmT98+AgceeldVLZtXFycPHxd1tosxHyQ/9xdgZPMxU1mlpGsIkexxtx6w8J04AYyF3lCapcRWN5QcQJL61QFOyESvKYBgzMQe6X3bd84s6kw8/ARhvVEFEkiwkEiOZUssTbv6o8ffvbl06dPnnx+57R0TeXsDWuFuTeJhNWBMrXWT8OGZCaFMMOiimpjYmQ6oE6oTCOCSFDoKysJ2WaehiHZuqx4jqaFhntEk04obznDY+yW5ERkYar9vLkLf/h4fv/xOlm23X/94s02/O3b92U+wWoWPCf5lLKc2vl8xpZobTrOG5ASAJVIq8w8l0hTuR+aY1C37buKtqZmo/fl6voKAYSSr7frdVnRnQPswkZYVaWS/WNGx242xgDGCNwu5k6u8vgBwWYKG4kowVKOQGl16KtKzSiFsOHHy6cE4zcIWaazyj52YZ4RgBkhYm69zYPBMoU4QF9RTaMUriFNBjAVlLDEtTWrl0iXOTPGvmO4N7FXIiKs4PG5vpVIiUhY3I24MUBvIngowr9JRMJAUfHeem/dbEDcwMRB2VRBKjW3JXpMQ3Rq5Obg4BOlkwOjVG1cD6jOQVSiLx0+lt7dfYxhZr23CPTjChMLlJY8abNMdD5fo9dFSU5EwhwZrZ3QrCGRNm3L3SUjmwL9ib70Q1vRtFmYimBZDuZiRHlaT9u2eZi6ol7w8KYNOQQXK20iPFjS4mWpdjhbYBZCxLh5IGsBQB/7QO2M2KpFDZrDufDi9FGrTBte0rBykM7WF2HIpsKGMbNPiwVm5taABSET2XBITPcx1HUuOpfIHPvel74u6xjj6urq6urqwYMHfennDx8/ffx47/59dGlmN4mkWsZMEVmWlYg8c5gT+eWF9t7xnYLfb5aZvTf4NKDKgoKEiJalF4uXaxUBYNbe2r5vXuZ6NVQY2/DwjLi6vr5zeZFMmXTv/t2L0wUGgMNG4b9R9aaQBKXtAxOjyEjPyBSKro0b7/tgSh+GJmNZFp++NIWFu9eUaxp6r+uSScOGEG/7piq99aa6n7fk2iK7nc9jDOHECq1lXSP3cX19ulgh7Tid1t32MO9L78u67edh/O0P77/99cu7dy+efH7/qy++/OzBvVPnBdrjkQJRpGdfZN+ucAhbb0GUzpu5ha19FVFKSY7WewacXRIZGsJAaOzJcgxrS/cMg9rj8JMQGbaj7cug1pvpWGQx92VdMDK39Fzbqd8blp+utsuff/Hpery5XD9cXX+6urr6tA0bFM0izJxao5FJZDZI1Mya9r4sIKC7B3EmcUaa7cLCKkySQdt59/DLiwshJQFLSkS19UUgNvQALj3Mukh11UThvm8bXkJVzM2GreuJiCgSFDXEU0QYN8PjWsWWly5qmGemzy3HYOsjBwRlWq1mysJdVYQjGN6IkU7EB2Ef6+GYSVWRVTAtO9gj4EFAD4vQMZ1TizKeld6DphyHiMKpZWRbF2ZyKdECHUb/PI0VRUBmJ6btfEZ+A9tEmRMWIubraQUN1mwjot4XET6QYmZOHxHZestMj2gqQCjBcaXMYYaqswPJmfNxIm5N933PaaqDSdH1NpCNjUhUTqc1k3rvUUZOFS+ga21MsAw0G0CKIAOuBhUzxm0jZoWlRZFt2MJEWLXntPGhoNabimpqRHiaiAQlhLXlIBiBuRMCKyB2zBZtDCqrVJ9kpOrLpLwG6m5NfZOCylbCSEpzI6vV8/vu1VVlQshd4lg2/KaWjZnHMDSbEbW5Ah2nmR0+EMJiWIEtAodnxGK3hA4AOsYApxBeu0T7vl1fnz9+/Dj2cd2vronM/f79B60pUGZsdl16d/TRbbm6+rQsK0TjDR1M5vX1uffuEW7GKLFbDjMiWheY8xQcNMZuZuu6AvYB/Qms2WGOILttW1OtDX6RxLRt56btwf372tTNr64+efi+78uyjBx37twZu4lCNe3DDKXl0pekZCbytKwttYY9xky9LdWzT3kkRlz72KHdA1UxaxGCZta+Bys5MRERFA/KmpxYfdB7672lx7qs2rSJeIsxxtK7OfaMaipH0raZSvNIi+z94u3H8f7qhz/97sfLdfndr798/PDBw4d3Ly4WVkqKIA4m7SKS4R6xD3dhXVu/bBcgrXISMVuU95SbCXMCr07yMIyvWKlps3KLAdCAGV+0vrgFM++2JUdTWZZlfPpkI/vSdzdhvjy1FHGntesIIhuXzx4Ov/fu/afXbz68/3jlSW/ffmhNLDxs9N6adGIdY7Qm1Q0QQyGOIr0pH/tot32s6+LhrSkTbWOoCNSdrWnTtu977w1gLNTy4R7BYNPBP8bdk3LpS29dhEVO7t6pjzH60skT0NA+RmG2Hr13YuyC5tZrpyzqZp6WOe4x9pGZHu7mrQNQoZIoltA1Ihx9ObhJJJU/zMxsQJuVEBeWb0QIa1JgvISbgTiJ1zqGqVxoWmpv/Df/b/8l2lKeq7rhmglleLgv6zKGIWHyYdk27cYQ37HYC+59y9LNozUV0X3fhfn6fD6tK9IgnmGEUWYMCRgP89KXbd+pzNHotgYaTEk47WF9zUFRPZ1WgGOtqZa/UmbWdA40GwTlLPWEulsZgwDphF0L0bbt2KMUGb33nKwdQGxAnFS0+lzwYcyOtQw27GjQEMAhQVphi+iGhTY3HWKRX+10ugC/PifNAGgMKEz4TqxNh0i4tVazCs6mzYahgcOmM9E26Yv1TggD7UwbBjgS16TPQpXmvJemIVISrM8PRxBC8YRlWKh9QP8d+344Z6jI1fU1qvild/QoGE3Dy9MjlomnEXHvfYwdU3S5pUgAIBbuY4wxTFR6a6pN5lo0SMqZGekBmrUxRoOrVcTY98AOUWx/3QdUwZikmRsa823fxj5OF6fT6eLy8iKKqQwObgqLaquYHgHCmMBmJx2cqHVdrdJhCbNFBCveiNPNMfsFVJgELwo2H/B29VoCw27We+t9wVBnuC0dMgKbfkeErchQZhYXgnnfdzfY4nNXZkohEL6GsAn5w/v3fv6zLz979PBiaZfL0hs1it7RanIrxodr6/DyAhzNLLAuSKZMI8GcwDNTpdUGziyLEbOREaK1V4BF3CB8jnVZKTkytu0soiBnFziWlMznbWfhfbcx7Hq3q+vdQt6+/7h5/Pjjm20f5zF2c4/cN+vLEnnInSQzkrKJikpRWTMjYbyT67rgli1tcXIfbmaRnhkITQAzITbGwA/0kFqVSpm10xtArs2VSqjnSVsDoeiYD5sZ2nAzjwyoMgNrvZNEGIBzYT4ZZg6kdzufIWlkEUyGML5GvXs6nc7nMwqgdV1Bh3G3potPscL19XUZrOUNXR5UGndncDYw33fP6ZHjmfw3/ov/OwxkeHoG5ATEESlAJhljNG2tt30fGH/3peP2g4O1Luv1+fqg4mAI4xHLsiCpTmkSAes3Mwzceu/X19en0wmti6gc9jW4Pehl5tQBX3EW9mGReVpXtIetwUGrcHasp0/IYqd2N0rqVfBLlr8HldN94bYB+AgJLtxJWFjmkoDGpXPmiEB8QZzlyaM0s6V3VfXwfR85UXjish/gw8lOeDtvfenIweCewou/926zIliWRQRgEYqLKRxgRthFKrXaiF0GmZC8wk0XqaUt5YQjDLVEZ2LA6LjC6MZUxMxA21cVnpRTM3NzEXbYqmCReiZobdBRY/eeu2/bZu4XF6fWOigukUmUIM+5B2akaFyIGSA4sCuzErIlEWYwVHPmTkRViBTEmZHOBHd8mAzW1D1q8UPJeZuqhe83JuR0Wk/ufnV9BdEZej48seiBcG6ZhWtDYWJxvJlhh5KZ9aXD8g+bR4vjwNxmlYMTKOUylK0pxnpZgCwcTarfwmzWwpZ1HfugzLb08JjycLgSgYHdiYkyfHhfVmktPM2MJbmWpgWFczpRMtnlaX344M4XT548un/3s3snIdMmp3XtvWWEZBWzLCKi7iHEHonJXDLkbCzKSdg3x9APoADSJo7F7grZJplF6VmY4eYPYhWcAnCpbTjXsMfdY9tGspx3+3i1W9L1NqTpqzcf3r7/eL3tL1+/j6SEDHtZ5ilmG6DZoLhByvSyoBROTw+/vLhjPvBEtK7btouo7XtQyuQBFoUJZpzM+w5KJISxYMEW/xu+AzUGq7X1C9ppeAGAUtFaG2bHb4YceOl9DOgBUeQlJkAiDM6Ph9tukFWBxwz6iQ3L8mCupbkoZTCzBM1pDMNb6h2eMRWO4CDATMMM5GlIjiKCRfmv/91/AIo6jjiwXRtjWZZ6yHsDyXQfe5s2LyiHwfGoFVrlM2MHL6j3xoSqITKJWcIdbZFPk0v0R6DTZOawcefi0m85tmuZ1ZSNKh5LEcYib6mNiUC9YeIIE0qGEMkNYAy24NZaQXwE1blQLWq57rCBFXEzKNUq1WHGTKqtL01Ey2ZSy0IV4yMw5bGmHIldtZoP3ImouQJV6GfC9PgY89aMWhvXkAjj4hQR8NswNTqfzxenEwbfHgF0C7SNnAtCQThxdypjkMDQUmrFGFZcHSY5WIJWs4dJ/qv31rTtY1+WFby66g4zUOxgU1JOwYe7Yz3n2PdM2vZtXRYEx8vLi33bian3Belm3wcKhUO0hRuUEdpa07btO+gGqKAj4nQ6ERH4CFSVBMGUMI/dn8IQGRxQIRrqzDIAx4NKmeYGCRueFiK+uDhFhFu427LCtizev3t37959nNsKeVqKbpQmw8ayLOhLMsq1FExfK0vhbK3BpgKuHj4neFGaLEIPhGI/MjEDa9pIkgJ+Dbn0JTINeEhrNSQzT6Ig7jpdGTYT4daFiHw3UV6Wdr66Tt9OXe/fu/jq2ecP710+uH9/XXtTVo6LrsqZXmAv8LTeOyd5uHYdw3BmMjOstgW0pQuJm6WkimSWQ1+5J3FmUmTC1QqCoYgANVaaAhZLi/P1FQsnAmJrluxYRENxdW2fztuns716+/HqvH+8Om+7ufv19Z5JBH6U53JxyiQfThxmrk2JcuyjqYyxL31lZmhjUekeLMFImKKP3jqsF8JRxVNkyDQXAjLMc8+aTHg5IoAqe3gmHodRRJJMqUUXqaLn81lEWussdH19vS7reTu7x9K7iHoZADNlnrcz2lNArNv5HFO+07WVHxdlZirrtm2I827lZsFE521beifmMfapEpDWOrwRpbQ+jmPZ4K8SQefzNQB0LhsAY+YxdpiUoSrM3sGL4lsbZeG4siz9+rw1bb216/P1nTt3M3IbZ1QrIFk2hVpvhozM8/l8WldwMMYY8AKiSRNCcsZmmFwWvrHqLZTc0lh42/Z1XWDcSsRJ1FTQYkNrR0GtNZbaIbOPHdvHEB8zwsx6a8qKkjGiZAp4DLr0SXwUNwcLvnbUZIZ7a52kVgicz+caMMBqzdzNjUxEsO1BSOrEhPfep5+gqNKEdyUzAGHPhiaHDUh/Wyu7aaiaA0mIWFXk8OUmtjCaCynRmBKlu2tTcJzAtoraVQv6QbamKo0Ixk25bVv2zGmdfbQCASTNbAyLTBSt+7aPfSzrIiL7GL21i4sL5CeR3Pdhbsjiew2xsV1kR4zmSb1Y1pWIdtv3fcvszLL2BeADGNYQc6C2Rx4ED4pbqzm2CGzOoABA9O+9MxHo+SqC3ENJCmogAeSmpS/n2Jp0Fh5mbn66uPDwcT2ICBno6tOn5bSKK3yx0PGA0USMNAYE15iEhIBYqigTb+ftQNVaa1dX14g+QCmDk8gCWw+hWhBNppy1PyiJ27bHdH/DASZirzFSNpimtZaeuui+7djDkbxsTu8+7h+vfq0cnz18+PTx50+ffa4c1zpOi3bhtZWj3Lr2cIOmRMq3XIV42A6bgGy57+bkZmO3XViaNviJ4cnkpXkY5J9FuxJxggxTkI/381mY79y5zAhW2YeLCJk3ZaEeEU1FJS7W5fPPHlydx7v3H95/vHrz5n2M3SO1i0uYUIx9OS1CKtqIh5udTktTTQocdSa6ujoH5el0kqbQONiwmGUBuuTWWgTYFyVR7JlNlZZ12Fj7enW+klqXFIk8XRvU5erqal0WANFmBsqGme3bHhyfrq7G2C8vL0/r6bSeMnPpy5b7GENbCglsR3vvJzqpqruNYUyEAQAur0dxUolZVa4+XnE5AdcIWkQifF1X1KYA6kDPAzESYRW/BPGQ/+rf/nvpEenresJzCN0v/kdmtta3feutsch2PoNpHnMZAC7EsiyttcOWoC/Ldj5jJEBz2XdvDfxokLFP6wrSDqpKpFNgZETUlwWsVkwXxjC4vhAleFlZTNCCvUDAABIFcKn3BlbiFDFpzM1Q4c4iEYGH9vDZV5bdBph/M9kwfIyhHmKmdT1FBIQIAJoQgntrQAMg1cOlb4UXOWhRHu5my1KuA9WmCY9hUZKFg1UWMPSPCOiVQEYH6XjKEWW6YjA+IKB/YBEwgUFdj9i97XtTFYG5dNSlyACB6tj3i7N9GDKDmrYsq5ldX18JFNXFho65mVJkmlVgHA31GXiZ4C9CKrltu4rsNpbWAWdpa8vS4ZlQE/vWEN3QMaBHXHrfxyBE8NbWdQVT6tgsX28DHAlYPPY+yRUlbaqZFbTHcHZicnMiYrwcuMuZQNLwebbz+XQ6ZdJ6Wpfesfg7p+5vetQEwRyXODLHGBFJXE5WMW0hxj5QHYOmBZZERCx9sWIKce/tvJ8puTWYboEHwcyk02AK/aXZgGqkL20MFyZtnRIrBMLde1/GsKatL42SbB/uY1majXMM0yYSfnGxfPbw3ldfPH147+6yqOS4c1olcz21rpwelDRsv7i4hFUKE8N2LSZZKsItLD1IeOmLRajWsBEscKot04GpGDz7tTc3p8ze277tp4sTKD2tNWkNT4RBsbgsHz9dBfHHT9vVp/9/U9+6LMdxnJm3quqZA5CU5ZXDWj+jFfsCa5uxr7qhlSVCBHDOTNclM/fHVz2UfiFEEsCZ6a7K/K4f1m4fj/7l1/dfv74/Rv/46Oc5gWqP6SKa6AtRzUirNSPmGCovwX6OOTLzdjQ8rTuXhRntF+f5PI4DL1FrjYUxsMKdamZXXRLVUs/zdHcrRUWAVfYx2lVdWWodY7R6EKWq9t4xUicxmDbksSPTAs/JeZ7Y1JGmhbX8yi7ktVY/OyZgIoq1gxc3OJlXRhb4DGEmhsAaDKiIbDMwZBF7XDCdEagFzqRaCw4hfpV7XDyDCN9uNxw6rVUEQcfFlJ7Ps9QCC9L5fFopaE1TVTZz9wmmdE2gt2BQN5iVGw7CpZqRY3TM+KqOnwTBCRdMnKxbutpKW2siUJqJzn5iJK+trfcPNa2lXB6NS6KnO1SulILmuX72tRaXArKXeReIe9ArYEdVR+9EnYlYJOZK2tSCSolMWKkpE8A9LDAY9PDpzzkjc66JIHuYvKBFK8VwWHsEIdZg+/J+Y+Y3dEBUShm94xEBJ5yZ7hMCMjwHAARLKXMttKSCPO+jQ01vqmMOJplrIcB1Hy3uTBzOO1kl/Oz98XwWtVJKZEJNlFeHNU5P3qgiWrATPm1iGqM35sfj4/HxLNWYGSkd0hqzlGK5IdwwESSrZMYcq9SC5Fc8JLDkiMjnz58hSp5zwQ2wSWOzjBy9jz6smIiYWsrLLb7bFIgQwzlbbaLq4Vg9q9bb7QYKDvFwojrGyMj72xvcJHPM3WAcISJjDkBuwL52JeHOaOJSXlwaSsxjwZmR2zCcmajF8eW4ozdNFSGsK1YGk0REhidJogExI6Hd/Hh8IIXwdRVFxFqTmNZ0ZXx0U01H73P1WitpUvA5JrOEGJTqz+/9o8+//vrtqOXf/viHH9/u5z2L0C2iiHCGMP/4+bP7HMMBg6uCw0hfblXVxIcEJznNnKI6x7oSZ0hVcFC4rzndDDlQIsq6W8QF8IDsYtSMWIghEiYP51z3psTSTJpkELdyM6b/8c8/BfH//fN///3r98c5xvC1hpnlhs44nNdYxFRq1asPwJffbzfo9uGyrq1mZri/vb25r1J+mGswU2Q8n49SKwuv4e6rdwd1BN6YZWsWjtYwZxBzLeU8z9aaiCK9sY9ORLfjYPgrS5ljlmLIDIaH9PIzZql1zaFaIqGj2cTkWuBySG6cV+HujMQ9tOXgKvC0Ll+pifOh7tZrud4jAR8cEu4upvyn//zZxJh2OLuqIhcIviEi2lE/OygjIiDjQfzsSqJSS7HSR8etQpR9zNtxlFLXmmpWrKCdAFB+XjkQIoIFB+ZPuuqozKzVzeDja8MHdLvdxuhEfNwOXwtnn5qOMZB84B6YspkFrmAzwzL1ev+3XA9ykn0NRu4eG6Ukd/gQvNRybSeMDIANO0CFMifyZCITkCJG1znn0RqCdJjIrJRSxpjoLjaz83zGVfyC68YdKb7obGM1A4wI7+X2c28FzHbVllLDHf4GpB2pWuwmL2fhcC+liggMkKhAgBOplILzEQ12dJWOQtaCn1FF1ZSQhHGeEcFMpVRmwtaF1iS9aimZ2XYg81aRqmhS+Irl69vXr8/zqWo//fTTcRwAguClnGNg6FZRrGK993A/bre8bOT7Es3E+9DHAG+RO01W9uJ4lfxcJBONMcsVdo3cC7wqa00Whr+91gp5GJYDiDszCfM1UKxxFRvspjl3EU0KyCrmnNCwnaPbFZd97WGKOxsE/vIFJESu4AEm8thaZ+w6SdnqttRBM4NXhmhnn5VaYvmcqxSDgOp2q3PNOVZGHrcD9ONa69OnT5k0ztPMzEB+Mot4pHuoFRGO5cyplBkzfRjn//zjv/z+px//+fc/3WppVpnjXsUY8CCvtcK91qKGiWSxCI712eeW1c8Fa9OVtZA49cyUrgcVnwkzm+nzcUI6BaBPIOx2F6ZSipp8vD9QgErMohZJj3P2vh599ulB8vXbx69f3//65Ysnff36nqwkPMbicohahq+1RAsL+fJqNuaiDFPJIOLwFZkBarDWCnkYX2kFcemPv39/r7U8Hs/R+w8//sh79BFH/iMevOWwCrFy7114p3WBxMeFDbH4pgfM/NK+4zvdceWUr2mPUNfIErvwPXFgTuysKNdiwduNww1oYV5+4Ih8iWtwQzCE9dP5T//xXyraz7PUKrsSGmmIG2FgZhUZ2wVqe80HbcUMCNU9ns+P1ppZiYjH49FqE9uVYVDyEJOyqkGuZ3wV1fJlQ4M4BIZeuJZ2t+JuGIaQSRA4sePFTSkTfiJEmSKxoLWGwByirIgCVsUpH5c9B+sOrnG0+UBG6R5qysTP83m0xluEtwNQ55yjD3zHmLhfkXC1lMgcozPxWus4DhyOctVHFDPU+cZuViHbTLUD6+DftqKAszeJkBiBXHL8U2aGIvM6x2dEttaQgllrwbeA0FO+cg5eJLBf6x1khcQ0+sCOhOxVnE0XzeXFqqjMOVSgVCH4sDa4sXPw6cViofDaij6fJy778zzXWrVUTFtQ1EEqCh6lnx03U6nVr7oehH3ejhsM9LI7ZMBomaiO3vEsCuPGx1OqIKLH6EyiKpAO493GD4XhCJ8kkv5AmKtsyaaVEoiUmQM/GcTBj4+PC4HV2AHpNOZsR0N4EbzHvkOW0qwwUR8dn9gLAsXrczsO2f08+LtQeJLQ4/HQKzDRV5Rq7u5rwXUBW99FHElEbFMo5zgnopK1IHtVillmWLHZJ37D0momBxHKjwWlOr7CZ6xpQpTx+e349On+46dP//avfyymn+4S41ELMoUylrdaalHiNDUs1ttbTjwGPEDm1yOEC295wEetti04c3sbSzpNh0klazWiXDOIQ0WPo71/fAhrpGNEOPtQszGdWIL44+N89jk9zrG01D//v7/+8vdvw/3b90cfi1jtuD8fj0xisVJ1TXePUmz2JUpAMJCdR8xQE7Vaz34CgyVi5ERB68Us4+xQ0K21UM9Xa8WZAF01NIq4w/zSPUKagVKzyNhxk7HBvTlXrWVXw0JnxWR78KdSjJIgTl2+aLvMVitljsVMc85Xjl5kHLV5uHuMMXAC4LjztWC/x8ChpkTM//6//ysj0D4oO8eNSqkgIogYHtS1vBQTlA3pxnxf2SmxA8tif9lrvZiQl0Zb9nK8O0uhCrfdNEtI9tgi94tkvw5Wi4CsfutjXopJUzt7p52LLe4uqqow9Tl0MiIMlgbOji3IyYDAd46Jmfrl4N94wsvV5ZeKifC+ZVJu+9/FWyAeloiWr0tHRL/9Dwi1KmzSmUnJ2NbRRgtCBtLjTeszpCxywTu7ax5IAR4CUAilVhiDLyXPvkdhYxbZEiARgbX/+Xhi7MJQcJ799bHUWiMcjDoloXMqk0oxUfXlIAagcUSUkFx/zwvN3NahS+KSLDTGvN9vpuXx/DAtfXSQRnxFewrzWt6O9ng8Pr299dHzagxWURaac4lIaw3ioqSE9MJKwTYHBOblNMbvfzlOFCIxM0WmCgCx8+xMBDyHmXEQg1fA/fF8PCKztaabY6DrK9g6LvxxkGa1WueaO0M76eLSZJ/jppcubpO9tH+TbX0AXpebPHBmqa3Augy4NXNzkoDv1pxWrLU6xlxrWS0qoqajD/SVYxllEU46n892a6UUQgvTdOB34F1jBSLTYUfijDWXKYmQRN5u7dbav/7hx3vTt9vth8+fajWhVE6KqM1UKMN9hipHbL4tKc1k9CHCasi4dYZAPJxyHz3wzahobY04GUHVGXs+MEkPYh591FprrWtNZum9s0oplsQREcnLQ8y+fn2f7u7y7OPZ1y+/fv3ly7dzDk/+/t4j08GOrPQVVspaHh5WjCKRMMEpvZ9zzlIq+Bv3QAiuqkGIDvkAUPh+dgQ4t7LhCmY23dJzYbG6Q6JekzSm/pfmZ611KSxEmCGhBPBYaoEVaa1luwBq0wDIisDpR1flnzvSXyQygK/MKzrzxRpiA4DACcoIFeX/9fP/mX2stdAtXqzAK/SastfaAFNAS27il2gdHSCYlVR/e8rXWpBUttYQIh+R6GPBLzDNme3xYc4JZTu6xtZc+4TasAdDd/h2f3P3MdEnRX6pKiFgTXRbq4S7meEnXMshmsRfbEcQ46NHYO/mlsndW63M8jyfKoJwZhVdc3o4nPEsgrIqaAY8ohajXbri8Je3duA1XsuRSBMZID+hrSIiSoKkFdcMOtPpBQSr/uP6GZceGSdaRo41YF+QK6OplALU4srW49EHqCSYwgF6tdaIeIyOcs0xJ25BxKxS7iUGqUdjzG1xYPI5MwkaD2wza0652sT4ikHB6VaqMcuaS7ajWsYYc87LAvYSNlyu9wiwWJhnwb68EEgQAMiGiksNnBm+vB0Hjn78+zgd9rJVS2xVqIKhRTgirlIEXmUmM4y3LpcREji+FcUVuMOSCHSUAvEfvW8YJwLkXjsaIlcBJmFiABu0XTKtvbCpzLzfbv5Kidg9brTWwpY215SdzZXFlJhHnxjlfMMmsHZPupJxceW7RzFNTzEVlTkmYsqIs7WWlCoy+s5NyUwruqaraXgSc6klM9caa0xVjrUosxXNGD99vv/+dz9hLWBfnz7dqqpIqrBk1FooHfv67WhJqXb1DtGurCjFzPA0eibNta70GxaWpAAzTkm1lqTfBqiM3D3YwgsgiSLAcdc1Z7KozBljrD79/Xkmcbndvnx5P8f49dv3v/zt13POj/dnPW4fj6d7EisyG0R0uYtJRoxzWjFfq9YqKpE5xtj5LokkgokbfSLpz2Ou2VrDcbfNQ+iJY3ZfGJtwvpmamuAdx/+DEiHavl/H+4LBAhEUEYF0rAgPdxbdkQ/C9qp7it3iPuGdUsHpRK/knpcm9ZpjzBSnn6isubYcrbUKc9bunh0zIrABMHxunBQb89rllGgwYK61YRrCtbLWYuJaKmGL9yDOa3ikhZh4d3CwgKFhmKytIYwI0ERGEGsfvVgxNaky57RilgbzFATFcKIpcz2O3ruKCKN5XCPo7e3IDE0dfRdHzDHxviGBKyL0KgoH5rGhXvYxZtIO0hMRxCdsux1vs63u3McGIyukMrU22dxXIL0O1z7+c0bm0ppYKgELwsu2DXe2vWavX/TecSPu6T6I4biJPI5jzm2pkyuOEXEOPqFQWvfbffmaa/lV0Q5KYK4FM1REjL6zEiGzQYaoqgYFC5vawg4Hn/0GN3FPjMx8e3vbtwjn6OPKXkVOSQKIz8hSypoTUJWYZdJaK5A3cLTVHTQyHGql2lrua41rAULCNe7OMFdTaOPgjcLWhdofFXGOx+Pxwl7S/VVD/bpW55zuuxeon/0KFnRgqWMMMBbAqXC+86Xf56suoNWa29uZcJkx21oThBCKz9z9oos8It7f3zHam1k/x3meeEURDNDaQZRcdq99JiF1uZaq/+DoRn8cXhRRYYRQUPjycJJI97CC0iXyiDmm+/K1hhaEPxLVtaYnm2rvg/hYK5jIPZNIraw108qa+fX0j798KX/79dP9/k8/vHWno5ZW9O2tSUb/eKqkoHw7Y/SeGRgKW2u1KJyYy30nYWSqqCeNsYBrH0cLD1P1tcaavPlhZeYkErXn4wEFs2bipF47YFnmnPf7PWan8E9v7e3thzG8z/XDTd9a+8Pv3v74L7/7+6+PX3759dG7xvz4GMxZq57PvvoMomLHmB7pcwQzff32FW/06L21Awfl8gV01NRarfiukwhhB8oKWhV6jd47ZS4/Syk41llojIVB1szWXKWinJ1zu/nKmpPNSrFdrJCRFHMMdAu7L4wRSbmWQ1+rImtOvmq7oIDAB4IzhzKTEWtHeMAwDsaOVnH+03/8HMvFpKC3tp/wqsDQhFo7umBfvcQYoH/NjLYwn6/7PIkYpPGOOmE6nycmJqzAQE4w02EK3tgQM0xVqopdqdYKA/a1QKWqQiYkKlfNiCJBCIdNqUVFxph7JxAOj5e5ASpszMgb8L0M37x54Wt8I9rgmm2dCRywIHkmANZSzrMfxwG97BizljLXrKUCFl/T9dIVqdnj8QG1OnYpgn1rY/SMd4N3zRbjE8N5vX3LEIYXC98cSV5O5lKsd6T4+TWz0uvjwsWAjEC+wknwkcLgc/0s5978flvXdhhRoqfJPSOx2xFl74OZaq1n71sDc32GorjAyv7iXg0tZjD9IX9brnJQxAkAhcMmx8xW1D3mGGoqzHNu2y0GcyJa4UUUYzgYQkwzxIQOaQGCNOZyh1UyMxFjiYtk/4WJ1BQ80H4mM0sxCDoBEoJmB1WAbw47MdbtzOznWVuDoxvapLxkeZjX/pEqvOiT3ZuEKRJthlZKP7uazrmLB0SEiHvvcHggSsTMEkndLGOMpFC1auUq+UpfAThqY4+tohraTGdfvqaYwlUUkcpcj+rT1YqAIAJwqjT6UBOfgYZsFaqqP/7w6afPn354u73d2r0pU9SyrbTtqBkhnBWlILkteLR9Hnv4QLgY5GdMZCqIfWXlWCnCVk1Z166Y1nS3asoy16it9vPc9PvRqtn7+/txu2UQq0Tmec5kIZE11/vHY3p8//4xPFP0z3/55W9fvnnEx3Nk8LNDI2fE7OH9HK2159lV2SPc/TiaFnt+nIhmodzjILbkOWexcpGFHFtU4hdEaf0cqkJQ911DRERu4zcxM5kV6HqRHAOsCeC5uyMCBxPqWhMTNowjxUxNHx+P2+32/vGORjwIPIXZPQCavSiEyISAFK+8e5Aw//vPP0vuBi5s45Q5xyzVzMqakxg1IP6qBrusLokRZo/DSbCM7ZOLCfykz6Wmqrbt2mYsHJmxXE1fPCqoGDNrR1OVNRaSzva1QTvX1C8LMct2pcdObDZhvSQcGR73+w1KDDO7RDJOVx0gjKOjj4goxcIzKV8xNbgwiNjDY7lffQZzTFBq6J3AD1tL+Xg8mai1yiKA21BNZ2oijG3W1wrP46hwURLnGrPv1Ph4oaKZ0VqDTQEUSF71DABYbvcbZOYIFdmRR6qjTzR74EFUU9hoQXn52lG0wExgPgCviD+l1PL4eJgqop8Q2gpJj6miVhTf/isGHSqgi/BIf/VBqvbzVNXb/QZoEv9ysQKiHqL4tdYc8zIku4q83e9BGRELJuGjQqRvteAXpZY1Fw5t8KWjj7XW/X5/Kbjgc8ZqhVrwcXb3qLWMMQGtAl/C8NHPDsMntD1yKR3WmltcAHO/CjbjzLjd79BrIbeOiMyK+yqtzjFhIACAtg96lTUXvB3MhOM+iQq+dJjSE/ZK9+XCTMIUJCgDiJ0wiEdrk2SUxDTH8rXUtNTqc0WGlWKqY8zz/XF7uzFMMCTn6CrMFyAG9/5c6xydk0w1KZH/r8wz3Ir5iitollSMmEozX4uTRKkYN7N/+unT59vtqPbD53szizWtaKt6tOJzrjFFpRZD/EAp2nuXi8OzazNQFiy+IrrWgGxUTClzThfl3ZClnElrTNYdBmTFkAB4Pp/3+93XSmbQbPBMsfDj2ecYyTJGfjzHxzn7Wu8f58dz/PLl6/f35xhTtTzPCY63tvb123upJqoE2na5b500ur7j9Siiy6VYmWvNMUW41gqeAJrvl+wHmxwRKsR59plEtZXwBLg0+okNT0XW8iSkXQm20lItPCNiroVhxYrGQhN9gHIffYT7cTQc/fg88aCOuWKzx8q8Y57X9MzkP/3nzyRMnnmx9kAbWCWWazHoPjOShUqtPqc7ahqFmIDeCPMmSa4s9UxI3xKg2Ma+IwC4U6bs2U1AhbejhGep5Tw7yHesYAahSER4qMkYQEjIlx+3g3a7BRNlQEpYy/u378R83I7+PE0tebPqc87wOG4HulncfXspr7VDREYfwLTzklSKCHTlay78ICzSStm1ZSJiWyHOV2EO8AFsErK5Soorg5OvLuK5pqpasdEn6IFihjk3fNck4A9FadF1/xERH7eWSXNMQB/wjvF2rnuptgaMERjB6fl8RkStJSLb0YS5n11si1wxCK45b/fb8sXJZsa6tYzgJ7bGd8d/5Ojz7e1+wXoOWfcOvSei3dQ4iEAF70qj3nsp2xWBR1+u9iJ4X5/nqcyCWEeGT2K5BxFXVH+sBeHW7XazYs+PJzDccQ7AjNsBVHQDd+GEHBIVpNn49DlXqbbnNffjdkBuAXgXC0epJZPgUTjuR6zAib+7U5IQEoYR3jMQAZZJx62GZ2YS0+wDbwQOI1U5nz0z1pzFSm0VWCv0P2qamWv6cW8RgUYdn5taP1p7Pp+Xpt5VlYiTA7nv/zAhhZpykorMOUlEhMJXBpWiEckscy4rCh+1e5YCKGPd3+4A987n2WqJTGUWkbk2B5YsauIrzCSWH0fRTBO+Ffvh8+3T/fh8P4qxCd9vxURMyX3VWuDFTQpISD2cKVE5aXbJspP9Shr3Pcc4bAeYglRZVJkYEnAgByq65koOwyiJVEGsmCpJOeZElM+Y/v3jOVc8e1+TniM+HmeYfX//+O+/fvn739/JbM6YCwa60W7H8zHePt3RSCwsz8dZalnTTQ3jv/CrWRr1GwDZDYj8GLhUCCwuLnJGlLTvtg9Vm2PAgQP+D+grjgvZkQeETDdTWSuAstZaAcPgg3KHJg3QSEBAjDwbyvSABhoBJLh28Wb5/wdfxkeQUKr7VwAAAABJRU5ErkJggg==", - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None\n" - ] - } - ], - "source": [ - "# UNCOMMENT AND RUN THE FOLLOWING CODE BLOCK TO ENABLE TEXT-TO-IMAGE GENERATION VIA DREAMSTUDIO\n", - "\n", - "# # https://lexica.art/prompt/86975be7-69c1-4aed-b95f-8d24b0df2537\n", - "# room_style_1 = \"\"\"ultra mega super hyper realistic Digital concept interior design.\n", - "# stone walls and neon lights, a lot of electronics. Natural white \n", - "# sunlight from the transperient roof. Rendered in VRAY and DaVinci Resolve and \n", - "# MAXWELL and LUMION 3D, Volumetric natural light\"\"\"\n", - "\n", - "# location_description = location_descriptions[0]\n", - "# location_description = \"\"\"shabby, mostly vacant offices. Two hackers are at the office\n", - "# door.\"\"\"\n", - "\n", - "# image_prompt_room_1 = f'{location_description} {room_style_1}'\n", - "# print(image_prompt_room_1)\n", - "\n", - "# # Generate images\n", - "# for i in range (3):\n", - "# print(generate_image(image_prompt_room_1))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "FiIKGYR-u-D3", - "outputId": "6bab6290-9ff4-45ca-b26e-5287064f0e60" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "----------------------------------------------------------------------------------------------------\n", - "PROMPT:\n", - " Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: A bedroom of Gabriel Clarke\n", - "Description: The bedroom is a tiny cubby hole with a desk, a bed, and a lamp. It is neat and tidy \n", - "but nothing more than that. \n", - "Characters: Gabriel Clarke is the main protagonist. A talented young \n", - "hacker who enjoys his anonymity, he enjoys hacking his friend's computers. \n", - "Seymon Evans is the other main protagonist. A talented young \n", - "hacker, he is more motivated than Gabriel Clarke and has more experience. \n", - "Henry Forsythe is the antagonist. A private cyber intelligence \n", - "agent, he is responsible for the investigation of the Bitcoin heist.\n", - "Plot element: 1- The Ordinary World\n", - "Summary: Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\n", - "Previous beat: \n", - "Beat: Gabriel is lying in his bed, using his computer to hack his friend's computers\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\n", - "DIALOG:\n", - " \n", - "GABRIEL\n", - "Who are you, what do you want, and why are you in my house?\n", - "\n", - "SEYMON\n", - "Sorry to startle you. I'm Seymon Evans, a friend of Dan's.\n", - "\n", - "GABRIEL\n", - "How do you know Dan?\n", - "\n", - "SEYMON\n", - "We were in the army together.\n", - "\n", - "GABRIEL\n", - "How did you find out about me?\n", - "\n", - "SEYMON\n", - "Dan's a great guy, but he doesn't have much of a memory. He didn't even\n", - "remember telling me about you.\n", - "\n", - "GABRIEL\n", - "So you came all this way to check me out.\n", - "\n", - "SEYMON\n", - "Well, I had some time on my hands, and it sounded like an interesting challenge.\n", - "\n", - "GABRIEL\n", - "And here I was thinking you were here to steal my secret hack!\n", - "\n", - "SEYMON\n", - "Who says I didn't?\n", - "\n", - "GABRIEL\n", - "Who are you, really?\n", - "\n", - "SEYMON\n", - "Why do you care?\n", - "\n", - "GABRIEL\n", - "Because I don't want to get killed.\n", - "\n", - "SEYMON\n", - "You have nothing to worry about. I just want to know who I'm dealing with.\n", - "\n", - "GABRIEL\n", - "You mean, whether I'm an undercover government agent?\n", - "\n", - "SEYMON\n", - "Don't be paranoid. I just want to know your name and where you're from.\n", - "\n", - "GABRIEL\n", - "Okay. I'm Gabriel Clarke, from Manchester, England.\n", - "\n", - "SEYMON\n", - "Manchester, England. I've never been there.\n", - "\n", - "GABRIEL\n", - "And I've never been to San Francisco.\n", - "\n", - "SEYMON\n", - "San Francisco? That's a long way from Manchester.\n", - "\n", - "GABRIEL\n", - "What do you want, Seymon?\n", - "\n", - "SEYMON\n", - "You hacked Dan's computer, didn't you?\n", - "\n", - "GABRIEL\n", - "I did, but only because he gave me his password.\n", - "\n", - "SEYMON\n", - "And what did you find?\n", - "\n", - "GABRIEL\n", - "That's my secret.\n", - "\n", - "SEYMON\n", - "You're telling me that you didn't try to steal the $3.5 million worth of\n", - "Bitcoin that was stolen from my client?\n", - "\n", - "GABRIEL\n", - "$3.5 million? Are you serious?\n", - "----------------------------------------------------------------------------------------------------\n", - "PROMPT:\n", - " Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: A bedroom of Seymon Evans\n", - "Description: Seymon Evans' bedroom is sparsely furnished, containing only a bed, \n", - "a nightstand, and a lamp. His walls are bare, and the only decoration in the room is a \n", - "corkboard hung next to his bed. The corkboard contains a number of scraps of paper \n", - "and a small whiteboard that has been mostly wiped off. \n", - "Characters: Gabriel Clarke is the main protagonist. A talented young \n", - "hacker who enjoys his anonymity, he enjoys hacking his friend's computers. \n", - "Seymon Evans is the other main protagonist. A talented young \n", - "hacker, he is more motivated than Gabriel Clarke and has more experience. \n", - "Henry Forsythe is the antagonist. A private cyber intelligence \n", - "agent, he is responsible for the investigation of the Bitcoin heist.\n", - "Plot element: 2- Call to Adventure\n", - "Summary: Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\n", - "Previous beat: Gabriel is lying in his bed, using his computer to hack his friend's computers\n", - "Beat: Seymon is sitting at his computer, using his hacking skills to find a new target\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\n", - "DIALOG:\n", - " \n", - "GABRIEL CLARKE\n", - "Good morning, Seymon.\n", - "\n", - "SEYMON EVANS\n", - "Good morning, Gabriel.\n", - "\n", - "GABRIEL CLARKE\n", - "I heard you were working on a project this morning.\n", - "\n", - "SEYMON EVANS\n", - "Yeah, I'm trying to hack this bank's server. I need to get into their database.\n", - "\n", - "GABRIEL CLARKE\n", - "You're really determined to get that money back, huh?\n", - "\n", - "SEYMON EVANS\n", - "Of course I am. I don't want that hacker to get away with my money.\n", - "\n", - "GABRIEL CLARKE\n", - "I don't think you're going to be able to do it.\n", - "\n", - "SEYMON EVANS\n", - "Why not?\n", - "\n", - "GABRIEL CLARKE\n", - "The bank's server is too well protected. I think you're going to have to come up with a different plan.\n", - "\n", - "SEYMON EVANS\n", - "Well, I'm not going to give up that easily. I'll keep trying.\n", - "\n", - "GABRIEL CLARKE\n", - "I'm not trying to discourage you, Seymon. I just think you need to be realistic.\n", - "\n", - "SEYMON EVANS\n", - "I'll keep at it. I'm sure I'll come up with something.\n", - "\n", - "GABRIEL CLARKE\n", - "Okay, Seymon. Just remember to be careful. I don't want to see you get caught.\n", - "\n", - "SEYMON EVANS\n", - "Don't worry, Gabriel. I'll be careful.\n", - "\n", - "GABRIEL CLARKE\n", - "Okay, then. I'll let you get back to work.\n", - "\n", - "SEYMON EVANS\n", - "Thanks, Gabriel.\n", - "\n", - "GABRIEL CLARKE\n", - "Bye, Seymon.\n", - "\n", - "SEYMON EVANS\n", - "Bye, Gabriel.\n", - "\n", - "GABRIEL CLARKE\n", - "\n", - "\n", - "----------------------------------------------------------------------------------------------------\n", - "PROMPT:\n", - " Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: A cyber intelligence facility of Henry Forsythe\n", - "Description: The facility is like a fortress with dark walls of concrete, steel and \n", - "glass. In the middle of the building is a cube-shaped machine, which serves as \n", - "the central computer and network hub for the entire building. \n", - "Characters: Gabriel Clarke is the main protagonist. A talented young \n", - "hacker who enjoys his anonymity, he enjoys hacking his friend's computers. \n", - "Seymon Evans is the other main protagonist. A talented young \n", - "hacker, he is more motivated than Gabriel Clarke and has more experience. \n", - "Henry Forsythe is the antagonist. A private cyber intelligence \n", - "agent, he is responsible for the investigation of the Bitcoin heist.\n", - "Plot element: 3- Refusal of the Call\n", - "Summary: Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\n", - "Previous beat: Seymon is sitting at his computer, using his hacking skills to find a new target\n", - "Beat: Forsythe calls Seymon on his phone and asks him to come down to his office to discuss the \n", - "Bitcoin heist\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\n", - "DIALOG:\n", - " \n", - "GABRIEL CLARKE\n", - "What is it?\n", - "\n", - "SEYMON EVANS\n", - "Henry Forsythe. He's the guy I was telling you about, the guy who is looking \n", - "for the thief who hacked the Bitcoin accounts.\n", - "\n", - "GABRIEL CLARKE\n", - "I see.\n", - "\n", - "SEYMON EVANS\n", - "I'm heading down there to his office right now. You should come along.\n", - "\n", - "GABRIEL CLARKE\n", - "Sure. Let me grab my laptop.\n", - "\n", - "SEYMON EVANS\n", - "Good. Let's go.\n", - "\n", - "HENRY FORSYTHE\n", - "Come in.\n", - "\n", - "SEYMON EVANS\n", - "Hey, Forsythe.\n", - "\n", - "HENRY FORSYTHE\n", - "Hi Seymon.\n", - "\n", - "SEYMON EVANS\n", - "This is Gabriel Clarke.\n", - "\n", - "HENRY FORSYTHE\n", - "Ah yes. How do you do?\n", - "\n", - "GABRIEL CLARKE\n", - "Fine, thank you.\n", - "\n", - "HENRY FORSYTHE\n", - "Have a seat, gentlemen.\n", - "\n", - "SEYMON EVANS\n", - "Thanks.\n", - "\n", - "GABRIEL CLARKE\n", - "Thank you.\n", - "\n", - "HENRY FORSYTHE\n", - "What can I do for you?\n", - "\n", - "SEYMON EVANS\n", - "Well, it's about the Bitcoin heist.\n", - "\n", - "HENRY FORSYTHE\n", - "Yes. What about it?\n", - "\n", - "SEYMON EVANS\n", - "The thief who hacked the Bitcoin accounts was very talented. I mean, to hack\n", - "three and a half million dollars worth of Bitcoin is quite an achievement.\n", - "\n", - "HENRY FORSYTHE\n", - "That's true.\n", - "\n", - "GABRIEL CLARKE\n", - "Who did it?\n", - "\n", - "HENRY FORSYTHE\n", - "I don't know.\n", - "\n", - "GABRIEL CLARKE\n", - "But you think it's one of us, right?\n", - "\n", - "HENRY FORSYTHE\n", - "Yes. I do.\n", - "\n", - "SEYMON EVANS\n", - "So, you think it's either Seymon or me.\n", - "\n", - "HENRY FORSYTHE\n", - "It could be.\n", - "\n", - "SEYMON EVANS\n", - "Well, that's your opinion. I didn't do it, and neither did Gabriel.\n", - "\n", - "GABRIEL CLARKE\n", - "I didn't do it either.\n", - "\n", - "HENRY FORSYTHE\n", - "So,\n", - "----------------------------------------------------------------------------------------------------\n", - "PROMPT:\n", - " Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: A cyber intelligence facility of Henry Forsythe\n", - "Description: The facility is like a fortress with dark walls of concrete, steel and \n", - "glass. In the middle of the building is a cube-shaped machine, which serves as \n", - "the central computer and network hub for the entire building. \n", - "Characters: Gabriel Clarke is the main protagonist. A talented young \n", - "hacker who enjoys his anonymity, he enjoys hacking his friend's computers. \n", - "Seymon Evans is the other main protagonist. A talented young \n", - "hacker, he is more motivated than Gabriel Clarke and has more experience. \n", - "Henry Forsythe is the antagonist. A private cyber intelligence \n", - "agent, he is responsible for the investigation of the Bitcoin heist.\n", - "Plot element: 4- Crossing the First Threshold\n", - "Summary: Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\n", - "Previous beat: Forsythe calls Seymon on his phone and asks him to come down to his office to discuss the \n", - "Bitcoin heist\n", - "Beat: Seymon walks down the hallway and knocks on the door to Forsythe's office\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\n", - "DIALOG:\n", - " \n", - "GABRIEL CLARKE\n", - "Dude, what's up with you?\n", - "\n", - "SEYMON EVANS\n", - "Nothing. Why?\n", - "\n", - "GABRIEL CLARKE\n", - "I thought I would find you at the computer, trying to crack the last line of code on \n", - "that file I sent you yesterday.\n", - "\n", - "SEYMON EVANS\n", - "Nah, I've been taking a break. Besides, I already solved that code. I just need to \n", - "add it to the file.\n", - "\n", - "GABRIEL CLARKE\n", - "Well, that's great!\n", - "\n", - "SEYMON EVANS\n", - "I know. I'm excited too. But first, I need to talk to Henry about something.\n", - "\n", - "GABRIEL CLARKE\n", - "Oh, that's too bad.\n", - "\n", - "SEYMON EVANS\n", - "I know, I'm sorry. But I need to do this, you understand?\n", - "\n", - "GABRIEL CLARKE\n", - "Of course, man. It's your life. I understand.\n", - "\n", - "SEYMON EVANS\n", - "Thanks. I'll talk to you later, okay?\n", - "\n", - "GABRIEL CLARKE\n", - "Yeah, sure. Take care.\n", - "\n", - "SEYMON EVANS\n", - "I will. See you later.\n", - "\n", - "HENRY FORSYTHE\n", - "(FROM OFF)\n", - "Come in.\n", - "\n", - "SEYMON EVANS\n", - "Hi Henry.\n", - "\n", - "HENRY FORSYTHE\n", - "Hello, Seymon. Come on in. Have a seat.\n", - "\n", - "SEYMON EVANS\n", - "Thanks.\n", - "\n", - "HENRY FORSYTHE\n", - "So, how was your day?\n", - "\n", - "SEYMON EVANS\n", - "Pretty good. How was yours?\n", - "\n", - "HENRY FORSYTHE\n", - "Oh, pretty busy. The media has been all over me, trying to get a scoop on the \n", - "Bitcoin heist.\n", - "\n", - "SEYMON EVANS\n", - "Yeah, I heard. I can't believe they haven't figured out it was me yet.\n", - "\n", - "HENRY FORSYTHE\n", - "Who said it was you?\n", - "\n", - "SEYMON EVANS\n", - "Well, I was just joking around. I know it wasn't me, of course. I don't \n", - "have that kind of money.\n", - "\n", - "HENRY FORSYTHE\n", - "No, of course not. It wasn't you. I just meant that the media has\n", - "----------------------------------------------------------------------------------------------------\n", - "PROMPT:\n", - " Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: A cyber intelligence facility of Henry Forsythe\n", - "Description: The facility is like a fortress with dark walls of concrete, steel and \n", - "glass. In the middle of the building is a cube-shaped machine, which serves as \n", - "the central computer and network hub for the entire building. \n", - "Characters: Gabriel Clarke is the main protagonist. A talented young \n", - "hacker who enjoys his anonymity, he enjoys hacking his friend's computers. \n", - "Seymon Evans is the other main protagonist. A talented young \n", - "hacker, he is more motivated than Gabriel Clarke and has more experience. \n", - "Henry Forsythe is the antagonist. A private cyber intelligence \n", - "agent, he is responsible for the investigation of the Bitcoin heist.\n", - "Plot element: 5- The Approach to the Inmost Cave\n", - "Summary: Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\n", - "Previous beat: Seymon walks down the hallway and knocks on the door to Forsythe's office\n", - "Beat: Seymon walks into the room and sees Henry Forsythe, a private cyber intelligence agent\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\n", - "DIALOG:\n", - " \n", - "\n", - "SEYMON\n", - "Are you Forsythe?\n", - "\n", - "FORSYTHE\n", - "I am Henry Forsythe.\n", - "\n", - "SEYMON\n", - "I'm Seymon Evans. I have an appointment with Mr. Forsythe.\n", - "\n", - "GABRIEL\n", - "Who are you?\n", - "\n", - "FORSYTHE\n", - "You must be Gabriel Clarke.\n", - "\n", - "SEYMON\n", - "How do you know my name?\n", - "\n", - "GABRIEL\n", - "Who are you?\n", - "\n", - "FORSYTHE\n", - "I am Henry Forsythe, a private cyber intelligence agent. I was \n", - "contacted by Jack Wicks, the CEO of Trion-DV, a couple of weeks ago.\n", - "\n", - "GABRIEL\n", - "What's that got to do with me?\n", - "\n", - "FORSYTHE\n", - "Wicks was a personal friend of mine. He informed me that he was in\n", - "the process of auditing the accounts of Trion-DV. He wanted to ensure\n", - "that I would have no problems in this matter.\n", - "Seymon, as you know, I called you in for a meeting because \n", - "I think you may have stolen $3.5 million worth of Bitcoin.\n", - "\n", - "GABRIEL\n", - "You're crazy! I never stole anything in my life!\n", - "\n", - "FORSYTHE\n", - "So you deny any knowledge of the theft?\n", - "\n", - "GABRIEL\n", - "You bet I do!\n", - "\n", - "FORSYTHE\n", - "Good, I'm glad we're on the same page. I called you in here because\n", - "I wanted to talk to you about it. I was told by Jack that you had\n", - "been working on a project to steal Bitcoin from Trion-DV. Is that \n", - "true?\n", - "\n", - "GABRIEL\n", - "Of course not! I'm a programmer, not a thief.\n", - "\n", - "FORSYTHE\n", - "Then how do you explain your presence at the scene of the crime?\n", - "\n", - "GABRIEL\n", - "I was just trying to help out a friend.\n", - "\n", - "FORSYTHE\n", - "What friend?\n", - "\n", - "GABRIEL\n", - "I can't tell you that.\n", - "\n", - "FORSYTHE\n", - "Then why did you do it?\n", - "\n", - "GABRIEL\n", - "I don't know what you're talking about.\n", - "\n", - "FORSYTHE\n", - "You were at the Trion-DV offices on the day of the heist. I have \n", - "eyewitnesses that can testify to\n", - "----------------------------------------------------------------------------------------------------\n", - "PROMPT:\n", - " Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: A cyber intelligence facility of Henry Forsythe\n", - "Description: The facility is like a fortress with dark walls of concrete, steel and \n", - "glass. In the middle of the building is a cube-shaped machine, which serves as \n", - "the central computer and network hub for the entire building. \n", - "Characters: Gabriel Clarke is the main protagonist. A talented young \n", - "hacker who enjoys his anonymity, he enjoys hacking his friend's computers. \n", - "Seymon Evans is the other main protagonist. A talented young \n", - "hacker, he is more motivated than Gabriel Clarke and has more experience. \n", - "Henry Forsythe is the antagonist. A private cyber intelligence \n", - "agent, he is responsible for the investigation of the Bitcoin heist.\n", - "Plot element: 6- The Ordeal and The Reward\n", - "Summary: Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\n", - "Previous beat: Seymon walks into the room and sees Henry Forsythe, a private cyber intelligence agent\n", - "Beat: Seymon meets with Forsythe in his office, and tries to explain why he was on the computer \n", - "at the time the Bitcoin was stolen\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\n", - "DIALOG:\n", - " \n", - "GABRIEL CLARKE\n", - "This is Gabriel Clarke, Henry. I'm sorry I didn't catch your name.\n", - "\n", - "SEYMON EVANS\n", - "I'm Seymon Evans.\n", - "\n", - "GABRIEL CLARKE\n", - "I've heard a lot about you, Seymon. You've got quite a reputation.\n", - "\n", - "SEYMON EVANS\n", - "Yeah? I've heard a lot about you, too.\n", - "\n", - "GABRIEL CLARKE\n", - "Have you worked with Henry before?\n", - "\n", - "SEYMON EVANS\n", - "I've done some small jobs for him, but this is the first big one.\n", - "\n", - "GABRIEL CLARKE\n", - "You think the guy that did this is in his late teens?\n", - "\n", - "SEYMON EVANS\n", - "Well, that's my guess. But we'll know more once we crack his computer.\n", - "\n", - "GABRIEL CLARKE\n", - "We'll know a lot more once we get into that machine.\n", - "\n", - "SEYMON EVANS\n", - "We should probably get going.\n" - ] - } - ], - "source": [ - "#@title Generate Dialog for scenes\n", - "\n", - "dialog_prompt =\"\"\"Given Example 1, write the dialog for Example 2 using the same structure.\n", - "\n", - "Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "Character names: DANNY, JEFF, EDITH\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: {PLACE_NAME}\n", - "Description: {PLACE_DESCRIPTION}\n", - "Characters: {CHARACTER_DESCRIPTIONS}\n", - "Plot element: {PLOT_ELEMENT}\n", - "Summary: {LOG_LINE}\n", - "Previous beat: {PREVIOUS_BEAT}\n", - "Beat: {BEAT}\n", - "\n", - "Character names: GABRIEL CLARKE, SEYMON EVANS, HENRY FORSYTHE\"\"\"\n", - "\n", - "dialogs = []\n", - "for idx, beat_info in enumerate(story_beats):\n", - "\n", - " if idx == 0:\n", - " previous_beat = ''\n", - " else:\n", - " previous_beat = story_beats[idx-1]['beat']\n", - "\n", - " prompt = dialog_prompt.format(PLACE_NAME=beat_info['place'], \n", - " PLACE_DESCRIPTION=location_descriptions[beat_info['place']],\n", - " LOG_LINE=log_line, \n", - " CHARACTER_DESCRIPTIONS=character_descriptions_prompt_section,\n", - " PLOT_ELEMENT=beat_info['plot_element'],\n", - " PREVIOUS_BEAT=previous_beat,\n", - " BEAT = beat_info['beat'])\n", - " \n", - " dialog = generate(prompt, model=\"command\", num_generations=1, temperature=2, max_tokens=500)['generation']\n", - " print(\"-\"*100)\n", - " print(\"PROMPT:\\n\",prompt)\n", - " print(\"DIALOG:\\n\",dialog.values[0])\n", - " dialogs.append(dialog)\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Cdk2VNZ7opq-" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Chunking_strategies.ipynb b/notebooks/guides/Chunking_strategies.ipynb index 26ce93e6..fa44fbdd 100644 --- a/notebooks/guides/Chunking_strategies.ipynb +++ b/notebooks/guides/Chunking_strategies.ipynb @@ -1,1135 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "source": [ - "*![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)*" - ], - "metadata": { - "id": "KA0rmEN-XNsd" - } - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "F_jICWZXSVA8" - }, - "outputs": [], - "source": [ - "%%capture\n", - "!pip install cohere\n", - "!pip install -qU langchain-text-splitters\n", - "!pip install llama-index-embeddings-cohere\n", - "!pip install llama-index-postprocessor-cohere-rerank" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "A1Q6ki-iRL4g" - }, - "outputs": [], - "source": [ - "import requests\n", - "from typing import List\n", - "\n", - "from bs4 import BeautifulSoup\n", - "\n", - "import cohere\n", - "from getpass import getpass\n", - "from IPython.display import HTML, display\n", - "\n", - "from langchain_text_splitters import CharacterTextSplitter\n", - "from langchain_text_splitters import RecursiveCharacterTextSplitter\n", - "\n", - "from llama_index.core import Document\n", - "from llama_index.embeddings.cohere import CohereEmbedding\n", - "from llama_index.postprocessor.cohere_rerank import CohereRerank\n", - "from llama_index.core import VectorStoreIndex, ServiceContext" - ] - }, - { - "cell_type": "code", - "source": [ - "# Set up Cohere client\n", - "co_model = 'command-r'\n", - "co_api_key = getpass(\"Enter Cohere API key: \")\n", - "co = cohere.Client(api_key=co_api_key)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "wznWW57-BAML", - "outputId": "56de0fa7-7321-4718-8133-8740d405e78b" - }, - "execution_count": null, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Enter Cohere API key: ··········\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "# Introduction\n", - "\n", - "Chunking is an essential component of any RAG-based system. This cookbook aims to demonstrate how different chunking strategies affect the results of LLM-generated output. There are multiple considerations that need to be taken into account when designing chunking strategy. Therefore, we begin by providing a framework for these strategies and then jump into a practical example. We will focus our example on transcript calls, which create a unique challenge because of their rich content and the change of people speaking throughout the text.\n", - "\n", - "# Table of content\n", - "\n", - "1. [Chunking Strategies Framework](#framework)\n", - "2. [Getting started](#getting-started)\n", - "3. [Example 1: Chunking using content-independent strategies](#example-1)\n", - "4. [Example 2: Chunking using content-dependent strategies](#example-2)\n", - "5. [Discussion](#discussion)" - ], - "metadata": { - "id": "pIJH7u4dL7mZ" - } - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "# Chunking Strategies Framework\n", - "\n", - "## Document splitting\n", - "\n", - "By document splitting, we mean deciding on the conditions under which we will break the text. At this stage, we should ask, *\"Are there any parts of consecutive text we want to ensure we do not break?\"*. If the answer is \"no\", then, the content-independent splitting strategies are helpful. On the other hand, in scenarios like transcripts or meeting notes, we probably would like to keep the content of one speaker together, which might require us to deploy content-dependent strategies.\n", - "\n", - "### Content-independent splitting strategies\n", - "\n", - "We split the document based on some content-independent conditions, among the most popular ones are:\n", - "- splitting by the number of characters,\n", - "- splitting by sentence,\n", - "- splitting by a given character, for example, `\\n` for paragraphs.\n", - "\n", - "The advantage of this scenario is that we do not need to make any assumptions about the text. However, some considerations remain, like whether we want to preserve some semantic structure, for example, sentences or paragraphs. Sentence splitting is better suited if we are looking for small chunks to ensure accuracy. Conversely, paragraphs preserve more context and might be more useful in open-ended questions.\n", - "\n", - "### Content-dependent splitting strategies\n", - "\n", - "On the other hand, there are scenarios in which we care about preserving some text structure. Then, we develop custom splitting strategies based on the document's content. A prime example is call transcripts. In such scenarios, we aim to ensure that one person's speech is fully contained within a chunk.\n", - "\n", - "## Creating chunks from the document splits\n", - "\n", - "After the document is split, we need to decide on the desired **size** of our chunks (the split only defines how we break the document, but we can create bigger chunks from multiple splits).\n", - "\n", - "Smaller chunks support more accurate retrieval. However, they might lack context. On the other hand, larger chunks offer more context, but they reduce the effectiveness of the retrieval. It is important to experiment with different settings to find the optimal balance.\n", - "\n", - "## Overlapping chunks\n", - "\n", - "Overlapping chunks is a useful technique to have in the toolbox. Especially when we employ content-independent splitting strategies, it helps us mitigate some of the pitfalls of breaking the document without fully understanding the text. Overlapping guarantees that there is always some buffer between the chunks, and even if an important piece of information might be split in the original splitting strategy, it is more probable that the full information will be captured in the next chunk. The disadvantage of this method is that it creates redundancy." - ], - "metadata": { - "id": "Yuse4PR_9saD" - } - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "# Getting started\n", - "\n", - "Designing a robust chunking strategy is as much a science as an art. There are no straightforward answers; the most effective strategies often emerge through experimentation. Therefore, let's dive straight into an example to illustrate this concept.\n", - "\n", - "\n", - "\n", - "\n", - "\n" - ], - "metadata": { - "id": "eKdDiizv_QtR" - } - }, - { - "cell_type": "markdown", - "source": [ - "## Utils" - ], - "metadata": { - "id": "1ivVb-oMCAaz" - } - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "Ky0792b5SmqP", - "outputId": "a74aae04-48c4-420a-b500-a513f51a6731" - }, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - } - ], - "source": [ - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)\n", - "\n", - "set_css()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "8UjX34fDWfSS", - "outputId": "44641063-7c3c-4162-81f0-ac983481af1a" - }, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - } - ], - "source": [ - "def insert_citations(text: str, citations: List[dict]):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - " offset = 0\n", - " # Process citations in the order they were provided\n", - " for citation in citations:\n", - " # Adjust start/end with offset\n", - " start, end = citation['start'] + offset, citation['end'] + offset\n", - " placeholder = \"[\" + \", \".join(doc[4:] for doc in citation[\"document_ids\"]) + \"]\"\n", - " # ^ doc[4:] removes the 'doc_' prefix, and leaves the quoted document\n", - " modification = f'{text[start:end]} {placeholder}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " return text\n", - "\n", - "def build_retreiver(documents, top_n=5):\n", - " # Create the embedding model\n", - " embed_model = CohereEmbedding(\n", - " cohere_api_key=co_api_key,\n", - " model_name=\"embed-english-v3.0\",\n", - " input_type=\"search_query\",\n", - " )\n", - "\n", - " # Load the data, for this example data needs to be in a test file\n", - " index = VectorStoreIndex.from_documents(\n", - " documents,\n", - " embed_model=embed_model\n", - " )\n", - "\n", - " # Create a cohere reranker\n", - " cohere_rerank = CohereRerank(api_key=co_api_key)\n", - "\n", - " # Create the retriever\n", - " retriever = index.as_retriever(node_postprocessors=[cohere_rerank], similarity_top_k=top_n)\n", - " return retriever" - ] - }, - { - "cell_type": "markdown", - "source": [ - "##Load the data\n", - "\n", - "In this example we will work with an 2023 Tesla earning call transcript." - ], - "metadata": { - "id": "AUXfWr13CyFE" - } - }, - { - "cell_type": "code", - "source": [ - "# Get all investement memos (19) in bvp repository\n", - "url_path = 'https://www.fool.com/earnings/call-transcripts/2024/01/24/tesla-tsla-q4-2023-earnings-call-transcript/'\n", - "response = requests.get(url_path)\n", - "soup = BeautifulSoup(response.content, 'html.parser')\n", - "\n", - "target_divs = soup.find(\"div\", {\"class\": \"article-body\"}).find_all(\"p\")[2:]\n", - "print('Length of the script: ', len(target_divs))\n", - "\n", - "print()\n", - "print('Example of processed text:')\n", - "text = '\\n\\n'.join([div.get_text() for div in target_divs])\n", - "print(text[:500])" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 180 - }, - "id": "NeSVXvO_e1DH", - "outputId": "5042cbef-d3e7-4a78-b921-aa269e60570e" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Length of the script: 385\n", - "\n", - "Example of processed text:\n", - "Martin Viecha\n", - "\n", - "Good afternoon, everyone, and welcome to Tesla's fourth-quarter 2023 Q&A webcast. My name is Martin Viecha, VP of investor relations, and I'm joined today by Elon Musk, Vaibhav Taneja, and a number of other executives. Our Q4 results were announced at about 3 p.m. Central Time in the update that we published at the same link as this webcast.\n", - "\n", - "During this call, we will discuss our business outlook and make forward-looking statements. These comments are based on our predictions and \n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "# Example 1: Chunking using content-independent strategies\n", - "\n", - "Let's begin with a simple content-independent strategy. We aim to answer the question, `Who mentiones Jonathan Nolan?`. We chose this question as it is easily verifiable and it requires to identify the speaker. The answer to this questions can be found in the dowloaded transcscript, here is the relevant passage:\n", - "\n", - "\n", - "```\n", - "Elon Musk -- Chief Executive Officer and Product Architect\n", - "\n", - "Yeah. The creators of Westworld, Jonathan Nolan, Lisa Joy Nolan, are friends -- are all friends of mine, actually. And I invited them to come see the lab and, like, well, come see it, hopefully soon. It's pretty well -- especially the sort of subsystem test stands where you've just got like one leg on a test stand just doing repetitive exercises and one arm on a test stand pretty well.\n", - "```" - ], - "metadata": { - "id": "UhlsdHPmhoMT" - } - }, - { - "cell_type": "code", - "source": [ - "# Define the question\n", - "question = \"Who mentiones Jonathan Nolan?\"" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "P4xy_imcEj10", - "outputId": "a2904832-788a-41d8-ae10-c9049677547c" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "In this case, we are more concerned about accuracy than a verbose answer, so we **focus on keeping the chunks small**. To ensure that the desired size is not exceeded, we will randomly split the list of characters, in our case `[\"\\n\\n\", \"\\n\", \" \", \"\"]`.\n", - "\n", - "We employ the `RecursiveCharacterTextSplitter` from [LangChain](https://python.langchain.com/docs/get_started/introduction) for this task." - ], - "metadata": { - "id": "cy8IXqA4H2ET" - } - }, - { - "cell_type": "code", - "source": [ - "# Define the chunking function\n", - "def get_chunks(text, chunk_size, chunk_overlap):\n", - " text_splitter = RecursiveCharacterTextSplitter(\n", - " chunk_size=chunk_size,\n", - " chunk_overlap=chunk_overlap,\n", - " length_function=len,\n", - " is_separator_regex=False,\n", - " )\n", - "\n", - " documents = text_splitter.create_documents([text])\n", - " documents = [Document(text=doc.page_content) for doc in documents]\n", - "\n", - " return documents" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "xy69i0i7xIy1", - "outputId": "08c4a509-bab3-4f9b-9c98-cb2ad71ee59b" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "### Experiment 1 - no overlap\n", - "In our first experiment we define the chunk size as 500 and allow **no overlap between consecutive chunks**.\n", - "\n", - "Subsequently, we implement the standard RAG pipeline. We feed the chunks into a retriever, selecting the `top_n` most pertinent to the query chunks, and supply them as context to the generation model. Throughout this pipeline, we leverage [Cohere's endpoints](https://docs.cohere.com/reference/about), specifically, `co.embed`, `co.re.rank`, and finally, `co.chat`." - ], - "metadata": { - "id": "4YXsoVMMGCnC" - } - }, - { - "cell_type": "code", - "source": [ - "chunk_size = 500\n", - "chunk_overlap = 0\n", - "documents = get_chunks(text, chunk_size, chunk_overlap)\n", - "retriever = build_retreiver(documents)\n", - "\n", - "source_nodes = retriever.retrieve(question)\n", - "print('Number of docuemnts: ',len(source_nodes))\n", - "source_nodes= [{\"text\": ni.get_content()}for ni in source_nodes]\n", - "\n", - "\n", - "response = co.chat(\n", - " message=question,\n", - " documents=source_nodes,\n", - " model=co_model\n", - ")\n", - "response = response\n", - "print(response.text)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 73 - }, - "id": "HAG0ybf8xbcB", - "outputId": "6a5f2b54-f4aa-4674-8684-7601d47bcd10" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Number of docuemnts: 5\n", - "An unknown speaker mentions Jonathan Nolan in a conversation about the creators of Westworld. They mention that Jonathan Nolan and Lisa Joy Nolan are friends of theirs, and that they have invited them to visit the lab.\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "A notable feature of [`co.chat`](https://docs.cohere.com/reference/chat) is its ability to ground the model's answer within the context. This means we can identify which chunks were used to generate the answer. Below, we show the previous output of the model together with the citation reference, where `[num]` represents the index of the chunk." - ], - "metadata": { - "id": "zbXfrN125I-i" - } - }, - { - "cell_type": "code", - "source": [ - "print(insert_citations(response.text, response.citations))" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 55 - }, - "id": "pyLy-OwBGcut", - "outputId": "0e4a63b0-2cf2-4021-8cb7-4292a7813644" - }, - "execution_count": null, - "outputs": [ + "cells": [ { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Chunking_strategies.ipynb." ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "An unknown speaker [0] mentions Jonathan Nolan in a conversation about the creators of Westworld. [0] They mention that Jonathan Nolan and Lisa Joy Nolan [0] are friends [0] of theirs, and that they have invited them to visit the lab. [0]\n" - ] } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Indeed, by printing the cited chunk, we can validate that the text was divided so that the generation model could not provide the correct response. Notably, the speaker's name is not included in the context, which is why the model refes to an `unknown speaker`." - ], - "metadata": { - "id": "X07wAmqLG3Mf" - } - }, - { - "cell_type": "code", - "source": [ - "print(source_nodes[0])" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 55 - }, - "id": "StbIRRQWGei3", - "outputId": "856b8735-661a-4bdb-e859-67082a56f6af" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "{'text': \"Yeah. The creators of Westworld, Jonathan Nolan, Lisa Joy Nolan, are friends -- are all friends of mine, actually. And I invited them to come see the lab and, like, well, come see it, hopefully soon. It's pretty well -- especially the sort of subsystem test stands where you've just got like one leg on a test stand just doing repetitive exercises and one arm on a test stand pretty well.\\n\\nYeah.\\n\\nUnknown speaker\\n\\nWe're not entering Westworld anytime soon.\"}\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ] - }, - { - "cell_type": "markdown", - "source": [ - "### Experiment 2 - allow overlap\n", - "In the previous experiment, we discovered that the chunks were generated in a way that made it impossible to generate the correct answer. The name of the speaker was not included in the relevant chunk.\n", - "\n", - "Therefore, this time to mitigate this issue, we **allow for overlap between consecutive chunks**." - ], - "metadata": { - "id": "Iu91q_IhHZoh" - } - }, - { - "cell_type": "code", - "source": [ - "chunk_size = 500\n", - "chunk_overlap = 100\n", - "documents = get_chunks(text,chunk_size, chunk_overlap)\n", - "retriever = build_retreiver(documents)\n", - "\n", - "source_nodes = retriever.retrieve(question)\n", - "print('Number of docuemnts: ',len(source_nodes))\n", - "source_nodes= [{\"text\": ni.get_content()}for ni in source_nodes]\n", - "\n", - "\n", - "response = co.chat(\n", - " message=question,\n", - " documents=source_nodes,\n", - " model=co_model\n", - ")\n", - "response = response\n", - "print(response.text)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 73 - }, - "id": "Za9HW8z_xog_", - "outputId": "f3722475-44f2-40b1-c3d7-07cf603b4994" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Number of docuemnts: 5\n", - "Elon Musk mentions Jonathan Nolan. Musk is the CEO and Product Architect of the lab that resembles the set of Westworld, a show created by Jonathan Nolan and Lisa Joy Nolan.\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Again, we can print the text along with the citations." - ], - "metadata": { - "id": "G1Ia5b-q5UKg" - } - }, - { - "cell_type": "code", - "source": [ - "print(insert_citations(response.text, response.citations))" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 55 - }, - "id": "yluOCX4I9WcV", - "outputId": "c5bb53b7-8029-4f4c-b48c-fc2d5f4df466" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Elon Musk [0] mentions Jonathan Nolan. Musk is the CEO and Product Architect [0] of the lab [0] that resembles the set of Westworld [0], a show created by Jonathan Nolan [0] and Lisa Joy Nolan. [0]\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "And investigate the chunks which were used as context to answer the query.\n", - "\n" - ], - "metadata": { - "id": "pMleTdDCH22o" - } - }, - { - "cell_type": "code", - "source": [ - "source_nodes[0]" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 106 - }, - "id": "WdQP7ghK4GLx", - "outputId": "ab3bd918-5c7b-4411-da3a-654b87b8fa0c" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "{'text': \"Yeah, not the best reference.\\n\\nElon Musk -- Chief Executive Officer and Product Architect\\n\\nYeah. The creators of Westworld, Jonathan Nolan, Lisa Joy Nolan, are friends -- are all friends of mine, actually. And I invited them to come see the lab and, like, well, come see it, hopefully soon. It's pretty well -- especially the sort of subsystem test stands where you've just got like one leg on a test stand just doing repetitive exercises and one arm on a test stand pretty well.\\n\\nYeah.\"}" - ] - }, - "metadata": {}, - "execution_count": 14 - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "As we can see, by allowing overlap we managed to get the correct answer to our question." - ], - "metadata": { - "id": "ppL1npzNLSpQ" - } - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "# Example 2: Chunking using content-dependent strategies\n", - "\n", - "In the previous experiment, we provided an example of how using or not using overlapping can affect a model's performance, particularly in documents such as call transcripts where subjects change frequently. Ensuring that each chunk contains all relevant information is crucial. While we managed to retrieve the correct information by introducing overlapping into the chunking strategy, this might still not be the optimal approach for transcripts with longer speaker speeches.\n", - "\n", - "Therefore, in this experiment, we will adopt a content-dependent strategy.\n", - "\n", - "Our proposed approach entails segmenting the text whenever a new speaker begins speaking, which requires preprocessing the text accordingly." - ], - "metadata": { - "id": "1IaTId1GzwMQ" - } - }, - { - "cell_type": "markdown", - "source": [ - "### Preprocess the text\n", - "\n", - "Firstly, let's observe that in the HTML text, each time the speaker changes, their name is enclosed within `

Name

` tags, denoting the speaker's name in bold letters.\n", - "\n", - "To facilitate our text chunking process, we'll use the above observation and introduce a unique character sequence `###`, which we'll utilize as a marker for splitting the text." - ], - "metadata": { - "id": "RqRTHKg204yV" - } - }, - { - "cell_type": "code", - "source": [ - "print('HTML text')\n", - "print(target_divs[:3])\n", - "print('-------------------\\n')\n", - "\n", - "text_custom = []\n", - "for div in target_divs:\n", - " if div.get_text() is None:\n", - " continue\n", - " if str(div).startswith('

'):\n", - " text_custom.append(f'### {div.get_text()}')\n", - " else:\n", - " text_custom.append(div.get_text())\n", - "\n", - "text_custom = '\\n'.join(text_custom)\n", - "print(text_custom[:500])" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 162 - }, - "id": "nWG44cFCzvwI", - "outputId": "fc03be90-81d8-4992-9784-aac124ff33ed" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "HTML text\n", - "[

Martin Viecha

,

Good afternoon, everyone, and welcome to Tesla's fourth-quarter 2023 Q&A webcast. My name is Martin Viecha, VP of investor relations, and I'm joined today by Elon Musk, Vaibhav Taneja, and a number of other executives. Our Q4 results were announced at about 3 p.m. Central Time in the update that we published at the same link as this webcast.

,

During this call, we will discuss our business outlook and make forward-looking statements. These comments are based on our predictions and expectations as of today. Actual events or results could differ materially due to a number of risks and uncertainties, including those mentioned in our most recent filings with the SEC. [Operator instructions] But before we jump into Q&A, Elon has some opening remarks.

]\n", - "-------------------\n", - "\n", - "### Martin Viecha\n", - "Good afternoon, everyone, and welcome to Tesla's fourth-quarter 2023 Q&A webcast. My name is Martin Viecha, VP of investor relations, and I'm joined today by Elon Musk, Vaibhav Taneja, and a number of other executives. Our Q4 results were announced at about 3 p.m. Central Time in the update that we published at the same link as this webcast.\n", - "During this call, we will discuss our business outlook and make forward-looking statements. These comments are based on our predictions an\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "In this approach, we prioritize splitting the text at the appropriate separator, `###.` To ensure this behavior, we'll use `CharacterTextSplitter` from [LangChain](https://python.langchain.com/docs/get_started/introduction), guaranteeing such behavior. From our analysis of the text and the fact that we aim to preserve entire speaker speeches intact, we anticipate that most of them will exceed a length of 500. Hence, we'll increase the chunk size to 1000." - ], - "metadata": { - "id": "6CU0gC4y7Rvv" - } - }, - { - "cell_type": "code", - "source": [ - "separator = \"###\"\n", - "chunk_size = 1000\n", - "chunk_overlap = 0\n", - "\n", - "text_splitter = CharacterTextSplitter(\n", - " separator = separator,\n", - " chunk_size=chunk_size,\n", - " chunk_overlap=chunk_overlap,\n", - " length_function=len,\n", - " is_separator_regex=False,\n", - ")\n", - "\n", - "documents = text_splitter.create_documents([text_custom])\n", - "documents = [Document(text=doc.page_content) for doc in documents]\n", - "\n", - "retriever = build_retreiver(documents)\n", - "\n", - "source_nodes = retriever.retrieve(question)\n", - "print('Number of docuemnts: ',len(source_nodes))\n", - "source_nodes= [{\"text\": ni.get_content()}for ni in source_nodes]\n", - "\n", - "response = co.chat(\n", - " message=question,\n", - " documents=source_nodes,\n", - " model=co_model\n", - ")\n", - "response = response\n", - "print(response.text)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 231 - }, - "id": "ZFvigf6Szzev", - "outputId": "99a9e061-7cad-4308-e809-1cd38ed443e1" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "WARNING:langchain_text_splitters.base:Created a chunk of size 5946, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 4092, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 1782, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 1392, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 2046, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 1152, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 1304, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 1295, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 2090, which is longer than the specified 1000\n", - "WARNING:langchain_text_splitters.base:Created a chunk of size 1251, which is longer than the specified 1000\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Number of docuemnts: 5\n", - "Elon Musk mentions Jonathan Nolan. Musk is friends with the creators of Westworld, Jonathan Nolan and Lisa Joy Nolan.\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Below we validate the answer using citations." - ], - "metadata": { - "id": "TH62W6ek7Iom" - } - }, - { - "cell_type": "code", - "source": [ - "print(insert_citations(response.text, response.citations))" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 55 - }, - "id": "1wPQZRMr1aX2", - "outputId": "939f6b3a-d969-487e-83d9-f64074a538e6" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Elon Musk [0] mentions Jonathan Nolan. [0] Musk is friends [0] with the creators of Westworld [0], Jonathan Nolan [0] and Lisa Joy Nolan. [0]\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "source_nodes[0]" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 142 - }, - "id": "D9vm42za2uhE", - "outputId": "eeaa7e51-19b7-4ac5-a08a-5e9f603f40fd" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "text/html": [ - "\n", - " \n", - " " - ] - }, - "metadata": {} - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "{'text': \"Elon Musk -- Chief Executive Officer and Product Architect\\nYeah. The creators of Westworld, Jonathan Nolan, Lisa Joy Nolan, are friends -- are all friends of mine, actually. And I invited them to come see the lab and, like, well, come see it, hopefully soon. It's pretty well -- especially the sort of subsystem test stands where you've just got like one leg on a test stand just doing repetitive exercises and one arm on a test stand pretty well.\\nYeah.\\n### Unknown speaker\\nWe're not entering Westworld anytime soon.\\n### Elon Musk -- Chief Executive Officer and Product Architect\\nRight, right. Yeah. I take -- take safety very very seriously.\\n### Martin Viecha\\nThank you. The next question from Norman is: How many Cybertruck orders are in the queue? And when do you anticipate to be able to fulfill existing orders?\"}" - ] - }, - "metadata": {}, - "execution_count": 18 - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "# Discussion\n", - "\n", - "This example highlights some of the concerns that arise when implementing chunking strategies. This is a field of ongoing research, and many exciting surveys have been published in domain-specific applications. For example, this [paper](https://arxiv.org/pdf/2402.05131.pdf) examines different chunking strategies in finance." - ], - "metadata": { - "id": "uhTrS95m9x-7" - } - } - ], - "metadata": { - "colab": { - "provenance": [], - "collapsed_sections": [ - "1ivVb-oMCAaz" - ] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/guides/Cohere_RAG_Inline_Citation_Markdown_Generator.ipynb b/notebooks/guides/Cohere_RAG_Inline_Citation_Markdown_Generator.ipynb index b0a467df..59efef53 100644 --- a/notebooks/guides/Cohere_RAG_Inline_Citation_Markdown_Generator.ipynb +++ b/notebooks/guides/Cohere_RAG_Inline_Citation_Markdown_Generator.ipynb @@ -1,259 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "wHnR_V_p4Cwz" - }, - "outputs": [], - "source": [ - "pip install --quiet cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "cMsPZiQO75Ae" - }, - "source": [ - "# Cohere RAG Inline Citation Markdown Generator\n", - "\n", - "This helper function generates a formatted response with citations in both markdown and HTML formats. The function can be customized to change the look and feel of the output." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "BGoWF1otnpu3" - }, - "source": [ - "### Parameters:\n", - "\n", - "non_cited_response (str): The response text without citations.\n", - "citations (list): List of citation objects. Each citation object should have the attributes start, end, text, and document_ids.\n", - "doc_url_mapping (dict): A dictionary mapping document IDs to their URLs.\n", - "text_color (str, optional): The color for the cited text. Default is \"SkyBlue\".\n", - "link_color (str, optional): The color for the links. Default is \"green\".\n", - "font_family (str, optional): The font family for the response text. Default is \"Arial\".\n", - "line_height (str, optional): The line height for the response text. Default is \"1.6\".\n", - "Returns:\n", - "\n", - "A tuple containing:\n", - "markdown_response (str): The response formatted as markdown.\n", - "html_response (str): The response formatted as HTML.\n", - "Markdown Formatting:\n", - "\n", - "Cited Text: Cited text within the response is styled using tags with the specified text_color.\n", - "Links: Links to the cited documents are created using tags with the specified link_color.\n", - "Bullet Points: Bullet points are preserved by converting them to
  • tags.\n", - "Line Breaks: Line breaks are preserved by converting \\n characters to
    tags." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "oeUTHF2EczwG" - }, - "outputs": [], - "source": [ - "import re\n", - "def generate_response(non_cited_response, citations, doc_url_mapping, text_color=\"SkyBlue\", link_color=\"green\", font_family=\"Arial\", line_height=\"1.6\"):\n", - " \"\"\"\n", - " Generate a formatted response with citations in markdown and HTML.\n", - "\n", - " Parameters:\n", - " non_cited_response (str): The response text without citations.\n", - " citations (list): List of citation objects with start, end, text, and document_ids attributes.\n", - " doc_url_mapping (dict): Mapping of document IDs to URLs.\n", - " text_color (str, optional): Color for the cited text. Default is \"SkyBlue\".\n", - " link_color (str, optional): Color for the links. Default is \"green\".\n", - " font_family (str, optional): Font family for the response text. Default is \"Arial\".\n", - " line_height (str, optional): Line height for the response text. Default is \"1.6\".\n", - "\n", - " Returns:\n", - " tuple: A tuple containing the markdown response and the HTML response.\n", - " \"\"\"\n", - " markdown_response = non_cited_response\n", - " offset = 0\n", - " for citation in citations:\n", - " start = citation.start + offset\n", - " end = citation.end + offset\n", - " text = citation.text\n", - " doc_links = \", \".join([f'
    {int(doc_id.split(\"_\")[1])}' for doc_id in citation.document_ids])\n", - " replacement = f'{text} [{doc_links}]'\n", - " markdown_response = markdown_response[:start] + replacement + markdown_response[end:]\n", - " offset += len(replacement) - len(text)\n", - "\n", - " # Ensure markdown elements like bullet points and new lines are preserved\n", - " markdown_response = markdown_response.replace(\"\\n\", \"
    \")\n", - " bullet_points = re.findall(r'(\\s*[-*]\\s.*)', markdown_response)\n", - " for point in bullet_points:\n", - " markdown_response = markdown_response.replace(point, f\"
  • {point.strip('-* ')}
  • \")\n", - "\n", - " html_response = f\"\"\"\n", - " \n", - "

    {markdown_response}

    \n", - " \"\"\"\n", - "\n", - " return markdown_response, html_response" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2-voPNnsdeWA" - }, - "source": [ - "# Initiate Cohere Stream Chat with Web Connector\n", - "\n", - "Try it out by running the cell below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 332 - }, - "id": "wj28uewb2xII", - "outputId": "f866ce11-c84a-4605-f37c-432392451bbd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Streamed chat response:\n", - "Photosynthesis is the process by which plants, algae, and some types of bacteria use sunlight, water, and carbon dioxide to create oxygen and energy in the form of sugar. \n", - "\n", - "During photosynthesis, plants take in carbon dioxide and water from the air and soil. Within the plant cell, the water is oxidised, meaning it loses electrons, while the carbon dioxide is reduced, meaning it gains electrons. This transforms the water into oxygen and the carbon dioxide into glucose. The plant then releases the oxygen back into the air and stores energy within the glucose molecules.\n", - "\n", - "The process can be broken down into two stages: light-dependent reactions and light-independent reactions. Light-dependent reactions take place within the thylakoid membrane and require a steady stream of sunlight. The light-independent stage, also known as the Calvin cycle, takes place in the stroma and does not require light.Markdown Response:\n" - ] - }, + "cells": [ { - "data": { - "text/html": [ - "\n", - " \n", - "

    Photosynthesis is the process by which plants [0, 1, 2, 3, 4, 5], algae [0, 1, 2, 3, 4, 5], and some types of bacteria [0, 1, 2, 3, 4] use sunlight [0, 2, 3, 4, 5], water [0, 1, 2, 3, 5], and carbon dioxide [0, 1, 2, 3, 5] to create oxygen [0, 1, 2, 3, 4, 5] and energy [0, 1, 2, 3, 4, 5] in the form of sugar. [0, 1, 2, 3, 4, 5]

    During photosynthesis, plants take in carbon dioxide [0, 1, 2, 3, 5] and water [0, 1, 2, 3, 5] from the air and soil. [0, 2] Within the plant cell, the water is oxidised [0, 1], meaning it loses electrons [0, 1], while the carbon dioxide is reduced [0, 1], meaning it gains electrons. [0, 1] This transforms the water into oxygen [0, 1, 2, 3, 5] and the carbon dioxide into glucose. [0, 1, 2, 3, 5] The plant then releases the oxygen back into the air [0, 2] and stores energy within the glucose molecules. [0, 1, 2]

    The process can be broken down into two stages: light-dependent reactions [0, 1, 3, 4, 5] and light-independent reactions. [0, 1, 3, 4, 5] Light-dependent reactions take place within the thylakoid membrane [0, 3, 4, 5] and require a steady stream of sunlight. [0] The light-independent stage, also known as the Calvin cycle [0, 1, 3, 4, 5], takes place in the stroma [0, 3, 4, 5] and does not require light. [0, 1]

    \n", - " " - ], - "text/plain": [ - "" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Cohere_RAG_Inline_Citation_Markdown_Generator.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" } - ], - "source": [ - "import cohere\n", - "from IPython.display import HTML, display\n", - "from google.colab import userdata\n", - "COHERE_API_KEY = userdata.get('COHERE_API_KEY')\n", - "\n", - "\n", - "# Initialize Cohere client\n", - "co = cohere.Client(api_key=COHERE_API_KEY)\n", - "\n", - "query = \"Explain the process of photosynthesis\"\n", - "\n", - "# Call Cohere Chat with Streamed Response\n", - "stream = co.chat_stream(\n", - " model='command-r-plus',\n", - " message=query,\n", - " temperature=0,\n", - " chat_history=[],\n", - " prompt_truncation='AUTO',\n", - " connectors=[{\"id\":\"web-search\"}]\n", - ")\n", - "\n", - "non_cited_response = \"\"\n", - "citations = []\n", - "documents = []\n", - "\n", - "print(\"Streamed chat response:\")\n", - "for event in stream:\n", - " # Handle event types\n", - " if event.event_type == \"text-generation\":\n", - " non_cited_response += event.text\n", - " print(event.text, end='')\n", - " if event.event_type == \"citation-generation\":\n", - " citations.extend(event.citations)\n", - " if event.event_type == \"stream-end\":\n", - " documents = event.response.documents\n", - "\n", - "doc_url_mapping = {doc['id'].split(\"_\")[1]: doc['url'] for doc in documents}\n", - "\n", - "# Call helper function\n", - "markdown_response, html_response = generate_response(non_cited_response, citations, doc_url_mapping)\n", - "\n", - "# Save markdown file\n", - "with open(\"response.md\", \"w\") as file:\n", - " file.write(markdown_response)\n", - "\n", - "print(\"Markdown Response:\")\n", - "\n", - "# Display the generated HTML response\n", - "display(HTML(html_response))" - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [ - "cMsPZiQO75Ae" - ], - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Creating_a_QA_bot_from_technical_documentation.ipynb b/notebooks/guides/Creating_a_QA_bot_from_technical_documentation.ipynb index 04da7d2b..e664a718 100644 --- a/notebooks/guides/Creating_a_QA_bot_from_technical_documentation.ipynb +++ b/notebooks/guides/Creating_a_QA_bot_from_technical_documentation.ipynb @@ -1,820 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "d5-gWUEqG4-T" - }, - "source": [ - "# Creating a QA bot from technical documentation\n", - "\n", - "This notebook demonstrates how to create a chatbot (single turn) that answers user questions based on technical documentation made available to the model.\n", - "\n", - "We use the `aws-documentation` dataset ([link](https://github.com/siagholami/aws-documentation/tree/main)) for representativeness. This dataset contains 26k+ AWS documentation pages, preprocessed into 120k+ chunks, and 100 questions based on real user questions.\n", - "\n", - "We proceed as follows:\n", - "1. Embed the AWS documentation into a vector database using Cohere's `embed` model and `llama_index`\n", - "2. Build a retriever using Cohere's `rerank` for better accuracy, lower inference costs and lower latency\n", - "3. Create model answers for the eval set of 100 questions using Cohere's `command-r` model\n", - "4. Evaluate the generated answers against the golden answers of the eval set using `command-r+`, Cohere's most capable generative model as a judge\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "JY2wHt3AG7X0" - }, - "source": [ - "## Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "wajdtJmNG0Uy" - }, - "outputs": [], - "source": [ - "%%capture\n", - "!pip install cohere datasets llama_index llama-index-llms-cohere llama-index-embeddings-cohere" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "kJ_9dyJxG0zA" - }, - "outputs": [], - "source": [ - "import os\n", - "import random\n", - "import cohere\n", - "import datasets\n", - "from llama_index.core import StorageContext, VectorStoreIndex, load_index_from_storage\n", - "from llama_index.core.schema import TextNode\n", - "from llama_index.embeddings.cohere import CohereEmbedding\n", - "import pandas as pd\n", - "\n", - "import json\n", - "from pathlib import Path\n", - "from tqdm import tqdm\n", - "from typing import List\n", - "\n", - "from dotenv import load_dotenv\n", - "load_dotenv()\n", - "\n", - "import warnings\n", - "warnings.filterwarnings('ignore')\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "EECuI7OdIy8M" - }, - "outputs": [], - "source": [ - "# Set up Cohere client\n", - "api_key = \"\" # \n", - "# Setup Cohere client with experiment logging disabled (for JSON structured output)\n", - "co = cohere.Client(api_key=api_key, log_warning_experimental_features=False)\n", - "\n", - "stub_len = len(\"https://github.com/siagholami/aws-documentation/tree/main/documents/\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "u_UfRVoBIHmD" - }, - "source": [ - "## 1. Embed technical documentation and store as vector database\n", - "\n", - "* Load the dataset from HuggingFace\n", - "* Compute embeddings using Cohere's implementation in LlamaIndex, `CohereEmbedding`\n", - "* Store inside a vector database, `VectorStoreIndex` from LlamaIndex\n", - "\n", - "\n", - "Because this process is lengthy (~2h for all documents on a MacBookPro), we store the index to disc for future reuse. We also provide an option to to index only a subset of the data. This can be enabled by setting the value of the variable `USE_SNIPPET` to `True` in the block below. If you use this option, bear in mind that many documents will become unavailable to the model and, as a result, performance will suffer!" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "NoXezqvoG02f", - "outputId": "cabfccf3-3c15-4955-dab9-e2734fa65a7d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Dataset({\n", - " features: ['id', 'text', 'source', 'short_source'],\n", - " num_rows: 187147\n", - "})\n" - ] - } - ], - "source": [ - "# Set to true if you want to use only a small sample of the training data (~10000) rows\n", - "USE_SNIPPET = True\n", - "\n", - "data = datasets.load_dataset(\"sauravjoshi23/aws-documentation-chunked\")\n", - "# The data comes prechunked. We keep the data as-is in this notebook.\n", - "# For more information on optimal preprocessing strategies, please check\n", - "# our other notebooks!\n", - "\n", - "# Add a column with the shortened source. This will be used to cross-reference with the QA Evaluation dataset to ensure\n", - "# that the relevant testing data sources are captured in the smaller samples of data selected\n", - "if USE_SNIPPET:\n", - " data = data.map(lambda x: {\"short_source\": x[\"source\"][stub_len:].replace(\"/doc_source\", \"\")})\n", - "\n", - "# Build a mapping from sample id to index inside data (will be useful for retrieval later)\n", - "map_id2index = {sample[\"id\"]: index for index, sample in enumerate(data[\"train\"])}\n", - "\n", - "print(data[\"train\"])\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To assess the performance of our RAG pipeline, we will also need some QA pairs to validate the answers generated by `command`. The author of the repository above provides 100 QA pairs that we can test the model on. Let's download these questions.\n", - "\n", - "**NOTE**: if you have set `USE_SNIPPET` to `True`, the following block also creates a separate copy of the dataset with documents that the QA pairs test on, so that we can ensure that these particular documents are always included as a subset in the smaller sampled training set." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "# Load data from github\n", - "url = \"https://github.com/siagholami/aws-documentation/blob/main/QA_true.csv?raw=true\"\n", - "qa_pairs = pd.read_csv(url)\n", - "\n", - "# Filters and extracts the rows in the data that correspond with documents that are referenced\n", - "# in the QA pairs test set\n", - "if USE_SNIPPET:\n", - " golden_docs = qa_pairs['Document_True'].tolist()\n", - " golden_doc_data = data.filter(lambda x: x['short_source'] in golden_docs)" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "tvgtKDBTG05h" - }, - "outputs": [], - "source": [ - "# Create index in vector database, and persist it for later reuse\n", - "# Note: this cell takes about ~2h on a MacBookPro\n", - "\n", - "overwrite = False # only compute index if it doesn't exist\n", - "path_index = Path(\".\") / \"aws-documentation_index_cohere\"\n", - "\n", - "# Select Cohere's new `embed-english-v3.0` as the engine to compute embeddings\n", - "embed_model = CohereEmbedding(\n", - " cohere_api_key=api_key,\n", - " model_name=\"embed-english-v3.0\",\n", - ")\n", - " \n", - "if not path_index.exists() or overwrite:\n", - " # Documents are prechunked. Keep them as-is for now\n", - " # -- for indexing on a subset of full dataset --\n", - " if USE_SNIPPET:\n", - " documents = [\n", - " TextNode(\n", - " text=data[\"train\"][index][\"text\"],\n", - " title=data[\"train\"][index][\"source\"][stub_len:],\n", - " id_=data[\"train\"][index][\"id\"],\n", - " ) for index in range(5_000)\n", - " ]\n", - " # Extend the sample of documents with the documents referenced in the QA pairs\n", - " # test set\n", - " documents.extend(\n", - " [\n", - " TextNode(\n", - " text=data[\"text\"],\n", - " title=data[\"source\"][stub_len:],\n", - " id_=data[\"id\"],\n", - " ) for data in golden_doc_data[\"train\"]\n", - " ]\n", - " )\n", - " else:\n", - " documents = [\n", - " # -- for indexing full dataset --\n", - " TextNode(\n", - " text=sample[\"text\"],\n", - " title=sample[\"source\"][stub_len:], # save source minus stub\n", - " id_=sample[\"id\"],\n", - " ) for sample in data[\"train\"]\n", - " ]\n", - " \n", - " # Shuffle nodes in documents\n", - " random.shuffle(documents)\n", - "\n", - " index = VectorStoreIndex(documents, embed_model=embed_model)\n", - " index.storage_context.persist(path_index)\n", - "else:\n", - " storage_context = StorageContext.from_defaults(persist_dir=path_index)\n", - " index = load_index_from_storage(storage_context, embed_model=embed_model)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "sS2yzRwOKHTv" - }, - "source": [ - "## 2. Build a retriever using Cohere's `rerank`\n", - "\n", - "The vector database we built using `VectorStoreIndex` comes with an in-built retriever. We can call that retriever to fetch the top $k$ documents most relevant to the user question with:\n", - "\n", - "```python\n", - "retriever = index.as_retriever(similarity_top_k=top_k)\n", - "```\n", - "\n", - "We recently released [Rerank-3](https://txt.cohere.com/rerank-3/) (April '24), which we can use to improve the quality of retrieval, as well as reduce latency and the cost of inference. To use the retriever with `rerank`, we create a thin wrapper around `index.as_retriever` as follows:" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "Wy_BGGbGG08w" - }, - "outputs": [], - "source": [ - "class RetrieverWithRerank:\n", - " def __init__(self, retriever, api_key):\n", - " self.retriever = retriever\n", - " self.co = cohere.Client(api_key=api_key)\n", - "\n", - " def retrieve(self, query: str, top_n: int):\n", - " # First call to the retriever fetches the closest indices\n", - " nodes = self.retriever.retrieve(query)\n", - " nodes = [\n", - " {\n", - " \"text\": node.node.text,\n", - " \"llamaindex_id\": node.node.id_,\n", - " }\n", - " for node\n", - " in nodes\n", - " ]\n", - " # Call co.rerank to improve the relevance of retrieved documents\n", - " reranked = self.co.rerank(query=query, documents=nodes, model=\"rerank-english-v3.0\", top_n=top_n)\n", - " nodes = [nodes[node.index] for node in reranked.results]\n", - " return nodes\n", - "\n", - "\n", - "top_k = 60 # how many documents to fetch on first pass\n", - "top_n = 20 # how many documents to sub-select with rerank\n", - "\n", - "# Instantiate retriver\n", - "retriever = RetrieverWithRerank(\n", - " index.as_retriever(similarity_top_k=top_k),\n", - " api_key=api_key,\n", - ")\n" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "ODihI1YCG0_5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Deleting an Auto Scaling group will stop and terminate all of your Amazon EC2 instances within that group. \n", - "\n", - "However, you may want to instead stop or terminate your Amazon EC2 instances individually, especially if you no longer need them running. This can be done using alarm actions in CloudWatch.\n", - "\n", - "If you want to remove an Auto Scaling group but keep your instances, you can follow the removal process described in the Amazon EC2 User Guide.\n" - ] - } - ], - "source": [ - "# Test the retriever on a single question!\n", - "query = \"What happens to my Amazon EC2 instances if I delete my Auto Scaling group?\"\n", - "\n", - "# Retrieving relevant documents with rerank now fits in one line\n", - "documents = retriever.retrieve(query, top_n=top_n)\n", - "\n", - "# Call Cohere's RAG pipeline with co.chat and the `documents` argument\n", - "resp = co.chat(message=query, model=\"command-r\", temperature=0., documents=documents)\n", - "print(resp.text)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "tHTKtvMTMLhz" - }, - "source": [ - "This works! With `co.chat`, you get the additional benefit that citations are returned for every span of text. Here's a simple function to display the citations inside square brackets." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "7fH5mv2PG1DI" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Deleting an Auto Scaling group will stop and terminate [14] all of your Amazon EC2 instances [14] within that group. [14] \n", - "\n", - "However, you may want to instead stop or terminate your Amazon EC2 instances individually [6] , especially if you no longer need them running. [6] This can be done using alarm actions in CloudWatch. [6] \n", - "\n", - "If you want to remove an Auto Scaling group but keep your instances [18] , you can follow the removal process described in the Amazon EC2 User Guide. [18] \n" - ] - } - ], - "source": [ - "def build_answer_with_citations(response):\n", - " \"\"\" \"\"\"\n", - " text = response.text\n", - " citations = response.citations\n", - "\n", - " # Construct text_with_citations adding citation spans as we iterate through citations\n", - " end = 0\n", - " text_with_citations = \"\"\n", - "\n", - " for citation in citations:\n", - " # Add snippet between last citatiton and current citation\n", - " start = citation.start\n", - " text_with_citations += text[end : start]\n", - " end = citation.end # overwrite\n", - " citation_blocks = \" [\" + \", \".join([stub[4:] for stub in citation.document_ids]) + \"] \"\n", - " text_with_citations += text[start : end] + citation_blocks\n", - " # Add any left-over\n", - " text_with_citations += text[end:]\n", - "\n", - " return text_with_citations\n", - "\n", - "grounded_answer = build_answer_with_citations(resp)\n", - "print(grounded_answer)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "f1mJdBQYRI4k" - }, - "source": [ - "## 3. Create model answers for 100 QA pairs\n", - "\n", - "Now that we have a running pipeline, we need to assess its performance.\n", - "\n", - "We can now run inference on all of the QA test pairs (100 questions). Later, we will use Command-R+ -- Cohere's largest and most powerful model -- to measure performance.\n", - "\n", - "We'll use the fields as follows:\n", - "* `Question`: the user question, passed to `co.chat` to generate the answer\n", - "* `Answer_True`: treat as the ground gruth; compare to the model-generated answer to determine its correctness\n", - "* `Document_True`: treat as the (single) golden document; check the rank of this document inside the model's retrieved documents\n", - "\n", - "We'll loop over each question and generate our model answer. We'll also complete two steps that will be useful for evaluating our model next:\n", - "1. We compute the rank of the golden document amid the retrieved documents -- this will inform how well our retrieval system performs\n", - "2. We prepare the grading prompts -- these will be sent to an LLM scorer to compute the goodness of responses" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "id": "rR67DcP5epAV" - }, - "outputs": [], - "source": [ - "# Define the LLM eval prompt\n", - "# We request a score and a reason for assigning that score to 'trigger CoT' and\n", - "# improve the model response\n", - "\n", - "LLM_EVAL_TEMPLATE = \\\n", - "\"\"\"\n", - "## References\n", - "{references}\n", - "\n", - "QUESTION: based on the above reference documents, answer the following question: {question}\n", - "ANSWER: {answer}\n", - "STUDENT RESPONSE: {completion}\n", - "\n", - "Based on the question (QUESTION) and answer above (ANSWER), grade the student's reponse (STUDENT RESPONSE). A correct response will contain exactly \\\n", - "the same information as in the answer, even if it is worded differently. If the student's reponse is correct, \\\n", - "give it a score of 1. Otherwise, give it a score of 0. Let's think step by step. Return your answer as \\\n", - "as a compilable JSON with the following structure:\n", - "{{\n", - " \"reasoning\": ,\n", - " \"score: ,\n", - "}}\n", - "\"\"\"\n", - "# Response format to enforce the model to produce a JSONic structure with its response\n", - "# as instructed by the eval prompt above\n", - "RESPONSE_FORMAT = {\n", - " \"type\": \"json_object\",\n", - " \"schema\": {\n", - " \"type\": \"object\",\n", - " \"required\": [\"reasoning\", \"score\"],\n", - " \"properties\": {\n", - " \"reasoning\": { \"type\": \"string\" },\n", - " \"score\": { \"type\": \"integer\"}\n", - " }\n", - " }\n", - "}\n", - "\n", - "def get_rank_of_golden_within_retrieved(golden: str, retrieved: List[dict]) -> int:\n", - " \"\"\"\n", - " Returns the rank that the golden document (single) has within the retrieved documents\n", - " * `golden` contains the source of the document, e.g. 'amazon-ec2-user-guide/EBSEncryption.md'\n", - " * `retrieved` has a list of responses with key 'llamaindex_id', which links back to document sources\n", - " \"\"\"\n", - " # Create {document: rank} map using llamaindex_id (count first occurrence of any document; they can\n", - " # appear multiple times because they're chunked)\n", - " doc_to_rank = {}\n", - " for rank, doc in enumerate(retrieved):\n", - " # retrieve source of document\n", - " _id = doc[\"llamaindex_id\"]\n", - " source = data[\"train\"][map_id2index[_id]][\"source\"]\n", - " # format as in dataset\n", - " source = source[stub_len:] # remove stub\n", - " source = source.replace(\"/doc_source\", \"\") # remove /doc_source/\n", - " \n", - " if source not in doc_to_rank:\n", - " doc_to_rank[source] = rank + 1\n", - "\n", - " # Return rank of `golden`, defaulting to len(retrieved) + 1 if it's absent\n", - " return doc_to_rank.get(golden, len(retrieved) + 1)\n" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "tAg3MTOcMll4" - }, - "outputs": [ + "cells": [ { - "name": "stderr", - "output_type": "stream", - "text": [ - "100%|██████████| 100/100 [09:04<00:00, 5.44s/it]\n" - ] - } - ], - "source": [ - "from tqdm import tqdm\n", - "\n", - "answers = []\n", - "golden_answers = []\n", - "ranks = []\n", - "grading_prompts = [] # best computed in batch\n", - "\n", - "for _, row in tqdm(qa_pairs.iterrows(), total=len(qa_pairs)):\n", - " query, golden_answer, golden_doc = row[\"Question\"], row[\"Answer_True\"], row[\"Document_True\"]\n", - " golden_answers.append(golden_answer)\n", - "\n", - " # --- Produce answer using retriever ---\n", - " documents = retriever.retrieve(query, top_n=top_n)\n", - " resp = co.chat(\n", - " message=query,\n", - " model=\"command-r\",\n", - " temperature=0,\n", - " documents=documents,\n", - " )\n", - " answer = resp.text\n", - " answers.append(answer)\n", - "\n", - " # --- Do some prework for evaluation later ---\n", - " # Rank\n", - " rank = get_rank_of_golden_within_retrieved(golden_doc, documents)\n", - " ranks.append(rank)\n", - " # Score: construct the grading prompts for LLM evals, then evaluate in batch\n", - " # Need to reformat documents slightly\n", - " documents = [{\"index\": str(i), \"text\": doc[\"text\"]} for i, doc in enumerate(documents)]\n", - " references_text = \"\\n\\n\".join(\"\\n\".join([f\"{k}: {v}\" for k, v in doc.items()]) for doc in documents)\n", - " # ^ snippet looks complicated, but all it does it unpack all kwargs from `documents`\n", - " # into text separated by \\n\\n\n", - " grading_prompt = LLM_EVAL_TEMPLATE.format(\n", - " references=references_text, question=query, answer=golden_answer, completion=answer\n", - " )\n", - " grading_prompts.append(grading_prompt)\n" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [], - "source": [ - "import pickle\n", - "\n", - "# Optional, to persist the grading_prompts list as a pickle object\n", - "with open(\"../data/grading_prompts.pkl\", \"wb\") as f:\n", - " pickle.dump(grading_prompts, f)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2BCs7ozyO1vL" - }, - "source": [ - "## 4. Evaluate model performance\n", - "\n", - "We want to test our model performance on two dimensions:\n", - "1. How good is the final answer? We'll compare our model answer to the golden answer using Command-R+ as a judge.\n", - "2. How good is the retrieval? We'll use the rank of the golden document within the retrieved documents to this end.\n", - "\n", - "Note that this pipeline is for illustration only. To measure performance in practice, we would want to run more in-depths tests on a broader, representative dataset." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "id": "1qBvMpYmQDTK" - }, - "outputs": [], - "source": [ - "# For simplicity, prepare a DataFrame with the results\n", - "results = pd.DataFrame()\n", - "results[\"answer\"] = answers\n", - "results[\"golden_answer\"] = qa_pairs[\"Answer_True\"]\n", - "results[\"rank\"] = ranks\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8Wglx0dsQtgX" - }, - "source": [ - "### 4.1 Compare answer to golden answer\n", - "\n", - "We'll use Command-R+ as a judge of whether the answers produced by our model convey the same information as the golden answers. Since we've defined the grading prompts earlier, we can simply ask our LLM judge to evaluate that grading prompt. After a little bit of postprocessing, we can then extract our model scores." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "id": "_F3V4E56Q1CE" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "100%|██████████| 100/100 [03:43<00:00, 2.23s/it]\n" - ] - } - ], - "source": [ - "import pickle\n", - "\n", - "scores = []\n", - "reasonings = []\n", - "\n", - "def remove_backticks(text: str) -> str:\n", - " \"\"\"\n", - " Some models are trained to output JSON in Markdown formatting:\n", - " ```json {json object}```\n", - " Remove the backticks from those model responses so that they become\n", - " parasable by json.loads.\n", - " \"\"\"\n", - " if text.startswith(\"```json\"):\n", - " text = text[7:]\n", - " if text.endswith(\"```\"):\n", - " text = text[:-3]\n", - " return text\n", - "\n", - "\n", - "# -- uncomment to load saved grading_prompts list --\n", - "with open(\"../data/grading_prompts.pkl\", \"rb\") as f:\n", - " grading_prompts = pickle.load(f)\n", - "\n", - "for prompt in tqdm(grading_prompts, total=len(grading_prompts)):\n", - " resp = co.chat(\n", - " message=prompt, \n", - " model=\"command-r-plus\", \n", - " temperature=0., \n", - " response_format=RESPONSE_FORMAT,\n", - " )\n", - " # Convert response to JSON to extract the `score` and `reasoning` fields\n", - " # We remove backticks for compatibility with different LLMs\n", - " parsed = json.loads(resp.text)\n", - " scores.append(parsed[\"score\"])\n", - " reasonings.append(parsed[\"reasoning\"])\n" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "import pickle\n", - "\n", - "# Optional, to persist scores and reasonings lists\n", - "with open(\"../data/scores.pkl\", \"wb\") as f:\n", - " pickle.dump(scores, f)\n", - "with open(\"../data/reasonings.pkl\", \"wb\") as f:\n", - " pickle.dump(reasonings, f)" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": { - "id": "1xksywOeQ1IJ" - }, - "outputs": [], - "source": [ - "import pickle\n", - "\n", - "# -- uncomment to load saved scores, reasonings lists --\n", - "with open(\"../data/scores.pkl\", \"rb\") as f:\n", - " scores = pickle.load(f)\n", - "with open(\"../data/reasonings.pkl\", \"rb\") as f:\n", - " reasonings = pickle.load(f)\n", - "\n", - "# Add scores to our DataFrame\n", - "results[\"score\"] = scores\n", - "results[\"reasoning\"] = reasonings" - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "0.99" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Creating_a_QA_bot_from_technical_documentation.ipynb." ] - }, - "execution_count": 34, - "metadata": {}, - "output_type": "execute_result" } - ], - "source": [ - "results[results[\"rank\"] <= 5].shape[0] / results.shape[0]" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": { - "id": "l8Z2w1ERSeHZ" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Average score: 0.970\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "print(f\"Average score: {results['score'].mean():.3f}\")\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ob-Km6dAPeHJ" - }, - "source": [ - "### 4.2 Compute rank\n", - "\n", - "We've already computed the rank of the golden documents using `get_rank_of_golden_within_retrieved`. Here, we'll plot the histogram of ranks, using blue when the answer scored a 1" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": { - "id": "SLn3s3n_MlpO" - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import matplotlib.pyplot as plt\n", - "import seaborn as sns\n", - "\n", - "sns.set_theme(style=\"darkgrid\", rc={\"grid.color\": \".8\"})\n", - "\n", - "# Create a custom palette for score coloring\n", - "custom_palette = {0: \"red\", 1: \"blue\"}\n", - "\n", - "f, ax = plt.subplots(figsize=(5, 3))\n", - "sns.histplot(\n", - " data=results,\n", - " x=\"rank\",\n", - " hue=\"score\",\n", - " palette=custom_palette,\n", - " edgecolor=\"black\",\n", - " binwidth=1\n", - ")\n", - "\n", - "ax.set_xticks([1, 5, 0, 10, 15, 20])\n", - "ax.set_title(\"Rank of golden document (max means golden doc. wasn't retrieved)\")\n", - "ax.set_xlabel(\"Rank\")\n", - "\n", - "legend = ax.get_legend()\n", - "legend.set_title(\"Score\")\n", - "legend.get_title().set_fontsize('small')\n", - "legend.get_title().set_fontweight('bold')\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "PZeTt7ijVKxo" - }, - "source": [ - "We see that retrieval works well overall: for about over 95% of questions, the golden document is consistently within the top 5 documents. However, we also notice that approx. half the false answers come from instances where the golden document wasn't retrieved (`rank = top_k = 20`). This should be improved, e.g. by adding metadata to the documents such as their section headings, or altering the chunking strategy.\n", - "\n", - "There is also a non-negligible instance of false answers where the top document was retrieved. On closer inspection, many of these are due to the model phrasing its answers more verbosely than the (very laconic) golden documents. This highlights the importance of checking eval results before jumping to conclusions about model performance." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4Q5i8EYDV_da" - }, - "source": [ - "## Conclusions\n", - "\n", - "In this notebook, we've built a QA bot that answers user questions based on technical documentation. We've learnt:\n", - "\n", - "1. How to embed the technical documentation into a vector database using Cohere embeddings and `llama_index`\n", - "2. How to build a custom retriever that leverages Cohere's `rerank`\n", - "3. How to evaluate model performance against a predetermined set of golden QA pairs\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "UC2FKrkSWcPn" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Deep_dive_into_RAG_evaluation.ipynb b/notebooks/guides/Deep_dive_into_RAG_evaluation.ipynb index 73690b63..d45c2323 100644 --- a/notebooks/guides/Deep_dive_into_RAG_evaluation.ipynb +++ b/notebooks/guides/Deep_dive_into_RAG_evaluation.ipynb @@ -1,1018 +1,18 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, - "cells": [ - { - "cell_type": "markdown", - "source": [ - "# Deep dive into RAG Evaluation\n", - "\n", - "In this notebook, we'll show you how to evaluate the output of a RAG system. The high-level RAG flow is depicted in the diagram below.\n", - "![Screenshot 2024-03-11 at 10.05.47.png](data:image/png;base64,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)" - ], - "metadata": { - "id": "eXdiZhdNJU6N" - } - }, - { - "cell_type": "markdown", - "source": [ - "We will focus on the evaluation of **Retrive** and **Response** (or **Generation**), and present a set of metrics for each phase. We will deep dive into each metric, to give you a full understanding of how we evaluate models and why we do it this way, and provide code so you can repdroduce on your own data.\n", - "\n", - "To demonstrate the metrics, we will use data from the [Docugami's KG-RAG](https://github.com/docugami/KG-RAG-datasets/tree/main/sec-10-q/data/v1) dataset, a RAG dataset for financial 10Q filing reports. We will focus only on evaluation, without performing the actual Retrieval and response Generation steps." - ], - "metadata": { - "id": "Vi4P1tqgxJxH" - } - }, - { - "cell_type": "markdown", - "source": [ - "# Table of content\n", - "\n", - "1. [Getting started](#getting-started)\n", - "2. [Retrieval Evaluation](#retrieval-evaluation)\n", - "3. [Generation Evaluation](#generation-evaluation)\n", - "4. [Final Comments](#final-comments)" - ], - "metadata": { - "id": "5bnEyZUl_KmS" - } - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "## Getting Started\n", - "\n", - "Let's start by setting the environment and downloading the dataset." - ], - "metadata": { - "id": "rVLK7Bhux8Bs" - } - }, - { - "cell_type": "code", - "source": [ - "%%capture\n", - "!pip install llama-index cohere openai\n", - "!pip install mistralai" - ], - "metadata": { - "id": "VmGk_rOco3m5" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# required imports\n", - "import cohere\n", - "from getpass import getpass\n", - "import os\n", - "import re\n", - "import json\n", - "import numpy as np\n", - "import pandas as pd\n", - "from llama_index.core import SimpleDirectoryReader\n", - "from llama_index.core.llama_dataset import download_llama_dataset, LabelledRagDataset\n", - "from openai import Client\n", - "from mistralai.client import MistralClient" - ], - "metadata": { - "id": "SIpPuVxfo_vz" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "For Response evaluation, we will use an LLM as a judge.\n", - "Any LLM can be used for this goal, but because evaluation is a very challenging task, we recommend using powerful LLMs, possibly as an ensemble of models. In [previous work](https://arxiv.org/pdf/2303.16634.pdf), it has been shown that models tend to assign higher scores to their own output. Since we generated the answers in this notebook using `command-r`, we will not use it for evaluation. We will provide two alternatives, `gpt-4` and `mistral`. We set `gpt-4` as the default model because, as mentioned above, evaluation is challenging, and `gpt-4` is powerful enough to efficiently perform the task." - ], - "metadata": { - "id": "J0ZO3ki_yIJE" - } - }, - { - "cell_type": "code", - "source": [ - "# Get keys\n", - "openai_api_key = getpass(\"Enter your OpenAI API Key: \")\n", - "# uncomment if you want to use mistral\n", - "#mistral_api_key = getpass[\"Enter your Mistral API Key: \"]\n", - "\n", - "# Define the model you want to use - you can replace gpt-4 with any other gpt version\n", - "model = \"gpt-4\"\n", - "# uncomment if you want to use mistral\n", - "#model = \"mistral-large-latest\"\n" - ], - "metadata": { - "id": "-SdZGWLTtLok", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "46d48939-5547-4e53-de78-9ae16440107d" - }, - "execution_count": null, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Enter your OpenAI API Key: ··········\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "if model == \"gpt-4\":\n", - " client = Client(api_key=openai_api_key)\n", - "else:\n", - " client = MistralClient(api_key=mistral_api_key)" - ], - "metadata": { - "id": "Zk02dD_9mc7B" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# let's define a function to get the model's response for a given input\n", - "def get_response(model, client, prompt):\n", - " response = client.chat.completions.create(\n", - " model=model,\n", - " messages=[{\"role\": \"user\", \"content\": prompt}],\n", - " temperature=0)\n", - " return response.choices[0].message.content" - ], - "metadata": { - "id": "Kx4cxDGw6LI_" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# load the DocugamiKgRagSec10Q dataset\n", - "if os.path.exists(\"./data/source_files\") and os.path.exists(\"./data/rag_dataset.json\"):\n", - " rag_dataset = LabelledRagDataset.from_json(\"./data/rag_dataset.json\")\n", - " documents = SimpleDirectoryReader(input_dir=\"./data/source_files\").load_data(show_progress=True)\n", - "else:\n", - " rag_dataset, documents = download_llama_dataset(\"DocugamiKgRagSec10Q\", \"./data\")" - ], - "metadata": { - "id": "voJk7dPvuSdN", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "1dd2c527-7d4a-4278-e14b-2c9479ad5caf" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stderr", - "text": [ - "Loading files: 100%|██████████| 20/20 [01:44<00:00, 5.21s/file]\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "## Retrieval Evaluation\n", - "\n", - "In the Retrieval phase, we evaluate the set of **retrieved documents** against the **golden documents** set.\n", - "\n", - "We use three standard metrics to evaluate retrieval:\n", - "\n", - "* **Precision**: the proportion of returned documents that are relevant, according to the gold annotation\n", - "* **Recall**: the proportion of relevant documents in the gold data found in the retrieved documents\n", - "* **Mean Average Precision** (**MAP**): measures the capability of the retriever to return relevant documents at the top of the list\n", - "\n", - "We implement these three metrics in the class below:" - ], - "metadata": { - "id": "lB6vO4JvMEkT" - } - }, - { - "cell_type": "code", - "source": [ - "class RetrievalEvaluator:\n", - "\n", - " def compute_precision(self, retrieved_documents, golden_documents):\n", - " # compute the percentage of retrieved documents found in the golden docs\n", - " return len(set(retrieved_documents).intersection(golden_documents)) / len(retrieved_documents)\n", - "\n", - " def compute_recall(self, retrieved_documents, golden_documents):\n", - " # compute the percentage of golden documents found in the retrieved docs\n", - " return len(set(retrieved_documents).intersection(golden_documents)) / len(golden_documents)\n", - "\n", - " def compute_mean_average_precision(self, retrieved_documents, golden_documents):\n", - " # check which among the retrieved docs is found in the gold, keeping the order\n", - " correct_retrieved_documents = [1 if x in golden_documents else 0 for x in retrieved_documents]\n", - " # compute map\n", - " map = np.mean([sum(correct_retrieved_documents[: i + 1]) / (i + 1) for i, v in enumerate(correct_retrieved_documents) if v == 1])\n", - " return map\n", - "\n", - " def run_evals(self, retrieved_documents, golden_documents):\n", - " precision = round(self.compute_precision(retrieved_documents, golden_documents),2)\n", - " recall = round(self.compute_recall(retrieved_documents, golden_documents),2)\n", - " map = round(self.compute_mean_average_precision(retrieved_documents, golden_documents),2)\n", - " results = {'precision': [precision],\n", - " 'recall': [recall],\n", - " 'map': [map]}\n", - " results = pd.DataFrame(results)\n", - " return results\n", - "\n" - ], - "metadata": { - "id": "CooNq035eU6f" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Let's now see how to use the class above to compute the results on a single datapoint." - ], - "metadata": { - "id": "MWW2VM-Tj8iQ" - } - }, - { - "cell_type": "code", - "source": [ - "# select the index of a single datapoint - the first one in the dataset\n", - "idx = 0\n", - "\n", - "# select the query\n", - "query = rag_dataset[idx].query\n", - "\n", - "# and the golden docs\n", - "golden_docs = rag_dataset[idx].reference_answer.split('SOURCE(S): ')[1].split(', ')\n", - "\n", - "# let's assume we have the following set of retrieved docs\n", - "retrieved_docs = ['2022 Q3 AAPL.pdf', '2023 Q1 MSFT.pdf', '2023 Q1 AAPL.pdf']\n", - "\n", - "print(f'Query: {query}')\n", - "print(f'Golden docs: {golden_docs}')\n", - "print(f'Retrieved docs: {retrieved_docs}')" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "QyMGDqaqe7fg", - "outputId": "e95242c7-dcdd-48bb-9da2-52e25a2a2d41" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Query: How has Apple's total net sales changed over time?\n", - "Golden docs: ['2022 Q3 AAPL.pdf', '2023 Q1 AAPL.pdf', '2023 Q2 AAPL.pdf', '2023 Q3 AAPL.pdf']\n", - "Retrieved docs: ['2022 Q3 AAPL.pdf', '2023 Q1 MSFT.pdf', '2023 Q1 AAPL.pdf']\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "# we can now instantiate the evaluator\n", - "evaluate_retrieval = RetrievalEvaluator()\n", - "\n", - "# and run the evaluation\n", - "evaluate_retrieval.run_evals(retrieved_docs,golden_docs)\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 81 - }, - "id": "Ron8lm3Z1t1M", - "outputId": "a37eee30-c318-4a2f-8dec-bfd8f4d8c8f2" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "execute_result", - "data": { - "text/plain": [ - " precision recall map\n", - "0 0.67 0.5 0.83" - ], - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    precisionrecallmap
    00.670.50.83
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "dataframe", - "summary": "{\n \"name\": \"evaluate_retrieval\",\n \"rows\": 1,\n \"fields\": [\n {\n \"column\": \"precision\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": null,\n \"min\": 0.67,\n \"max\": 0.67,\n \"num_unique_values\": 1,\n \"samples\": [\n 0.67\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"recall\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": null,\n \"min\": 0.5,\n \"max\": 0.5,\n \"num_unique_values\": 1,\n \"samples\": [\n 0.5\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"map\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": null,\n \"min\": 0.83,\n \"max\": 0.83,\n \"num_unique_values\": 1,\n \"samples\": [\n 0.83\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}" - } - }, - "metadata": {}, - "execution_count": 9 - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "What are the figures above telling us?\n", - "\n", - "* Precision (0.67) tells us that 2 out of 3 of the retrieved docs are correct\n", - "* Recall (0.5) means that 2 out of 4 relevant docs have been retrieved\n", - "* MAP (0.83) is computed as the average of 1/1 (the highest ranked doc is correct) and 2/3 (the 2nd ranked doc is wrong, the 3rd is correct).\n", - "\n", - "While the example here focuses on a single datapoint, you can easily apply the same metrics to all your dataset and get the overall performance of your Retrieve phase." - ], - "metadata": { - "id": "sL-sIiSl2vw9" - } - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "## Generation Evaluation\n", - "\n", - "Evaluating grounded generation (the second step of RAG) is notoriously difficult, because generations are usually complex and rich of information, and simply labelling an answer as \"good\" or \"bad\" is not enough.\n", - "To overcome this issue, we first decompose complex answers into a set of basic *claims*, where a claim is any sentence or part of a sentence in the answer that expresses a verifiable fact. Subsequently, we check the validity of each claim independently, defining the overall quality of the answer based on the correctness of the claims it includes.\n", - "\n", - "We use claims to compute three metrics:\n", - "\n", - "* **Faithfulness**, which measures how many of the claims in the generated response are supported by the retrieved documents. This is a fundamental metric, as it tells us how *grounded* in the documents the response is, and, contextually, it allows us to spot hallucinations\n", - "\n", - "* **Correctness**, which checks which claims in the response also occur in the gold answer\n", - "\n", - "* And **Coverage**, by which we assess how many of the claims in the gold answer are included in the generated response.\n", - "\n", - "Note that Faithfulness and Correctness share the exact same approach, the difference being that the former checks the claims against the supporting docs, while the latter against the golden answer.\n", - "Also, while Correctness is measuring the precision of the claims in the response, Coverage can be seen as complementary, as it measures recall." - ], - "metadata": { - "id": "mwEyE9IaklPL" - } - }, - { - "cell_type": "markdown", - "source": [ - "### Claim Extraction\n", - "\n", - "Let's now see how we implement the evaluation described above using LLMs. Let's start with **claim extraction**." - ], - "metadata": { - "id": "ySgduJrTTjvK" - } - }, - { - "cell_type": "code", - "source": [ - "# first, let's define a function which extracts the claims from a response\n", - "def extract_claims(query, response, model, client):\n", - "\n", - " # define the instructions on how to extract the claims\n", - " preamble = \"You are shown a prompt and a completion. You have to identify the main claims stated in the completion. A claim is any sentence or part of a sentence that expresses a verifiable fact. Please return a bullet list, in which every line includes one of the claims you identified. Do not add any further explanation to the bullet points.\"\n", - "\n", - " # build the prompt\n", - " prompt = f\"{preamble}\\n\\nPROMPT: {query}\\n\\nCOMPLETION: {response}\"\n", - "\n", - " # get the claims\n", - " claims = get_response(model, client, prompt)\n", - "\n", - " return claims\n" - ], - "metadata": { - "id": "X2fQa0Vbuy3W" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# now, let's consider this answer, which we previously generated with command-r\n", - "response = \"Apple's total net sales experienced a decline over the last year. The three-month period ended July 1, 2023, saw a total net sale of $81,797 million, which was a 1% decrease from the same period in 2022. The nine-month period ended July 1, 2023, fared slightly better, with a 3% decrease in net sales compared to the first nine months of 2022.\\nThis downward trend continued into the three and six-month periods ending April 1, 2023. Apple's total net sales decreased by 3% and 4% respectively, compared to the same periods in 2022.\"\n", - "\n", - "# let's extract the claims\n", - "claims = extract_claims(query, response, model, client)\n", - "\n", - "# and see what the model returns\n", - "print(f\"List of claims extracted from the model's response:\\n\\n{claims}\")" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "y_0NXZEfu0AJ", - "outputId": "3fa32ab2-6898-449f-f062-187df7aea41e" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "List of claims extracted from the model's response:\n", - "\n", - "- Apple's total net sales experienced a decline over the last year.\n", - "- The three-month period ended July 1, 2023, saw a total net sale of $81,797 million.\n", - "- This was a 1% decrease from the same period in 2022.\n", - "- The nine-month period ended July 1, 2023, had a 3% decrease in net sales compared to the first nine months of 2022.\n", - "- The downward trend continued into the three and six-month periods ending April 1, 2023.\n", - "- Apple's total net sales decreased by 3% and 4% respectively, compared to the same periods in 2022.\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "### Claim Assessment\n", - "\n", - "Nice! now that we have the list of claims, we can go ahead and **assess the validity** of each claim." - ], - "metadata": { - "id": "50QRlCVe7dZf" - } - }, - { - "cell_type": "code", - "source": [ - "# Let's create a function that checks each claim against a reference text,\n", - "# which here we will call \"context\". As you will see, we will use different contexts,\n", - "# depending on the metric we want to compute.\n", - "\n", - "def assess_claims(query, claims, context, model, client):\n", - "\n", - " # define the instructions on how to perform the assessment.\n", - " # the model has to append to each row a binary SUPPORTED tag\n", - " preamble = \"You are shown a prompt, a context and a list of claims. You have to check which of the claims in the list are supported by the context. Please return the list of claims exactly as is it, just append to each row “SUPPORTED=1” if the claim is supported by the context, or “SUPPORTED=0” if the claim is not supported by the context. Do not add any further explanation to the bullet points.\"\n", - "\n", - " # turn list into string\n", - " context = '\\n'.join(context)\n", - "\n", - " # build the prompt\n", - " prompt = f\"{preamble}\\n\\nPROMPT: {query}\\n\\nCONTEXT:\\n{context}\\n\\nCLAIMS:\\n{claims}\"\n", - "\n", - " # get the response\n", - " assessment = get_response(model, client, prompt)\n", - "\n", - " return assessment" - ], - "metadata": { - "id": "jrg9-dlMTRpB" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "### Faithfulness" - ], - "metadata": { - "id": "1OmD9pKxArk7" - } - }, - { - "cell_type": "code", - "source": [ - "# Let's start with Faithfulness: in this case, we want to assess the claims\n", - "# in the response against the retrieved documents (i.e., context = retrieved documents)\n", - "\n", - "# for the sake of clarity, we report the actual text of the retrieved documents\n", - "retrieved_documents = ['Products and Services Performance\\nThe following table shows net sales by category for the three- and six-month periods ended April 1, 2023 and March 26, 2022 (dollars in millions):\\nThree Months Ended Six Months Ended\\nApril 1,\\n2023March 26,\\n2022 ChangeApril 1,\\n2023March 26,\\n2022 Change\\nNet sales by category:\\niPhone $ 51,334 $ 50,570 2 %$ 117,109 $ 122,198 (4)%\\nMac 7,168 10,435 (31)% 14,903 21,287 (30)%\\niPad 6,670 7,646 (13)% 16,066 14,894 8 %\\nWearables, Home and Accessories 8,757 8,806 (1)% 22,239 23,507 (5)%\\nServices 20,907 19,821 5 % 41,673 39,337 6 %\\nTotal net sales $ 94,836 $ 97,278 (3)%$ 211,990 $ 221,223 (4)%\\niPhone\\niPhone net sales were relatively flat during the second quarter of 2023 compared to the secon d quarter of 2022. Year-over-year iPhone net sales decreased\\nduring the first six months of 2023 due primarily to lower net sales from the Company’ s new iPhone models launched in the fourth quarter of 2022.\\nMac\\nMac net sales decreased during the second quarter and first six months of 2023 compared to the same periods in 2022 due primarily to lower net sales of\\nMacBook Pro.\\niPad\\niPad net sales decreased during the second quarter of 2023 compared to the second quarter of 2022 due primarily to lower net sales of iPad Pro and iPad Air.\\nYear-over-year iPad net sales increased during the first six months of 2023 due primarily to higher net sales of iPad, partially offset by lower net sales of iPad\\nmini .\\nWearables, Home and Accessories\\nWearables, Home and Accessories net sales were relatively flat during the second quarter of 2023 compared to the second quarter of 2022. Year-over-year\\nWearables, Home and Accessories net sales decreased during the first six months of 2023 due primarily to lower net sales of AirPods .\\nServices\\nServices net sales increased during the second quarter and first six months of 2023 compared to the same periods in 2022 due primarily to higher net sales from\\ncloud services, music and advertising.® ®\\n®\\n®\\nApple Inc. | Q2 2023 Form 10-Q | 16', 'Products and Services Performance\\nThe following table shows net sales by category for the three- and nine-month periods ended July 1, 2023 and June 25, 2022 (dollars in millions):\\nThree Months Ended Nine Months Ended\\nJuly 1,\\n2023June 25,\\n2022 ChangeJuly 1,\\n2023June 25,\\n2022 Change\\nNet sales by category:\\niPhone $ 39,669 $ 40,665 (2)%$ 156,778 $ 162,863 (4)%\\nMac 6,840 7,382 (7)% 21,743 28,669 (24)%\\niPad 5,791 7,224 (20)% 21,857 22,118 (1)%\\nWearables, Home and Accessories 8,284 8,084 2 % 30,523 31,591 (3)%\\nServices 21,213 19,604 8 % 62,886 58,941 7 %\\nTotal net sales $ 81,797 $ 82,959 (1)%$ 293,787 $ 304,182 (3)%\\niPhone\\niPhone net sales decreased during the third quarter and first nine months of 2023 compared to the same periods in 2022 due primarily to lower net sales from\\ncertain iPhone models, partially of fset by higher net sales of iPhone 14 Pro models.\\nMac\\nMac net sales decreased during the third quarter and first nine months of 2023 compared to the same periods in 2022 due primarily to lower net sales of laptops.\\niPad\\niPad net sales decreased during the third quarter of 2023 compared to the third quarter of 2022 due primarily to lower net sales across most iPad models. Year-\\nover-year iPad net sales were relatively flat during the first nine months of 2023.\\nWearables, Home and Accessories\\nWearables, Home and Accessories net sales increased during the third quarter of 2023 compare d to the third quarter of 2022 due primarily to higher net sales of\\nWearables, which includes AirPods , Apple Watch and Beats products, partially offset by lower net sales of accessories. Year-over-year Wearables, Home\\nand Accessories net sales decreased during the first nine months of 2023 due primarily to lower net sales of W earables and accessories.\\nServices\\nServices net sales increased during the third quarter of 2023 compared to the third quarter of 2022 due primarily to higher net sales from advertising, cloud\\nservices and the App Store . Year-over-year Services net sales increased during the first nine months of 2023 due primarily to higher net sales from cloud\\nservices, advertising and music.® ® ®\\n®\\nApple Inc. | Q3 2023 Form 10-Q | 16']\n", - "\n", - "# get the Faithfulness assessment for each claim\n", - "assessed_claims_faithfulness = assess_claims(query=query,\n", - " claims=claims,\n", - " context=retrieved_documents,\n", - " model=model,\n", - " client=client)\n", - "\n", - "print(f\"Assessment of the claims extracted from the model's response:\\n\\n{assessed_claims_faithfulness}\")" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "5VmLE4yCwEMe", - "outputId": "10874986-1f91-45a3-c081-dc2aae3fd618" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Assessment of the claims extracted from the model's response:\n", - "\n", - "- Apple's total net sales experienced a decline over the last year. SUPPORTED=1\n", - "- The three-month period ended July 1, 2023, saw a total net sale of $81,797 million. SUPPORTED=1\n", - "- This was a 1% decrease from the same period in 2022. SUPPORTED=1\n", - "- The nine-month period ended July 1, 2023, had a 3% decrease in net sales compared to the first nine months of 2022. SUPPORTED=1\n", - "- The downward trend continued into the three and six-month periods ending April 1, 2023. SUPPORTED=1\n", - "- Apple's total net sales decreased by 3% and 4% respectively, compared to the same periods in 2022. SUPPORTED=1\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Great, we now have an assessment for each of the claims: in the last step, we just need to use these assessments to define the final score." - ], - "metadata": { - "id": "vBbLuSkO-iN7" - } - }, - { - "cell_type": "code", - "source": [ - "# given the list of claims and their label, compute the final score\n", - "# as the proportion of correct claims over the full list of claims\n", - "def get_final_score(claims_list):\n", - " supported = len(re.findall(\"SUPPORTED=1\", claims_list))\n", - " non_supported = len(re.findall(\"SUPPORTED=0\", claims_list))\n", - " score = supported / (supported+non_supported)\n", - " return round(score, 2)" - ], - "metadata": { - "id": "tFk-IxK_wDVT" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "score_faithfulness = get_final_score(assessed_claims_faithfulness)\n", - "print(f'Faithfulness: {score_faithfulness}')" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Z680DvFOW2Ea", - "outputId": "1666057d-7981-43d3-f4bb-c10fdc861cae" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Faithfulness: 1.0\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "The final Faithfulness score is 1, which means that the model's response is fully grounded in the retrieved documents: that's a very good news :)\n", - "\n", - "Before moving on, let's modify the model's response by adding a piece of information which is **not** grounded in any document, and re-compute Faithfulness." - ], - "metadata": { - "id": "3_Ks8ELQrdQO" - } - }, - { - "cell_type": "code", - "source": [ - "# let's mess up the century, changing 2022 to 1922\n", - "modified_response = response.replace('2022', '1922')\n", - "\n", - "# extract the claims from the modified response\n", - "modified_claims = extract_claims(query, modified_response, model, client)\n", - "\n", - "# and get assess the modified claims\n", - "assessed_modified_claims = assess_claims(query=query,\n", - " claims=modified_claims,\n", - " context=retrieved_documents,\n", - " model=model,\n", - " client=client)\n", - "\n", - "print(f\"Assessment of the modified claims:\\n\\n{assessed_modified_claims}\\n\")\n", - "\n", - "score_faithfulness_modified_claims = get_final_score(assessed_modified_claims)\n", - "print(f'Faithfulness: {score_faithfulness_modified_claims}')" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "YEZUA8XMAxLE", - "outputId": "9b6e59b1-a4f9-46bf-cdf8-a4f520462d12" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Assessment of the modified claims:\n", - "\n", - "- Apple's total net sales experienced a decline over the last year. SUPPORTED=1\n", - "- The three-month period ended July 1, 2023, saw a total net sale of $81,797 million. SUPPORTED=1\n", - "- This was a 1% decrease from the same period in 1922. SUPPORTED=0\n", - "- The nine-month period ended July 1, 2023, had a 3% decrease in net sales compared to the first nine months of 1922. SUPPORTED=0\n", - "- The downward trend continued into the three and six-month periods ending April 1, 2023. SUPPORTED=1\n", - "- Apple's total net sales decreased by 3% and 4% respectively, compared to the same periods in 1922. SUPPORTED=0\n", - "\n", - "Faithfulness: 0.5\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "As you can see, by assessing claims one by one, we are able to spot **hallucinations**, that is, the (corrupted) cases in which the information provided by the model is not grounded in any of the retrieved documents." - ], - "metadata": { - "id": "TccmzE9TCQsN" - } - }, - { - "cell_type": "markdown", - "source": [ - "### Correctness\n", - "\n", - "As said, Faithfulness and Correctness share the same logic, the only difference being that we will check the claims against the gold answer. We can therefore repeat the process above, and just substitute the `context`." - ], - "metadata": { - "id": "bPK-MND1riQD" - } - }, - { - "cell_type": "code", - "source": [ - "# let's get the gold answer from the dataset\n", - "golden_answer = rag_dataset[idx].reference_answer\n", - "\n", - "# and check the claims in the response against the gold.\n", - "# note that assess_claims takes exactly the same args as with Faithfulness\n", - "# except for the context, that now is the golden_answer\n", - "assessed_claims_correctness = assess_claims(query=query,\n", - " claims=claims,\n", - " context=golden_answer, # note the different context\n", - " model=model,\n", - " client=client)\n", - "\n", - "\n", - "print(f\"Assess the claims extracted from the model's response against the golden answer:\\n\\n{assessed_claims_correctness}\")" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "qVeQDVDYEr45", - "outputId": "a14a5b09-b8f7-4563-ade5-fe2cd1384cfb" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Assess the claims extracted from the model's response against the golden answer:\n", - "\n", - "- Apple's total net sales experienced a decline over the last year. SUPPORTED=1\n", - "- The three-month period ended July 1, 2023, saw a total net sale of $81,797 million. SUPPORTED=1\n", - "- This was a 1% decrease from the same period in 2022. SUPPORTED=0\n", - "- The nine-month period ended July 1, 2023, had a 3% decrease in net sales compared to the first nine months of 2022. SUPPORTED=0\n", - "- The downward trend continued into the three and six-month periods ending April 1, 2023. SUPPORTED=1\n", - "- Apple's total net sales decreased by 3% and 4% respectively, compared to the same periods in 2022. SUPPORTED=0\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "As mentioned above, automatic evaluation is a hard task, and even when using powerful models, claim assessment can present problems: for example, the third claim is labelled as 0, even if it might be inferred from the information in the gold answer." - ], - "metadata": { - "id": "V4pCRJ0qPQWT" - } - }, - { - "cell_type": "code", - "source": [ - "# we can now compute the final Correctness score\n", - "score_correctness = get_final_score(assessed_claims_correctness)\n", - "print(f'Correctness: {score_correctness}')" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "nObA30PzxQha", - "outputId": "2e354428-ea91-4c7e-94b6-31433018058c" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Correctness: 0.5\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "For Correctness, we found that only half of the claims in the generated response are found in the gold answer. Note that this is not necessarily an issue: reference answers are often non-exhaustive, especially in dataset including open-ended questions, like the one we are considering in this post, and *both* the generated and golden answer can include relevant information.\n" - ], - "metadata": { - "id": "4Nd0bQ3rR1c3" - } - }, - { - "cell_type": "markdown", - "source": [ - "### Coverage\n", - "\n", - "We finally move to Coverage. Remember that, in this case, we want to check how many of the claims *in the gold answer* are included in the generated response. To do it, we first need to extract the claims from the gold answer." - ], - "metadata": { - "id": "YhsEJDNXR8OY" - } - }, - { - "cell_type": "code", - "source": [ - "# let's extract the golden claims\n", - "gold_claims = extract_claims(query, golden_answer, model, client)\n", - "\n", - "print(f\"List of claims extracted from the gold answer:\\n\\n{gold_claims}\")" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "wJeHJhiAxQjy", - "outputId": "8da8edf0-5605-4548-aab4-002d0202ee88" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "List of claims extracted from the gold answer:\n", - "\n", - "- For the quarterly period ended June 25, 2022, the total net sales were $82,959 million.\n", - "- For the quarterly period ended December 31, 2022, the total net sales were $117,154 million.\n", - "- For the quarterly period ended April 1, 2023, the total net sales were $94,836 million.\n", - "- For the quarterly period ended July 1, 2023, the total net sales were $81,797 million.\n", - "- There was an increase in total net sales from the quarter ended June 25, 2022, to the quarter ended December 31, 2022.\n", - "- There was a decrease in total net sales in the quarters ended April 1, 2023, and July 1, 2023.\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Then, we check which of these claims is present in the response generated by the model." - ], - "metadata": { - "id": "6VXxxrL1SuFv" - } - }, - { - "cell_type": "code", - "source": [ - "# note that in, this case, the context is the model's response\n", - "assessed_claims_coverage = assess_claims(query=query,\n", - " claims=gold_claims,\n", - " context=response,\n", - " model=model,\n", - " client=client)\n", - "\n", - "\n", - "print(f\"Assess which of the gold claims is in the model's response:\\n\\n{assessed_claims_coverage}\")" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Ro-sYsp5SnOo", - "outputId": "d334a72e-a87a-4900-b9e6-9a6c2140dffb" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Assess which of the gold claims is in the model's response:\n", - "\n", - "- For the quarterly period ended June 25, 2022, the total net sales were $82,959 million. SUPPORTED=0\n", - "- For the quarterly period ended December 31, 2022, the total net sales were $117,154 million. SUPPORTED=0\n", - "- For the quarterly period ended April 1, 2023, the total net sales were $94,836 million. SUPPORTED=0\n", - "- For the quarterly period ended July 1, 2023, the total net sales were $81,797 million. SUPPORTED=1\n", - "- There was an increase in total net sales from the quarter ended June 25, 2022, to the quarter ended December 31, 2022. SUPPORTED=0\n", - "- There was a decrease in total net sales in the quarters ended April 1, 2023, and July 1, 2023. SUPPORTED=1\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "# we compute the final Coverage score\n", - "score_coverage = get_final_score(assessed_claims_coverage)\n", - "print(f'Coverage: {score_coverage}')" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "FUvVUN8qSnG2", - "outputId": "e854432a-f13b-4596-fb7c-f9b51ee870ec" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Coverage: 0.33\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "The Coverage score is telling us that 1/3 of the information in the gold answer is present in the generated answer. This is a useful information, that, similarly to what said above regarding Correctness, can raise further questions, such as: is it acceptable to have diverging information in the generated answer? Is any crucial piece of information missing in the generated answer?\n", - "\n", - "The answer to these questions is use case-specific, and has to be made by the end user: The claim-based approach implemented here supports the user by providing a clear and detailed view on what the model is assessing and how." - ], - "metadata": { - "id": "pduSBr2-U_R7" - } - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "## Final Comments\n", - "\n", - "RAG evaluation is a hard task, especially the evaluation of the generated response. In this notebook we offer a clear, robust and replicable approach to evaluation, on which you can build on to build your evaluation pipeline." - ], - "metadata": { - "id": "0-1FsN2dHzMS" - } - } - ] -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Deep_dive_into_RAG_evaluation.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Document_Parsing_For_Enterprises.ipynb b/notebooks/guides/Document_Parsing_For_Enterprises.ipynb index d5e4c51b..72e4c94e 100644 --- a/notebooks/guides/Document_Parsing_For_Enterprises.ipynb +++ b/notebooks/guides/Document_Parsing_For_Enterprises.ipynb @@ -1,1827 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "ssw34RVKHmsJ" - }, - "source": [ - "# Advanced Document Parsing For Enterprises" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "b2oYelmWW35Q" - }, - "source": [ - "## Introduction\n", - "\n", - "The bread and butter of natural language processing technology is text. Once we can reduce a set of data into text, we can do all kinds of things with it: question answering, summarization, classification, sentiment analysis, searching and indexing, and more.\n", - "
    \n", - "
    \n", - "In the context of enterprise Retrieval Augmented Generation (RAG), the information is often locked in complex file types such as PDFs. These formats are made for sharing information between humans, but not so much with language models.\n", - "
    \n", - "
    \n", - "In this notebook, we will use a real-world pharmaceutical drug label to test out various performant approaches to parsing PDFs. This will allow us to use [Cohere's Command-R model](https://txt.cohere.com/command-r/) in a RAG setting to answer questions and asks about this label, such as \"I need a succinct summary of the compound name, indication, route of administration, and mechanism of action of\" a given pharmaceutical.\n", - "
    \n", - "
    \n", - "![image.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "f-mq1FCojI2p" - }, - "source": [ - "\n", - "## PDF Parsing\n", - "\n", - "We will go over five proprietary as well as open source options for processing PDFs. The parsing mechanisms demonstrated in the following sections are\n", - "- [Google Document AI](#gcp)\n", - "- [AWS Textract](#aws)\n", - "- [Unstructured.io](#unstructured)\n", - "- [LlamaParse](#llama)\n", - "- [pdf2image + pytesseract](#pdf2image)\n", - "\n", - "By way of example, we will be parsing a [21-page PDF](https://www.accessdata.fda.gov/drugsatfda_docs/label/2023/215500s000lbl.pdf) containing the label for a recent FDA drug approval, the beginning of which is shown below. Then, we will perform a series of basic RAG tasks with our different parsings and evaluate their performance.\n", - "\n", - "![image.png](data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAuUAAAF6CAYAAAC+4ib1AAABXmlDQ1BJQ0MgUHJvZmlsZQAAKJF1kLFLAnEUx7+XlhEXBTk0RDhEk4noDa0qIYHDcSlU0HB32hno+eM8kbaG2oVcgoawpTWCWhr6DwqChiOisT1yKbnez6tOix683/fz+/Le4/GAEVFlrBIEUDVtS8mmI+sbm5HQCwIYRxjziKl6naVkOUcl+Nbh6D5A4Hq/xGedTzqOPSa0t5oXDjtOzvytH4qJYqmuk35QSjqzbECIE8tNm3HeIw5btBRxm7Ph8RlnzePrfk1eyRDfEU/rZbVI/Ewc1QZ8Y4CrlYb+tQPfXiyZhTXSWco55JBFBAXSPBSk6LdCr/JPj9TvyaAGhl1Y2IGBMmyakCKHoYIS8SpM6IghSpxAnFLit/59Q9+rdYDlNyDQ8j3tCLg6oDUd31s4Aab2gctbplrqz2WFbrC+nUx4LKaB0SfXfV0EQodAr+W67x3X7Z3S/EfgxvwE8Lxkaf5hUugAAABWZVhJZk1NACoAAAAIAAGHaQAEAAAAAQAAABoAAAAAAAOShgAHAAAAEgAAAESgAgAEAAAAAQAAAuWgAwAEAAAAAQAAAXoAAAAAQVNDSUkAAABTY3JlZW5zaG90zG+oiwAAAdZpVFh0WE1MOmNvbS5hZG9iZS54bXAAAAAAADx4OnhtcG1ldGEgeG1sbnM6eD0iYWRvYmU6bnM6bWV0YS8iIHg6eG1wdGs9IlhNUCBDb3JlIDYuMC4wIj4KICAgPHJkZjpSREYgeG1sbnM6cmRmPSJodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjIj4KICAgICAgPHJkZjpEZXNjcmlwdGlvbiByZGY6YWJvdXQ9IiIKICAgICAgICAgICAgeG1sbnM6ZXhpZj0iaHR0cDovL25zLmFkb2JlLmNvbS9leGlmLzEuMC8iPgogICAgICAgICA8ZXhpZjpQaXhlbFlEaW1lbnNpb24+Mzc4PC9leGlmOlBpeGVsWURpbWVuc2lvbj4KICAgICAgICAgPGV4aWY6UGl4ZWxYRGltZW5zaW9uPjc0MTwvZXhpZjpQaXhlbFhEaW1lbnNpb24+CiAgICAgICAgIDxleGlmOlVzZXJDb21tZW50PlNjcmVlbnNob3Q8L2V4aWY6VXNlckNvbW1lbnQ+CiAgICAgIDwvcmRmOkRlc2NyaXB0aW9uPgogICA8L3JkZjpSREY+CjwveDp4bXBtZXRhPgpDXU56AABAAElEQVR4AeydBbiVRRPHV8UEC+yklDRABERRQgVMQlIplbQoxUBEDEBFsQCDVAlREOwALCzABjEAAzEQ9VMw0fP9f3PvHt/7es6558Il1J3nufe8se/G7O7s7MzszGYJgQsQMBAwEDAQMBAwEDAQMBAwEDAQMLDRMLD5Ris5FBwwEDAQMBAwEDAQMBAwEDAQMBAwYBgITHkYCAEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBgITHkYAwEDAQMBAwEDAQMBAwEDAQMBAxsZA4Ep38gdEIoPGAgYCBgIGAgYCBgIGAgYCBj41zDl3377rVu1apX16C+//LJee3Z9lPXnn3+677//3ur966+/pq3/77//7vjbVCC/ev/www8ukUhYddd3v2SLk59Wr3bfrly5weoEjn7++edsq7fW6QprXGxqY2ytERI+DBj4D2CgsOb9uqIq3bqY3xrhy91U2uHrk+3vTz/95H777TdLHl/j/vjjD8ff+oa1pdnZ9s36rn/I/y8MbDJM+ZdffunOP/dcV6FcOXfeOee4hQsWuIv69LH7s888073x+hvu3O7d7Z50X3/9tTF748eNc8fVq+9uGXazu3rgVe6MNm3cFZdf7vLNb/7rrnvXbpZfj/MvcF8sX57EyhdffFGgspiQtwwbZnmd2LCRe/vtt92dd9xh98fWrefefOMNd2TNI1zPC3q4hscfb8+vvGKA1fvpp55yjz/2uOvTq7cbMXy4a9+2rZty//3JuviLBe8ucM2aNHVXXXmlu/GGoa7xKae4uXPn2uuZzzzjqhxyiKtWpaq7fsgQK6dyhYputZhPD7NmznRVDznU1Tj8cHdWx472/UtzXrK6HX/ssVanqwcOdM0aN3HtzjjDffrpp47NwbAbb7J3p5x0kht07bWuxWmn2ffkm6neDz7wgOObK6+4wl3S92LXsX0HN27sWHfd4MGWX6sWLVyvHj1d/Tp13ehRo6ya77zzjgN/jIFbb77ZvSG8NTq+gd2TB7g8p1t323zF077w/AuuVo2ajnbTLmDypEmGY8YSwPMTGjR0l1x8sbtD/dOmZSvXumVLe+f/xfv+9fnzrc2+TqR77dVXrd5PPP6Ey4R7GH/GapdOndzdd95pOJ80YaIVxZjID+8HlC7jDju0SnKzyYf0DXXp1qWrjXHLTP+WLVvmLlW7osA8anv66a5Du3b2Dbhr06q1u+bqq91TTz7pDql8kKty8MHWBr7LNMbGjh7jKpWv4GrXOtKtWLHCxka/Sy91Z3bo6FZ+80202HAdMBAwsBYYgF4xt5ljS5cscZdfdpndQ/dZz5Z//rnRVE/3KSLVvH/0kUeMDh595JFuQP8rbM259OJLHMzjxx9/nJGexelCfvMegUu6NZj6ZVojeB+F/v0ut/r5Z/nVddrUaa5iufLuqCNq2RrT9NTGbuqDD/rPk7/rY+0j87ffess1b9bMaPyga661dbF/v37JcrmYMX2GYy30AN/CekA/X9i7t9FS+pO17bFHHzXeZ0PR7IL0ja9/+N0AGNCk2mRARClRct99E5MmTrQ6PfboY3Z/15132v3E+ybYvZhWu7/7rrvsXoM52YZXXn450bVTZ7vPL797xo+376c+8GDye39R0LI++eQTy0sMvmUhqYHdiwG2ezHT9qsNhj3/3//+l3hv4cLE4489lhDDnvB1eOGFFxJi6H017FcEOXHoQQcl+NaDGKJE+QMOSIh426PatWolqh92mF1T9s033ZSQlNont99jatdO1D36GLsWc57gXrt4wxd4l6Yh8eGHH1r9xExauqVLl9p9n169EiLqCS0Mif6XX57QDjttvcF7qf32S0x/6CHLg38PTJmSuGPkyMSi996z/LQBsHfndOtm90sWL7b7C847z+4/++wzu6ce1E1ahMSEe++z6zGjx6RMSx1L718yUeXgQxKfL1tmaYYMGmS/b735ZqJMyVKJy/v1s3v+rVy5MlHvmDrJe38R7/t777knIeJvbRITbsl8vtykwj311WKa0EYooc2NffPIww9b/adNnWr3jNN0eAcfDY47Lqe9o0Zbei1SiZManWDPGLtRGDhgQEJMfOKrr75KPhbznVizZk3Cz5Mnn3giIYlK4vbbbrM01atVsz7kJpsxdvRRR1nZtIt8qM/ECROS5YWLgIGAgXXDgIQQRqe+WbEiIaFK4qCKlYyuM4+huRLm5Ckg1bwnAesFtALw6xz0E8iPnkXpAukzzXtPW1KtwZnWCPKNgjb6iQPLlLG1Jfo8v7qWK1s22U5Pt8eOGRPNwq4Lc+0jwwXvvmt9I2FRsizWYs97+IcSAhkd9/f8jrr7bqOjD8+YkXzs+3VD0eyC9E2ykuFig2Bgk5GUs//YbLPNbBuS9nfzv94LOyZNLVq0mGt0wgnJ7UuNmjVdj149M+eTW87mm+c0f7PcfJOZ6MI/oy6FUdZlkt7HoXyFCq5ho0aWf9+LLjIpeKVKldzJkoJHAck5pi2HVqmSfHxYtWoOVdl9996b8yy3TajKkLh37trVFS1aNJmei803y2kv7fljzR/uT6WlfZvl4oE0W2+9NT+uSJEi9uv7ghsxdW6xJDi9pcHweElVb8rfeptt3Eknn2x58K+pJArHHntcnrJ4TnmbqV6+DlwDqlXOb267RETce+8tdFtuuZWrUKF87ru8affbbz93ab/L3HfffStpRGeT5m6jegC33Xqr1IhrXIuIZLx48eJu+MgR9j76L9r3PKetN996i8re0qFV+XjpUufzte9S4P6D99938+fNdRUrVXRbbbWVJaPPgFF33W2/vs3cpMJ7K0m1KWfcuLE2RrRxc82aN8/5NrdMbn788UenzZzMmn5zWoDtPf8ukSR7iy22SN5zQb92lwQdYPz7/s1mjDVTHx4nTQ/tQgOylfDhx4llGP4FDAQMrBMGTm97htGpKfdPcdttt53bufjOphV+7rnn3EsvveSOqn1UMv90854Eno5ynaQtW/5F0zPRsyhd4Pt08z6/dTHTGkG+UZh4331up512cg+o3Zg8esiP9kZp6PENG9hn946/x3+e/C3MtY9M71EZP/74g9aTFskySpYq5XpfdGHyHs0s6/b7ixa5OXPmJJ/7unj+gxdFcvtmQ9HsgvRNsuLhYoNgIIer2SBFZV/I9Iemu4EDrnT3T56c9iPUQJI2uz322ONvacqVz2Ha/Its8vNpU/0WpCxJZK3umJFEwTM/0Wf+euhNN7pixYqZGQdqrKgpDWkkNbakpUuX9p+43Xff3a6XLfs8+WzVqtWuZfMWZpICMxed9D4RDCsmFQcccIAbcv31SaaM95K6uNYtWroqMoOB8Y7Cq6+84lAvAjvssIP9pqr3NzJlgGndZ5998pRP+0uX+av+8+bNM5OWh2c8rHpc50qWLGl5pvuHqm/KlCnGFB5w4IHpkrmzzj7bNgDvvvtOHnMOaQDsm/h4iY+VdBlXrVrVXXXNNUaIO6mM1VIFRyGOe98vpSJ9tuuuuxq+l32+LPppWrzvtPNOrrFU1+ATMyfMiXbaacc833IjzZK7RZuO4sVLuPvuudfS8TzTmON9FLIZY+R3k8yKwL8kWJqffzeziuYZrv+dGPB2svzaBl+/XLNxzvZdNG00j3g+8XfZ5h/9LlpWfvlH00bziH8XTRe9Jl30u4K8Y7Q0btJEzHhRN3HiBGPkTjn1VBtE98u05dnZs90xderYPf/SzXuf4OuvvzJTRkxYmsnssEnTpv6Vy4+eJRPqIt28z2ZdTLVGRPPmGuHSd9997zp16SITm9XWrmiabOuKkGWXXXZ1H330oZnqRPPgujDXvrmvvWbZIwiKQtmyZZO3Tzz+WFLo4wUxyZdpLjYkzU7VN9HxGh3H8fEff8d7/xd9F80vv7kRTRvNI7+yo9+lu86v7Gh50TzyKztNN67T402SKT+18amu/4Ar8kg1462EwSlWbHuzyfLvxowa7WS+4OocfbTzTBjvssnP5yGTkuShDf+sIGUdcuihVvcL+/b1n2f8pdOR/s5+/jkjSkgJbrj+hjzfHK32AEhGPMD8AkcedaR/JHwUdfc/MMXFCUUygS523rm4u/X2292EyZPcEbVqRV/ZgiDzB5NU7CwCFwU0EMMkLQY4KPndd9+lrDeEcccdd3RffflV9PO/XVeT1Hjrrbcy6e7fXqZ4MPSmm9ydd90lu7tH3A3XXZ8ixV+PrpXdOv2AfeHSpR/bi1KlcjYEK7SZA7ALl4rXYbc9e9Zse5bfP6Ts7WVD/ZEY/BnTp+dJHsc9/YK0atWPOYePScxBKCb/kbL1jAILcTq8d+jYwZJy5iCuQeEF4+fxRx8zKdq+++1rC4/MY+ybgvzLdoyhfblLZwDo42HaTAb472Hg1JNOdmUlFeRvhGiJvz5dmp1071pJWODT8XutzjX4e+azv87vXbr8M5Udf3feOecmyytI2dE695TGzNc5nv/a4oSRxPyCEf/0k090nudG16NnT1epUmX3zNPPuG233Tap+cpm3u+22+7uEJ01gtGF7sS1WpnoWXxUp5r3+a2L70tbmN/aRjnQ6dWqo6eV48aMNboWrUO2dV21epVJ3NEyxKGw1j6EgaVKl7LssfVPBR999JH78IMP3XydSUID8OzsWXZOgLReEwvz50G2Sf4y6991odnp+I7oGC/I3Pg3zcv4fM5EL7LurAIkzNFnFeCD9ZkUwgFk84sUGNURhwQ5KHG4DjA2btrEDbxygCunQxRIgt94/fXs8vszp1wS33rLre72EcPz1CGbsjgYCaSru71M8Z6JyYGeR5943F2q3yIyN+AQYxTq1a9v0pM5L76Y3KiwUy9SZEvXSOYvBsId6KOuvSRVTgVKkepxss4H6dBfz9697LDoNVdd5a7WwU7fHupZu3Zt96M2DUNvuMG10QHCVPWm/KbNTnNjRo8y6S7mDgAHl36Ud5ytc005yHeQtAn0EZKcw6tXN2m5L8/X1d+Txy677MKP+0IHcQH/zqf9NfcEPOrakTpYecqJJ2kRy9l3tmrdSsz3TPeMDsVWqFjRVa9Rw+0uLcuXX3zp6tara/n5f8l8c8ej7DndGjG/wOVX9HcffPCBLZo+PYiP43633XZzNbWR0RkHW2AwI/HSFc9c+3JS4Z28yRNJ/hE6yETbKlWuZGXnvMvpSxjwC3r2cNU0/o897jg7gIpUprkO0nrTFV+O//X15t4/y2aMefzuv//+7jY7lNzOZxV+/0MYuPPuu5LaGDbv3nxwWzFCmMR571HRd5iz/ZrrFQtpINq203VoGdhRTMtpzU+z6/zeYQqWKv9MZcffbSPmts+FOZrAgpQdrTN5eDPJeP7Rdmd6F8eJIUD/2pxxuqTFE0SX6tkcbq51bkD//sas+zT5zftE4k+b2+21qZ8thhDGl/wwKcyPnkXpAuWlm/f5rYtodmHWMq1t1AXtcn9paYGVK78xLRyHVdmc5FdXiKSnYS9qffxFXq46de5seUX/+TUi+oxr/20qGuzfxde+dh06SPPQ3A7Ic4izokxOAYRVmBhBhzn8esvtt5kJEuuWzkq5u+68y107eJArpU0pgCAGwHPK6tV5Na++bP9rCfWPe/9sXWh2Or4D08b/+ryMz9lM9ML3S2H+bjJMOQPUSyyfleTyIEkxUdkDc154UUx3dVPfcT9r5ixXW9LjS8TEIj3o2+dC16RZUzG0RUTsdzTzi/zyq1btcPfcs8+SnZs0aaJbsnSJnaZe/NFis+FDVQhkUxaTCntf4F0x1HhfeUWTE8C2GE8b2IPz+/6i9+35ZJkcnKVT2MDKb1e6zjKJOPKo2naa/qKYFw3aOFGS7YsuvND1luRkb5mGvCicTFC9S2jCz5o1yzQGa2Qnjt13g4YNLd/oP9L4XT3X9USgAeq0cGGOd5IJsk/v2q2b4QVb9b333tv9nLuQvvrKq+b1hQ0Qp87RBKSrN4zrFkW2cJxIB49mSiOmtLvyHimvJwD54MXjBkmD8DjTrXMX10f2eDpAY+8fnPKAq33M0cJnzj2mNaQ/Q2lRc+IpJJq2StUq7v5Jkx0LAfbrmKnAmOuAqeV3fIMGbtgtt7ihMtn5n+z8ypQpa+ZPtWJSa8ZNtO/3l1nN9GkPucWLF5uNNm1h09Zd3k+ATLiHKOvwqWvb5nRXp24d94AI+AB5uKF/8sM7UnW0PTVqVHcdzuxoLhXRjsya+YyV+7xsTPfeay95sxnizu9xgam18cRAu1HfIuXoe8nF7nOZN70oe3MAzwpoKBgzzK1vV36rTdxmksI9bQtJpjE2VtIrxnjduvXcYdUOk21rbc2/Sy3f8O+/hYG9RBeigCQwHWR6V7xEieRnaNiikOldNF2m/DO9i+ZRkLKj9YrmEb/OVHamd+TD2neY1qdWrVtbtmjSnpCHLm8awfqSad4fdPBBom0/yJzoM4cg5/qhQ13D446XOd8l2tD8lpGexekCZniZ5n2mNZjKp1sjrGH6d5nOvXz04UcO2oaGd7vcc1BDBg12O2tcZaK9ciRgpi8IxPpqbcRb10Xy0tWlWw5t9mUU9tp3qTysIJgYetMwO9f2hQQ7JUvur7Z+686Raei0B6e6Rx9+xJ2sDRDCJkxTAbzrHHv8ce6oo45yJ0hgxDknTFVhyM88+yxf3Q1Gs1P1DeM7OsYLMjeSDdBFpjGe6V00j4KUHa1zNI/4daayM72L5hOvV/RdYVxvpl1XavFpYeS+gfJAFYNNLCq2EhpU7ODXFxR2WaAfV1VINTEJ2XPPPTNWHfMWpAfre2BkrIReZltvpNpICjgkuTEA3/WeKPryIYTUf1dJs9e2Xqny9fnHf5HswWTn17fx7zbWfUHGWEHwsLHaE8oNGPinYSA+rzBd3H777ddbM+Ll5VdQPH2qdTHbNSK/suLv42XH32/oe1zfbiXtbHydya8eCJm2EK+SLUOZKb+C0mx4pYLwHZnKDu8KFwP/Cqa8cFEScgsYCBgIGAgYCBgIGAgYCBgIGNiwGFh/IuUN245QWsBAwEDAQMBAwEDAQMBAwEDAwD8WA4Ep/8d2Xah4wEDAQMBAwEDAQMBAwEDAwL8FA4Epj/Qkp6fXBbDR+rfBuuLkn46PbNqP7STnATYUcLCYcwUBAgb+ixhQ9OQ8AWY2dRxwMHNTBLxIeU82a1M/6N67uQfzo9+va/+s6/fRuqzLNbiJulbOL68li5c4RbzOL1mhv88PX3FvbpkqgKMD/M//lyHVvGC9VTTyDYKWjc6UnycXPG3lXq9Du3auglwZntOtu2sjf7cKN2vXPOOQXGHBwgULnEKmW/TMaJ4Ex6lcsVLSjWL0HVG5jpNbwpNPPDH62Lx0UD+FLXe3DBvmaspDTCpmKd33ZMahQyZzNqBQxoajEbcPzyZ5gdKkqkcmnKTLHM8lHifp0vjnDP4jax5hQYT8s2x/f5OLwNNbt7G+xOsI+TCG4uA9j+A9hHrhnSZbyKb9Lzz/vGsn126N5N2FqJjrCzhI9XKuR5/2Z8gDzZl/ndZfX2Wmy5fxzBytVL5CuiTJ55nGLO2hXQH+3RiYP2++q3vMMY7ov1FQGHlX/bBq5i4u200tcSSaN23mFssP9D8B2EBfLY9L6zrOEfhcdeWVNuc+/vjjPE1n/ammgG/ee1melxluFO7dPJ+QhMOKBQUCiJ3VoUOez9a1f2B8Wsh7Fj6+1yewfnBgNB2wjuPR5TZ57MoGbrjuOrdgwbuujTzmgIMNBfnhC/rb9NTGWVdnplwGz3pmZtbp4wnXZhxF81iZG38l+mxdrvPr51R5p5oXV2kOD7xyYKrkhf5sozPle8qt21iFBsfFIT5GCfQz/t57FORmZ7kRPNSeyVVGoTUcn6L4woVYRoGQ973luzZVtEhc5eCuichjUcCdHnX+/bffzc1dL0XBjAdoIH2673/Wtxecf77cIH4WzTbtdZ26da28NWvy1j3tB1m+SFePTDhJl3UUJ+nS+OcHKjJkiV1KrJW0Bn/FhxxysFwF/mTRQ4lwGu8fXP3ddONNVhxu/OirgiyO2bSfDRLjadToMRZt1LetsH8HDxrk2AAAHc8607Xt0L6wi8g6P8Zz5YMqm5vG/D5KN2ZxY3Zu93MK1B/5lRXeb5oYYO7h5m+GXNix+fcwccIESbz/J/dwJxq9988z/RJjoEyZMpmSbFLv8O50v1yh+pgBa1s5guEQe+CPP9a4u3LdypIX0twJ997nCOQTj7eQX1lDxEgS+4BgOEMUcK2gcGrjvzN769o/0NyyBxxY0KoUOP3Y0aPlrviDtN+xjjMus4WH5DaX+BOPy+0sONhQkB++aEMRuSfOFog/0qpNjivObL/x6dCcXHbJ2rvJxV/9lPtzXBj7PNf1N79+TpW/nxfR9pxySk5k3VTpC/vZRvdTfon8lMLURIEJgRP7uxXBERgoCcGcF+dY4BYCCrw+/3X30LSpIug/yq92VwdjTxh2QuwyAAdKyk6whHfefscIzpVXDczjTory3tQAaKjANkQmHC+f3OPHjnUzZsywADmoe/ADzW4Zf69t27W1Ov7v+/+ZT20k22PGj0tGzoTRu3nYzVJ1feA6dOzg8PGdzfej71bgIwUBulNEFmf+E+67L08bPE7YveJ3evc9dveP7Bd/qK8qMiXqph4KIHOword5mHjfBDd69ChXVv6435Jfcfx0Dxh4pS2KSFb++ONPRdTc2l1x5QBJYAYm64FPdHyrAlGc4Bc8ZX5yeUgQIRaHKgpFf66CJHhAOn2fNlj9r7jCAkIs14L8sHbuMGTnyGc5PuVxZVmhQg4Ri/crBMcDfs0naRHHjRT9fP3QvFFPfTr/ixQeH+GbyeUUWhe/gNw/ebIkV1cp4EkjjacrzHf7cEUlpP7HSJoXJcTR9k+cMNHNmzdXda3gXprzkrvs8svFSOzkFi1aJLeKRRRt9QdpPH6VL9qJ8ju72h2dm9d5Yjz/VCAP/J2/rO+OOLKWSbwPVcTRZ2c/a+GvP/98mYIMvSKf7UNtc9rv0svc9jtsL5/kM91ABXGizXfL5/qBkvQTwORJEX58FuNrPj4GmDts9NhEfvrJp24HjW/82dPXQLpxgQ/7OB7i/VFazNAF555n4+0bBfnwkOrbdGOWb9gE4uf9W/nnv/iivq7f5f3cc88+l3YspxtHhBnHf/4XXyx318qv8XZFt/tbG3wdw2/hYuDKKwaYydY1g641V7T55c6chQYhheqnQDjQTGI6VJR2EoYVE4/rFUyMeXpa8+ZukAKXVZH0t+phVc2/PrER6h97rAk/Nld6gEVzhIJYYWK2/fY7uDNEp4kjcJsCwLFB33bbbTRnyrsHH5ji7lS5BD3bYvMtlE9926zXUAAxgtQQgr7RiScoguZNcpO6q3vx+ReMWWWO36V596FoCS7noA24omXOs7noe8klhoPp06aJjnxlsQgI9hW9h87S5gemPpiyvqP1brHWk6Iau5iCEMeB9azTWWcp/kAP28x43NLuttIG3qv1paetd7uYD/ETTz7JvaT1EcB14kjh5OeffzGhFsGCbpc/7HgZaCauH3Kd663YEPeOv8e9pnUITR+4j+MUbeOdI+9whx1ezaJuEgAHoN9oH8HfkBL3llCq5hFHON8/pHlYayqxP37+5Wer+z6KsYG2+rHHHjOcniopLps2tG83yp86G/4lSxbzaR5A+vyC6rHovUUWq4K4FPF6vqB+i/d1w0YN3XVqZzXVfaaiotZT3x9Tp44bOWKkO0HrUPESxc2XO/ggpsgtWhs90L5vvllpmlzG1aX9LnP77ruvxXWIjgHwhtkHfsc7dOz4tzYTcO96otYeUNbW2Ylag4gITXk7SfgYXTPR+tIf333/nTv0kEOtD+P1J302+IIPYb1gniCBJlI469L+++9nc+EK8VX4evfjG7ywUWGjxnxl89dQ6yR17dW7j236GKPwNl9q3WfDiTAVwQvw0NRp7inFv2AdKan1jiB2fsy0Pr2Nm/faXI3xd1xnxRkpo/ULnoY4Gcyt3tJK9BMfgTC2VJnSmoPPOwRvy5d/ofV0lTtbMV3IF0Ee/B08D3E3PF1Abhuv7y677pKnn6kTAO0izy7iQQaLzhCzBh7vMq27xyg2yvSHptu8+FC48O2pLp6I4GeMdcocfsdIiwliGRbyv80LOb8CZxdnyFNl0F0O+ZEEMIAAonbCyO2nwQXBe2nOHC3qz9rEq1S5snUyi3279u1MCk3nxWGfffa1yJeEW4eBq3zQwRY+HQbDR5isXr2GGPu3XMPcqJmbb7G5AgbcZHnOnjUrmSWTlxDnhF8nCEK2359y6imWB4MURiXaBp85Ewrm8qjaR7lrrs0hhrxjUPbW8yaKYlqqVEl3rjYxUagkSSb1IWgRiyHMKMDAhkhCYMaNHWMTKVoPz5CT1uOEuqXLj5DSW2+9jUVRI2BCFCpVqmh1QCtB9EzqAxDKl+CiY7Wx2XmnnZOfxPs1+UIX48aMsQ0Wi8w0LXAQr0zA5IWpL1WylLtMwR48EAWN/pw0cZI9GisJN8GEWBwIdhSFaPsJq0z9z1KQJyY7iw3EiH5nIWYxQt251157G2PB+INwFi1W1IgYk/qsTmeblI982rZvbwSXfhmigEZoPwjcsUSRTydOuM+kLj8pqMT9k+9PRvKrr7p37d7dNnDLPluWcgygCSJgENCrT29tYOc5wl17SNePqfAQ7w8kck8o8uw4bbRoj4f4t+nGrE/Pxo+od8Dg64ZYtNSMYznNOCKaLwx5O+ES4h6vhy8v/BYuBrCzHTtmtOjxNI3t57POvLM2Ymxukcwyf5jLHg5WNOG9997Hrfh6hT36XkzS8Q2Od3eMGGEB4ipL0n75Zf2MmfffEIzlNwXD6SOzGEw6houhel1hzd97b6FF29xN2jMYcDZurDOM2fffX2TCC8ZNse2LuUEaf2zaiaTMxpWNLAsuQiA2zYcffrjD/OZaaaqqHnaYu++eey3sPczhQ2LGYVhg2IiqW0RMSvz+OAWLYREHUtW3qoJ5vfHG666b6HelSpW14X7K0sIwpNIIwMwQfAYJIIKcl19+yR0ZCYAGjgjs1X/AFdp43GgMZ6oyoBM/iIEHf0jgYTb5TVVH5ip1bKwNE8xRFFb/tNqd3bmT1qGmrr82MVEg4Bp0lsinFSU9ZkMPbThHNAzG0qJHKtIyZiR3iElGUEE06uI75w0kRZ4DJEDpeOaZ7qRTTnbz5r6Wsp6p+hqp9apVP1q1bh85QoziXbbRgfEk8jTtHqu1pUXLlq5WrSOj1bdrmLcbh91kmyY2aND0+BiAEYem0SaY83ibqcNPwhNrwyBpJNjkwJCjVR45fEQySjMFsiGsULGCa9GipQn3UtWfdPnh61JtGLtJIMQ4AucEjCtefGejl/T9d99+Z5vZ6Pg+8aSTFOeluPFPjNvXX59v/AMRUu/XXAMwxWL8Eal73rx5xuTbC/0jgCNzgX4lQF50zNyoDUFJRTKFV0CAifkZG2c2l9PEzMPgsy6zjjYQv0JEbHgcAvWR7r2F75nwFIY5Z7zkpQv16tf7W33Rzvl+9gw5dSW697y582x+7bvvfuavnei6u0hr31jj2M+LaHsQdhFs6cK+F5mW2Ae29G0vzN+NzpRn05hdFBBoq6230iD6yZhe1BzPPPO023abbU06W0PhzHHAT7TLlbKNYyf3+++/uXu044RxIqBQHOgAdmIAkhYWdYAAAOzWV69arbDwPzrMInw6gjf4AAHUJQqbb5aDSr5dm+/jbfB5syOFEJQooUmlCeOBiU0oZST9RVXnz7TrZ7B68AGUYBq33mbrpGkHjP+OO+kbBQ8AFkjCkQ48TnifLj8iixJamx34zGdn58kKKbUHjx/uF0rqgdkKExGJMMBmJt6v9iL339Xa0RJFbZIWdQBbsbUBAhnRnxBJABOXhQsW2sLJbj56sDNl+0XEWbwhFFHggA+RNK0/ihazvsHeD2aAvoMBIUKfx+OuqgcEjH5ANU3wiZ9++tkk4EQiRdqF1CtVO30e6cYA74nGuo3mB+DDm3Ptv42PizgeGE/x/oDZoe3MgWjAi/i3S7WxSDVmKT8VpGuHT5tuHDVt2kwM1ysOez+Iarwe0b70eYXfdccAWhoYOCTXRx71d0YmXQkskEg4CUGOFojvo9DtnO5u3LixdqAKzRJjlWiMbHqPVdqHZky3+eS/QRiDpBE4WhIutC0w79DBOpLgMQf8eCdN9BoaAANQWUKcitr0oTlljUGiSlTc47QhmC/NGOMdZoIopkh4Ka9cuQPdrYrWi1S/0QknmOS6qxgX8orfs5gT3RhIVV/WMLRaSJD32nuvJF0i8i50IRXAaLG2Ee0Shhka44EyfGA5pJjQ+3RloEmIQ6o6InTadtvtLJx8aUkxo4AklrrD1EIz2HB5YG7ukBvwqLY2CkTcJEIzGy5oH3jeTzT9lZdfdo8++oj1Hd+yNkQBbepKSaxZL+pJO9i5a9eUuIz2b/QahrmmzhwRvI2IplGgbfXrH+vq15FpaIymkw5mDultqzZt3NxXXzPeIj4GovmlajN0nHHE+EdQRJRw2l1e4/op8TFsDDycIvNdGNDZs2e5X3/71R6nqn8mfLEWsbnce5+9bT0qUmRLyydKR/11dHzDCBNSHvB9DtPO2GfzBdDfSMvRdFHvKJ4tQe4//z0bAARTSz9eavPm3PPONY09cxqhKkI9xsJv0lRHgVD3pUuXsfzZzJQpW8bW7Z21sUATHacLzDPGaLy+0Tz9NWXDd6AJRluLpoOzcAjsgFTzgufUg/UaAfGqH//itXhXmPAX11SYua5FXuzmAP8bv7aX+scEQVXJoOjavZs6urwG4CemnoSxHTNqtJkKkB6JZjftyjGTyBYYTNiWw2AxGaZMnZqH6KXKJ1pnBke231MWgMQD6SkqVt8GXw7SnmLFtrcduGcy/vwz4Q6RVAH4XSY2EBMGsN8w8DxaJ+5NNK3/fIfk2hMg7qP18M/tk8i/dPlBaOZoIWBXi5QAqTpAeiY8gBlQNF9C3H8ldS9pSJ9Q+1P1q32c+2/QNde4+ZKCwQwA0fr4a/+b+4km9BZmOuLr5J9Hf/dXeOQVK7527WSjXadOHTN3ib731/G8VQH/yn73EMGHqP2+Rrj99RcbM5gT8R1mFR6i+USvec+9t7VuqsNO9KdPs5k2ffR7tC3pxoD/Jpsy/biI4wGmOz7PkNxh5sPCmzMWc3AQ/xatSKox6+vD7xbSOgGMi4MkJQXSjeV04wiifqOkLizYMHnxeviFxzIP/woNAzCB18kU5G5Ja1mks4E1soUGuoihuvXmW8TMH2VzBNMu6B/AprumaHgXMbgweUD58hXcbPUt8+tlMW/QfYDpBxP8hqR5AHlgHvCRJHCDZSt9kTRVfSSFo67MSWgQc4dfD2tyzxUxX6rLlAVY83vO+19/+dUkzj4tvyzmMF3A9mo3ZzyWSBr8pDb2SOUwf4nfW+Lcf6nqG30fvWaexQE6mRANgGFnY3SrDiEi3aTtHodoimE2ANKXLXtAPJs897QdHK1Z84flka6OcZqSJxPd4Izh0EOrGBPIO98/r0vCnnNPBOVdxZSWVzkJx8bdP4eu7CWTnXlz59oz/mE774F3SClpF/0PE5Wqnpn6OoFqNgKkpQzwXL1GdTdh8iQ3UpL0dN5TeI70N9UYADce/9Qr3maEeZTnoVz5cqaFQXiHMMrjgvdokUuWKmnrHH3t8R6vfyZ8sWn4SWetvAMJj8uttKlZpQ0CgKBmTe5csgeRf77MyKPkJThYpk0SG9/rpOGNA/P5rzmag3MEYQg5Wdtg8DlIiqbs7bfeNhMt6PsfGqvWJ5qf4DJvHf7Cgy8vHV3w7/2v72ffP/459KWvTLcwXStVurS7Y+RI26T797583x5/b+/Vl/H+8N8Vxu8WAwSFkdG65IG6HXXoJ1JBIsHwUoI7hShUYKVKlXaPP/a41Ao/SOXUzA5T3q9JhD1gjZo1bGHHNgiiXlFMYgsxbvOlWsHmFEJ+mhAPEQWwTcNsg+GCyhJbZXatEHNUn+yGUA0xkSDiqP7Z0Y6UGvWbFd+YNANzGKQfu8juypvULFv2mdkZYvKCCjGb708//XSzY8e2ch/tOiGyvg11JREA2InCAHEoCttwNiDsPM9o29ZUj2+K6L0qe+Tu555ju3D7SP8ef/Qxk5IweZFQQFRq1z7a1TiipqnPaC8DFVsuFlbs6akHqikvfcLG3eMEdRdSl3h+SJZeeeVlG9RIdbfYoojhhHpzaGSaNjVvvP66TWRso5HssHjeM268STuYqEzihprsTM5ovyKh8sAkpi922nknk7Zgz409Pe0qJaL+8PQZ7gcxi0ikwT3whbQMz8iOkLrQd77+b76Zgw8Yg0rayaOGxtZ6Z6lNj6hVyxdpNv6+/W/LjOmvsfiYaVGqyDQIdfwPOneAOo9Dwi9Inb9AkgTywUYP+1bKPlbqQDQ2mFuhumRjMmvWTFMnsnBOfeBBEck1UqHWcg/JLCBHE5OzeGHvit0fNu1IA558/An3Px2Qw74UKUh0DEA8xo0dmzO+NUYZ3+DRm5ukGxdIC6N4QLVKf0b7o+YRtdwjDz8sDzZPmhnA72JgsPvF9CD+baoxi02ul6ywIGBXypyHQHJYOtoOJEoekE48qMNy8XE0TKZkSDXALxKYUmpntB7RvvR5hd8NjwH6jQPRSEaZ//NlUnVR375mWjFB8+5XbWKRWiMEgQ4iccW2Gdhjzz0c/Yx9KmdW2CxyvmKrrbZ0beT1iPM4zI13ZJN+sVT2n8usDRU7NAYzCOgozDNqb5gJ5uZRkiBDw6GB0AgkfkiVsVl+6qknjSH4QrasHc7smHs+6HmZiBxlZgUf6NzQZSpnvhhEBD6PyGSP+YAE76CDDzLaFL2H5sFEsqbVljYxXt8HdfbpNUlgDz70EJN8Q8/A0aknnWwHBpH2A2xeWZdY17CF31fSdQQxSBDRqiGwQEACTRs44EqZJ3xrm+fze1zgxstMMF4G51PGjx0nKeXWwkdtd7NwjHa5RatWf6sj68cDU6YYHWEtjMIM4fWrr78yaTfmJQh8fP801boLI/3AlPvtfBf44lzKNjJhuFZCFnDDGR3MFmDcrhs8xMwK6EuEEHXq1rGioBl8g/ce+q2DymEsxHHJ+Zl4X7M2YJKz1ZZb2YaMdtAXnBV7UDQXgUDvHj1tQw89a3pas6SQ6mdpLrHLhg/AhrxLt642Vv4+Bh52eDlhbUTaGm/zn7K7HzN6lDHCrAms8VOnPqjDtUNsU9BaUngPSLhHjbpbttTLbazuKkEPa1+8/phSpcMXGgWY0Wt0lu6dd96VOdd7Nh9Kivm8QYw082Xx4o9U5GZu6dIlZoLG+EYzg4kOZSO9hpZiUoStPptR7PE5A4HXLLTdnJE6TPXw6y1teOyRRzXWXnVbio97SGs/aw8mONDwS/pebOv0aeLPEMINv+1209RjMryN6HhJaSXgs9AsszZ+9tmnGuOl3ST1H5tk1mf6A80ScyRKF9DM0rfx+n726WfWz2joGGMeWCuo60UX9zVawgaJefWx1iNoDfOCNdC352uNVdqFoI0xzzzFLBhcFzZspkU87xaysEtYT/lxOICBAxPPbpeJi5rIq+4olsMNEHEGaLYAo9pctmYwiFsrbw59nquDcx06dsgqi4J+T3q6AAlOqjb4QpFEMPghztFJgCoH6byXJvr0+f3iuihqguDr4aXm+X0ffU/92TlH6+Xfw9jxF5Xi885L/ZGOYvLhIdqv/pn/hXmnfuCKNmcD2aRl/PCXrdQvU7nUEVxE25Qpfap3vp3glX7xfZKuLWs7BuJlp8JDvD+oG5JyiBGE1jPZqb5NN2Z9ufH2ZGpHqnGEmzjy8HSAfFPVw5cXfjcOBrA7X7hwQVaFY96ww447JA/R85Gnj+kWQMYNY9GnZYyyydt2uxxVPN9DN1kruIZ2jBsz1jXQIUDWC54DnBOCBqByZ4OQDhiL/hvmp0nctcbwLH6fKo9ofVO95xmSTEyysNXGhLIgwJxgLYTxyRZgpqP4RYIbZWIy5ZNfWugA9NDTMfLy9H+73H7jGXP3D9U9vibwDgBv9IunOTyLlw3+oU+MB/o607ro6Sz9CVOHpjMOnt5F+QrS5NeHqdoczztdHoxVaFqcPsa/zw9f0G4Ybcrx6xHtZJ3mWUHXO/qMcwM4H8DuGiaVDUtLbeQ8gC/4rWgf+Xe0izHmxwH1Z87wjV/P82uzz4tf+po805l5+bS+n/29//X45z3jH5zHIVN74mkL6/4fy5QXFgLi+XAKG68sF2pXzqBFytGjZy+TQsTTprpf1+9T5RmeBQwEDAQM/JsxgNT6zA4dzPvVNTpUuT4BhumUE0+SB6V+ZgNOWSzuvSQx3WuvPd3F8gjmmYT1WY+Qd8DAPwkDOFdod/oZMhku5/aT2ed20tp27tol4wb2n9S+TaWugSlP0RPs/jAT2UISFdQcfmeXImnKR+v6fcpMw8OAgYCBgIF/KQaQai5dslTmDaXXewsxl1y58htz3YcNMIAEEZoPYIaWSVJuicK/gIH/KAY+XrrUtApRLcd/FBXrpdmBKV8vaA2ZBgwEDAQMBAwEDAQMBAwEDAQMZI+BzbNPGlKubwwgLcL85Z8A0XpiQ41NVkHB2xUW9LuCpMfuDCkYgA3b2sI/qW+wtc4E64J3+hm7v/xgbcdEfvmme78ubfJ5bug6+3LD7z8XA5i9EOciDhxgx6Y3Ctg68zwb+ERS+3X5Pr8yOCu1PmB95bs+6rop5GkamizHhK8v9Bd3uwAez/B8tj6B8b0ua2e8bpz144B1YUJB5lZhlrs+8troTDmnqQ+pfJCrolPQRAHE13gF2Sy108l61CRtWrW2ewKzwBBwsrnR8Q0cnjRObNjI3t16883mYYTnfEtkLYilB/zLniLXUbzrr0AyHFaoflg183hxrk6E8/x8BSjC00YLnRjnnjwBDjPgw5ST2mfr1Df25q10erhju/bmCrCcXFMRLAjocf4F7uBKlfO4OLIXWfzj1DhtbqQTvdixRwEfmtSJSJzrA5h0R8qPa8f2HfLNnpPS4JKIjERR7d61m0XnrHdMnXy/JQEntzk8wWnuyhUrmUeNbD5cGxzgsadPr94W+a29vNXE8ZpNuaTJ1De8JxIh/fOYvAGBxw7yirKx4JZhw1zNw6u75zWeqBMejKJQULxHv+W6/RltXaczz4o/Tt6zeSnomEh+XMALoiri9mtd27Qh61zAJv4rksNANFEk5mZNmtrBeWhtTQXywZsR45Nxes/48dZW6AP09hXRB9aCGvKTjB/7x+Wpp7ICxuH5JLqgvynPIMfJ40VnBfVi7PPNVYpUOHvWbFdNnoGgUawrjRUCvecFPZL4xCPMcfXqm2eL5MPIBd5ibE7LqwYuXw+Tuz/yZg1iXTqp0QnyuvKEeXDBDa8HGI735amjubyE8esBO/aunTpbtE//LN0vHsKaywc/AVY88H0XBe7BE8e6Ap5CmipYWmHD+sq3sOu5KeTHOPF9Gg+6l1/9BsphHgGvAMY2LgYLG3AE4QGPYR99+NdY9M/X5hea3UG807Oan4UFHo+FMTcKq07rlI8WpI0O1atVS4iZsXrITVLigNJlEg2PO97u5dItUXLffRNa6O1+6dKlCRFBu77gvPPsnYIL2L1Ct9u93NXYffSfiLO9kx/ZhKS8CS3kCW0IEnKFZ8/FsFnye++5J1GxXPlEqf32S2jA27MhgwYlRIwTCkyUaNm8eeKiPn0SWhgSq1etSmgjkBBjnlCglcTJJ56YEFMeLTbr69YtWibann56Qt4HrH7RD7VLtTreNPTG6ONCvaburVu2yjdPuc6y/pHrsYRcJlm9FKwpoUUu328l/UlUPeTQBO2RhCChzVNCXgLy/Y4EBcWBJOQ2puRq0PKXu7OEXGhlVVY8Uaa+Ia02iIYHMRSJJqecamMknseGuhcDlBgzekxCm1Krk9xs5im6oHjP87FumDMzZ86MP07eM6+Yr9mOieSHBbyQNiAhxsdwv65t2lB1LmAT/1XJL+/XL3Hd4MHWJuhA2VKljQbw4Ni69RKKhGnvFG04oSiHdi0mLyHm0a75V6tGzQTrQRy6du6SUFTG5GN5UbFrMes2DrmR69pEmZKlEmK2k+kuv+yy5HX8wtMPBaSyV9oMJC69+GK75t1FF14Y/yShDUIy/zPatElIoJMnDfROG4c8z9LdtGreIiEhUZ7Xt9x8s9HMPA/X8qb8AQes5ZeZP1tf+WYu9Z/1NjpO5Ns+IdeABWrAa6+9lmB8rS9gfGsDub6yTyjMfULRoQs1/8KcG4VasbXILDu/cuvE9uf/Me5zvNtC3DA1aNjA/CEjBfF+Wp95+ikzQ2A3hI9uAF+m9it/m4B3w5MqaIjPn3RInPFpi9TD+xn37/m9+dZbTPqL5HvGIw+bqxxO4+MWSTgmC/O5i8r89LZnmKSUsOqEZb1dUvoo0Ab8yxItDr/J+M0lnHsR+ZBeuvRjN0IhnZHk4wd1yy2LyJXVD5L+/SofoVOlvvzRdZVvVHy7esBDwDvvvO26K4QuEeDuGHmHG66gB96dD36pJ8mnOT5g8aN+/dAbFCJ3shs9epQrK9/Y+DrHv+aAgVeaKlUbGXmZ2VE+QT+Tz9iKvhj7RU02TKGaf5R5Cp5o8CeNb2n82T4rTQU+wAEk0DdKSnWDgnb4tL379DHftWMUYAQV25lnnW1SMSJogSvcfBGVFb+i+GcnAMyIO++wiGmE2m7fsYMbrmhfqM2OkX9f/JICHKJFm/Htym8VvGSU/Ao/ZSGD+15ysb33/+hH+grftu++m+PWkoAbwFT5Bn7n7XfMddaV8uXKoa5oO6m7ByT0vm8IO9zjgvNdc/lQJvomUdVuk4/gTIDGpqfG0UeLPzKfq7h8A/f06bndutuYoH74LSdUd7TN+L2Njh183IqRMJzgp5eIgtE88OePK7QPP/zAtW7T2qqFRolw4dsXK+YIMz1ePszxSV9FeL/t1tvcb5oDuA1D+jdG/ozB0ZWSxBBk4hP5zMVl1eX9LzdfsxyQo36k2UP9d4FcheIzFt+6+AieMGmi/MzmSOYZE9cPHWoBVb77/jv5nv7MwlVTHzRZ1H2yQnATkvmxxx41N5J77LGn+WE+u9PZFm6cyH8E9UDlHx/TV1050M197TXzVbz33vtYiGWiBhJtjfn9h3wD064rrhzgLr34EvPxjk/kl+Rb9zJpypo0bZLstvzqTJTgKJ7pq0FDBie/Dxf5Y4D5uLncmQKMGXzb4zO/lcYpcReIOXGypNmMhWaSMucA3+TQeO4JOEVsiTh4us9zfFJ7F23RMnlH6PnB1w6yADB169UVDU7vY5hvT1V0RdYbIo8SDIsxPVASSjSqRIgEkM5/8cWX5u+4n+YmbggPOuRgcw5AtGP8QtetW88C3W2x+RZ2mBSJN/Ecbht+u617I0RDWEsI1nVGu7Y5rkZz2ykGSWXcbLTsueeetXgIVnDsH9L166+73vxRMy+GSwOBFPVt0XvqT9AX0uDnGVoObURae4PCnxONeX8F4hqqgFBXSMtQVG70Zijuw2LRLAKUEbANs5R5r801Wtq5SxfDoa9CPF+eQ4fwn/7zz78oVPuhFj+CNWa6fF9/+eVX5oOctZA4FsRtIDYGYepTQaq8mOP428bEh/gHrE1oYIkLwSFe1pDftE71Fy3DLzjRfqHjSGoJYEWwGMYN7WrcuImbPv0h17hJE1sbiP+A9hg/3IOuG2JxSm4QbonwTHm9tD5wX2z7YlZ3IqbeNmK4ueiLtqe+NDjXDbnOosTO1FqJn+/TpQ2PjhM/vt+Wb3Y0rtBFfPQPUtwVYkA0lNtOD9q4Wn2/krYaQJpNn+OfHl/ntJ31htgN5/foYQHVaDNS7n6X95Mv/eKmNY6ONXyQ3yVf/w1PaKQ+n+569e5jEVdZV6H1xC2RMND1VqAdgngtlmayqILhEdVzpL576623ZUUwxQIfMn4Y4z1798o4XpjHb7zxupss2o7P+D5ao+fJ/z4aT+raScHDqh5W1cYqZrKMj1vU3/ExyIHsTHMj5bjR2hNvw55aZzcl+IvibUK1IugMQPAaHMJ3kYkEvkRhpggQUxD/q/FmDbjiCgtuANH1jGw8TVUxPFcpuAEMciepRVfn2ujCpBKZaoWClUAsV0qlyCRo16GDBV0gYAP3UVvAqSI6MMIEPYKpf1qDneiD511wgYj7PPONW6duXQWD2NcIHUE0BoqRgEEmYiGqoyh07tLZ1JrYHMJ0nHLqKXnaMW7MGGM2CaIzTQEKcGNU6aDKFjH0UAW7gSG/f/Jky5LoYUSZGStmbOed/u7TFrUxC1F/4ex2MXDgDMZwSwVioI8gXkCHjmeaijmalnIlHbOoeMfItOWF559LMtaDReiIoEcUUzY7DaUKJoIqRAb8EbRj7Gi1Q7bgp0rNyibGAwz0CXJnxoKBKQ11aquFLBUMvelG848+etQoJ2mcEWT6hk1Bu/bthJvPLBhFvJ3U3UO0b2gv5hIrtJgRlY36/6JNQiZgjBHuWBJA169/f3egCAlMIlHs2Dix6EOEGokoxtscHzuEJN5aQTRIj8oznseJJ51o44h6eSAgwlVXX6VN1GwLplX5oIOt3ngWIqQyARpgMJcv/9xCDT/15FPGuHc86yxj5P/88w9jyMmPdjDul322zHw5w6QDvfr0trFMcKfomGAhhLBfrnazkBCVlYiD1I/ofWxCaiqYFQwPjD39Rf+//NLL7pprr3FLliw2xjvVmGbcAzAIBB8hTzZslAeuL+13mSNI2ENTpyliWyl7f5bmMlF+42rO/OocxzN9FWDdMNBYmyIEIsw1oiSy+WVuLv98uVubRRK6yoaV33RwiAJ/XDt4kJOGVfRjcbpkyeeN5SOc+cAZDTaWMCOYssGwHN/geEtHEJfPP19maxLjEPp9pIKOAESwHCHmj4AsHshrpO7ZOFMHNhG//fqbMSYfK3DJcDEfUaA85iaMDoFa0gEBWn76abUFvxs0eLCtcdDKyoo0SjA7GNZLJYjqJmFOFwliYMpLSABWvPjO2lQst/lMgDgYttsV1IVgK6RlLgI3inknEAz052ZtEqIQz5d3lHmUNslshG6SYGfOiy8a0wc9ZN4Xkb9qNugwXARpkXYkpW1+urwIpz5/3nx3rdxnQqMBvKQxlqjzAAlb8F+NaRF22/fdc68CxVWWLfYiEyjtuutu8nG+0g2TmWqLVi3dW2++ZcH1Lrr4YtucICxgDSUADmZE0KWeMoWaJnqCoKLELiXcJ+qvW0VvPhTtgf7E2wONXbXqR6sbApFRd92VcpyQgE0qwgU2EwACCT/GuIfBhAG9TGsqm1eAOCMlShS3ecNG8b33FroevXo6ooCzlsI/0Y5SpUq6hTIhSzXWjjv+OBNowBuwrtyv8YhwkX7iW4Ll4JOcV/YkwgAAQABJREFUMVpVDDTMdDc9B5dPam7U10bj1VdetXWYAHXvv59jspVpvFD3okWL2Tygr4gPAE0mumZl8SqPPfqIjVdpv2yzVatWznyK55nf3Eg1BlO1gfpsSrBJMuVIlJH6PazogRAKfGHCCA4VYailyFMFAZmV5Ek+QJIAOj8/YOfdvkNHm2zsIAEIKpOd6JlI2WFQIJRdtfNGMllPEol7FUUU5sDDSbK/ZufeSZJiiCTM7HmStkqFY0nYbESBYAjUGSkyO3AkolEg0iHR55hwz0gSQpjlKFydG9l0kiJNAuTvJUkw/lsrUhUEBiAqF8QFh/7b75ATBdNe5P6DqeIABcSk94V98rQrmo7reFoi60GokFiyoCA1jQJSBw8tWrYwaT3MMzg2KbrKXrhgoUl6Wp/eJhlsgm9aiogSDIFJS/0I3RsHFiHGzGxtBjqJcWPBRyokswqT9N8z/h4j5kTZjNc92n/xfNkEAF5LE3+f6h7NDX1A5EAi8H3zzQqrN3kRkZZooEirqEe0zcdqPEXHDpK3P9Uu0s4Ukw3E89g8V3vk6wHjzWIKwExH8c41UjOkywABS/bZdx+l2cIk5Wg9qHMU/FjiGddosrbROAX4PgosUtspgAsbL6ShC95dYPUlDZoPJEKEOCYf3rOIstGEcfYbZsZDqjEdLSfaJsrccacdTdpImgUL3rX8uaYt5O/HP8/ikKrOpInjOf5duC8YBqBbaJ7uvvMud86552oxPtgkcoRhXxtgDiFIOV/CjkyANBQazLmIH374X6ak7oADDtA6VEoSzOuMzp8iKSaCIca5D1bE/EoH+HOGcfrp578OXrMpZyzBFKKNekn26j6C8tHHHC3G7rk82WGnDWMNwERnAsY2UlPWiFlidGBQoSEPzZhudvhsfNlYQzu9liCqVfbXTzz+uEVWJe2Nw24yTefSj5fKP/WBFjkXTZ8HIhumypd2+YA7rFfMq0YnnODulaS+q5g/XFHOmjnLomkTJfQprXdxWuPLSJUXbYWuQfujQX/QSCMwYQ1pqiCARECF5oNj6n/r7beZNBr6QhRj8oDu8MfmHXpWongJR4TH3ffYXUF2fjI8IiBDKMJ3v0l7y1oOw0ogHqLOki5Ve0iHVmXPPfeUhjPvWu/b53+7ndPdjRs31g5x7l+yZJJu8f6Zp552RGEFSpT4axz48ccY4aB6HeGaNsjE0LT5pEcAiMQ71VhjI0OUaDY54HK1NnZxQPIOwI+g5dpnn31MS88mML4ekA7NeLrxwnuAuUGEX6JVE22WaK/jFWH2ww8+NJ6FetWvf6zjfAn0OlWe+c2NVOMmVRtyarTp/N8kmHJ27fxFAUYMKSS7VSa3qRClLoJwefDf6Gt7lLwXswywoI8fNy7vOyXtpR2vH0zJb3LLRxq+RowPcPkV/WVecKSpZLiHgUHdwh9EAbUYO0z8dXLv30UjsKF+uV8hwjHLQUJNmHIkv0gXAV++3egfWgDUmDCVXbt30+D9a5HyaQlnvGLF1ya1j0ZhIw+kkYRcRuUI8I3/zh7kPLX/qOG/kiqR9zCiiVy8+XQQBsxHqungICZDLCbpIJ6WxQhiOUPSMDYvH0uqgNoKYJJF6wRBRFrBwSlUfsD+Ck5AG9t1aO/qSDrvFwy+g9C1at3G0iNhANCoIGn2QJlIzuizS/XbqXMn9flmyrekJYHxgxBguhOve6Z20s9/rPlDbfhr4+XL9G3yv/45vzzjD+kMkmGvQvaLO2nibWbDER07LLgcOuO0PUTK+kx5RvMgnyjAxBO5DOD7KMTryT2L5WnNT7MNAnMvUyCXVN9H80f1TehqgD4/RKHE/TdFt8uJwMg7/yx+7e9TjWmINkA///bb73bNv5wyf7fy/H00f0uodqaDVHUmLXlkwnO6/MLzHAxAW6L9AE1Hkvrmm2/YQt+4SWNpNsa6E2WK4CGR4Bt/R18T4favBwgmGNuMAZ8ORslDzvOc9FzzBxBamzkPE+UBOpcKkOhj8gFDCUOPRC8uGPLtgm4QkTJabjRPn45npKXOzLc3tDkB+K7sAWXtmn+833PPvUyr5B+SP+DntH/Ob5RulS9fwcwN9hAz+PLLLxu9YXOApg/444+cfLaSQIbNAcBmg7UPOjFlco6zAdYs+goNMWZkMG7Rg4Uwu6nyRSOGUwaAvi9b9gCVvdg9KcHDQWIgZUttzDOmdTDACKOWLlmSsl2p8rKM0/xjDQfoU8YYmxNMI4DttR4gYY1Dsm+E9OR1biL6/O233jaTEKP/ueMono7NQLw9ZOH5E19mdJz4Z/zCT9SsWdN10aYFoWAU9txLpn3z5yXrxhrkgXoQfGuwzEcvkha4j/ibinKiQF3QxmKmhMAn01jzefnfPzX34EE8xNvqn9OW39fkeORiPQL38Drpxov/zv+iGYNBP0sCUNb6I2odYfMAYWL1GtXNfHGktAy/6z6eZ7q54fMu6Ljx323s343OlKNuxD74G0kPGTgekKJVlYcUbIsApKPYGvrFGKnbAtk1AQ9OecC8r/AMwM5qyKDBrqkkGwwabLax2QKmTZualDjA2KA2BSDQ2GNPn/aQ2aTCRFDW7bIVS7eDtw/z+ffmG29KojvWzApQbyKxZbdNnSFotB/1F4MTtTvqXNTs7O6Pl5QDE5o5L86xUrAVZNBjQlK6dBnDSbx4JgZmHYveX6T6F3FPSuoxf+48S4bHAdRcTDBUf21lwoEKtbUIAJMXfGBz5wEp/9577yMPOC1Nss+G4W2p+bApx+SDOgKzZj7j4mmRMF3Yt68R8nqq7xuvv2HqL3b2/RQxj+8BbHyB9h062AbHawa4h6jWqZ0jPfIE3uOgvSYwarp6uUy8Dp2aes4yy/23UvbreGUA/+AV1WQlhdA+UlID7PfworOHpCHxupfMZdzJBvz4vsFkqIaIJhure++510phzMAoA0/KkxALF/bZUQ8RvMMMpJNMQlDjsimkz9mwvSe1IupVIN5mpObRsXO2bFEhzg0kZUargI1mNA/wNW/eXMvrlZdfsTMFr0oKocO5ZrYEU+HrincKCPmXsodF4gDQFp6hol2+/AvTUqD29UB5SMWWLF1i3l1+1iKPada8ua9ZEr6PjgmkoUj1b75pmGm82rVvn1wcX3nlZfsGtSxeKlZoo0GdGO/YDiLpAZB0pRrTmJTAcAxT22ZKygYwllDNM0d4zoaZ8TFP9QIwRcC2HSkOC5WH/OqMfWkUz/678JsdBrA5xpsKquqo2Qgh5Bs3aWqZMFYwQ/DSYJi0h2c8bIwc/coYhT4Nk4nTVdJ24jULm+n3F71v4/Q50XHmuAfecf+I8mBMY7P+oIQjMLMIZJCYIqEF8LCFR6lUcIq8xiCFRyLKWQqkntije8DOlqBHCB0Yk5jwoYWD+cXMhfUF4dLMZ2ZaOfM0npknBCp6VtquptLaYveLVzA8zFyUSzNhUDlHdVqL5tI4fmSeuWgL85n64uUrqgWmPJhehCAwU2d1Ots9oPbWllCJtQwhADbbHWTqx7mkNWLqwCnq/Pu0uZHzAtMcYBbH+iOHBw6PWghMEPxgFtGlU2d3QoOGFlzJt5/3qfJFm8zZEc4ZUT7tYA0YOOBKO38Cw3WyNv2YdxxRo6abKM1uRdHmo2T+owP5Pnv7TZUXvAKmL37Nj34AA86GjXndsnUrY/pqS5hXX+NLh4Jt4/6qcMgaxLpHemgZazF9tlzmPKyVMO+c94GRR+ra4/zzjSegj1mTWVfpz08+/sTWwHh7wA20Ayk344AyKNOPE+z2oU2vvvqK8QW04Wwx5GxaYGyjgLkn5lcnn3CizIGG2nhjLWc95O9zaSx6yY78ZwmLGskclI3V4TInQdKMBzJ4hlRjzdeLX+zeGUeM5VIlS1l7oc2M79mzZmp9e8KuaTNtn6uxiOATwVi7M9rahpU+WaKxm2680Kay0kBNnfqg9TN2+c2lKUcL0FMSfUxZsFeHTmB+8o74tqpVD7O1Pp5nqrmBJxYPqcZNqjagYdikQAzaJgtasJN1E6ExbyfJBxvwIlqPgharRSAhpiKPlxExDZYNHl3SgaSk5nEk/h7vLHg+uf666+KvkvfZ5O8T40GGPzxYpALw/o084mQDqdKKUbT2++8ztVkbFp/MfkXME+AhDiKWCTFVCR32Sb4iX9J7kNQpoclmZS9fvtw/Tv7SJtJ4SFV3/y76yzeUXRC4+qqrzPODdv95xkGqPKJtTjV2KD+Op1T5kI5+JT8RqlRJUj7TIpWQu7qE3HPaCf8Dy5RJiKlKmTbbh5QPftcFUo1p8vTP43kXtI/i3xdGneN5hvu8GIjP2WzGdd4c1u0OuuwhU9lR+p8pHXllom++rFS/zLt0YDRHnr8o288juZBMSNCU7hN7TlpoSBSgp9QxSu+14bR5FKW1pBHDFf3UaGm6+ZYqX/KI0h6+BZfQ5ShE77VBSegcVfS1Xcfz+luC3Ad4hsJTW6o1K1pOuu/TPYeO0g/UIz/Ippx0+WjjmMCLVzrAAxx1ifcNuOU576OQarxmGmv+W/LzY80/S/dLOvqZtZ46eGB+pRsv1D86r/jGz0f/DflpI+6zs994nqnmRvSDbMdN9JuNfR0iem5SW6T8K9NNO/0vvvzC7DCR4PzXQBPGVZVZBOq5GyQxwFZvUwYRLPPc8cQTj7sX5IMZe7xNGV6UJP/aq642O8TffvvVJH936kxBCKm8KfdaqNt/CQOYr+HPHU8d/zbAXz2mG5iJFBTEoJkGkfgU4+4Zb4f8C5rHxkqPNudMaYfxIJXJZHBj1S+Uu+EwEJjyDYfrQilJO0U7mb02HgoKpQKbQCaotiHaqJQ3ddBO3VyRUU9UyP7Q5aZcb0w1UP2XkC0p4wwTsAABAwEDAQObMgYQgHiTVmzf4yYgm3LdETZhJlK6TOlNuZqhbhsAA4Ep3wBIDkUEDAQMBAwEDAQMBAwEDAQMBAxkwsDmmV6GdwEDAQMBAwEDAQObKgb8Af51rZ9sYrPKAokmB6oBDmgjnQ0QMBAwEDBQWBjY6Ey5wna7Qyof5KroBC8n5nv37Okq6CSuDpqZF4s2rVrbfd8LLzTf4HjhUGh7h9/TExs2sne3KgDAG4qcyXO+5dS3DhwkcYT3FU7X845T7v0vv9xVl2cXhWC3U/w8P1++cjnNTLRI7skT4KQ7J5ifePyJZH7hImAgYCBgIGBg42IA07CrBw5MMsYETFkbwJuSDsTZpwpfbvQfz1dxYO1prMAtuG4F8M41RIF6smXo4/mF+4CBgIGAgTgGNjpTToTJbeScvmjRYuaL/BL5lMYHJ5GtsL/FnzcupVbJTyqumYorghVhlHHxc8CBB9i7JnKVWEXuz8qULWP3uE70wUdoMK6WcH9FPvj4xiUdIY4JmHPUUbXtOa7biM7G4RkCsBCFjMibuCJq0LBBnnC3cSSG+4CBgIGAgYCBDYsB1gP8+MMcy/uHMcgFrQERYFl7cIOKa7wTTzzJvSsXjo9LWBQH3PPiitYDZ1rOlJtT/OgHCBgIGAgYKAwMbHSmnEbgN9YfJuNwBkzwokXvuTcl/SY6FYDPVtSFOPI/VYEmAB9VcTOXcxDNBwTygWYsUe4/nz+3cqXnDpN/ViKNbbZ5zrf+Pb8333pLjm/W8y8waX2UwY/mGa4DBgIGAgYCBjJjABMPfNUTO+KWYcMsMX6m0WheptDvSKlvuP56h2cpfJHjG/lCCU54TvAapOGkRSJNeoKCIbG+W16BTmvazPIjINtr8tc8dvRo037yDaYm0+W3+6ahN6atINErj6lzjL3H//Q1V19tzL3ctaX8Jr4WEJUQ//1oYwMEDAQMBAysKwY2CaY83gjCIAMEACBSY5eu3Sz0KiGOf5BEhCA2awsDrrhCoZ3vtE1AnMD6PKsqtD0hmwlk0kmBFFbLQX6AgIGAgYCBgIGCYwCzwPfeW2gBRQj4hXCFoFSVFABn0XuLHIG/OnTs6F5REJVixYoZM36sAmRhOkgwklpHHulGDh9hUR8JT09U3GEyL2zVurUFDqJGRDAmyFsHaUL5luBcCFhgmJs0ywlQFK85QVJg3L0w5wZpR5994Xn34fsfmOAmnp57L7yJvttfkRjffPPN6KNwHTAQMBAwsFYY2CSZ8iNq1XKlSpV2D8vW77tvv3Odu3aR5HorN/T6G/4W4ji/VkejnpF2gKLBNZPdeH6Ar9T2Cv36kYj7jOnT80se3gcMBAwEDAQMpMBAZUUoVEAVV0eaSTSfRFokmnG5cgdaZE1MBtGQ1q1bz6JuEl0Zxprf/cTwYnr4lKK2wnQjSKlYqaLDtBEGfosiW/ytxJMlRSf6LodAl322zExT/pZID95V5NgddtgxzyvqcfNtt+YJJZ8nQYqbHXbcUeV8luJNeBQwEDAQMFAwDGwSTDnSCv6i0Pr0NmbrfZJC8RaXv+T6OnhDSNajFS7Xg/9GX9uj5L2CCACKGuXGjxuX952SYlPupSPJb3LLVzQptyb3RP3lV/R3RyhM8Rab5xB+Qu9eecWA5MEiyzj8CxgIGAgYCBhIiwECowxWuPWLLurr+oj2lhOTjf02QAhzwpkD7Tu0d3fdcac0oTuZnXi58uUUnvxZt9NOO5mUnNDz6QAJNqHjCSCDjXlXaVe7Kix83Xp1k594ryn+wf4lS1rMB3/v1wJF3nWVD6psjzG9iXpYIX+fzn+H9raKtKsBAgYCBgIG1hUDG50pf/qpp9y3K78V0V0hu/Gnk+05rXlzHbyspr8cYteyVUvHAU4ILrDg3QXJQAEPTnnAvK/wDLj+uuvNfrGpJDAQa+z9vOusadOmuhKShgDYLz47e7ZdI5WZO3eumz7tIVNd4r2Fsm4fMdwkNCSaM2eOu+/ee8Npe8NY+BcwEDAQMJA/BvCK0qtHD/fzLz+7Ro1OkIS8nKst4Ur9unVdV9mRH6UohsAhitS7u2y0ObgPnCyBzKpVP7ojatR0EydMdPtIUv6qTFpefOEFp1DhxsxzeJ/7vffZW6Yw77nbZXsONGt+mjHzSNwBTGHwwBUF6uHdGsJ416pZ011w3nlaP65zl8lDF0B+A6VdBdhcEJyGjQIHSz189ulnEt7U8rfhN2AgYCBgYK0xsEkHD0LliYoSQEIBAd4Y4b6j9Vi9erVO6xdda4SHDwMGAgYCBv5LGIDhRQMJ7UTr6SEVLY3S2kzp/LvoLy4S8cgCfPrpp3bI87zzz08m4fBmPArwxPsmuN123800sURLpp7RNORJ/dOdP8JmfcTtw921gwclywkXAQMBAwEDa4uBTZopX9tGhe8CBgIGAgYCBv6bGGgg6XjxnYu7UWNG5yvEwRTljhEjXf3jjnUHHHBAgRCGN5jnJDVv3LRJygOgBcosJA4YCBgIGBAGAlMehkHAQMBAwEDAwL8GAxzy3GuvvZJS82wa9pOk+AXVwq7NN9nUJaQJGAgY+O9iIDDl/92+Dy0PGAgYCBgIGAgYCBgIGAgY2EQwsNEPem4ieAjVCBgIGAgYCBgIGDAHAF9//XVaTHinAWkTbMAXOCT44IMP1qlE7Og5JAsURn7RyuABDbfEUQ820fcFvcY5Q6a+KWh+65oe86d3dfg3WyhsfGRbLgeTOWeRDvJ7H/2usMdINO9wrWCaAQkBAwEDAQMBAwED6wsDMGXYeZ+twD6fL/vcLV682LVp2co1OfVUi965LuXi2SUT5Pc+1bczn3kmrZ9yDn4SLdQzmSu/+SZVFmmfzZ8339U95hjX96KL8qTh0Gt1eRvD+8t3332X512mGzzCjBk1OpmkoPXhwysVUG/QtddaHvH8khmvxcUXy5e7gQOuNH/z53TrthY5/PWJb1emvvkrdfor3F1ymDhbyG/83HvPPe6sDh2yyq4w8ZFVgbmJOPvQpVMni4ae6rv83se/WdcxUtA+iJefX5/E02dz78dXNmnXd5rAlK9vDIf8AwYCBgIG/sMYwNUhhyiJzInrwjJlyriq1Q5zBymoED7L1xaQ7g0ZPDjt50gxL7vk0rTv070gonSrNq1Tvsa7y/0PPGDuctlsTLl/Ssp06R4eltvuGQ895GDSPEycMEGRTP/nTjjxxAJFrK5cubIbNCQHB2tTH8onFoiHaH7+2dr+PvnkU65M2TKuZ+9e7sZhw9Y2G5O0ezxn6ptsChg7erSixGanWchvfFEega+yhcLCR7bl+XR4PDryqKP87d9+83sf/2Bdx0hB+iBedjZ9Ev8mv/u1nTf55bu274us7Yfhu4CBgIGAgYCB/yYGCKKGRPeaQddm5SKWYG2bb/aXDIj7zfQHYI7w1JNPurffestcExLkZ9rUaRbA56UX5xhThy/zsWPGuh/+9717b+F77loxokMGDXavvfaaGOP7HXEtRgwf7jh8uf32O7gz2rV1Tz3xpHtKcTCG3367O1uSwrvvuivP+9fnz3d3jBzpWrVurcjNH7kddtzBNRaTRZyLSpUruTPatpWUd6ZbtGiRve93eT/5SX/Njbr7bnfXqLtdv8suMwZ6/1Il3aOKPr2HfKzj35wI0EsWL3GH16iuuBfT3PVDh1o7/b9ddtnVHd+ggeXTr39/k7oTXbRixUrJOBzE1njsscfEqP/gTj21sStVupS7QfUigN4H77/vtt1mW3ebYmjgR/2LL750F13cN1mfgw452O2sgEvx74def70FzXtHZQ0eMsSVr1DBqrR5buwPbm4R80x+HTp2cIOF3/rH1jc8IEmvIT/uMGTRvuKbO0fe4Q47vJpb9eOqpGtIYoY8/tijbrttt3O77rqrgkW9qsB/x7j999/PDb3hBneFfL+Dm8XCU9Gi25kJyMg77nC77rabe2DKFIuQipnEOdIcRPH8/LPPJfsmWxz52Ca0e6Q87ZwgM47iJYpbtG76ls1R30sucYyHRe8tcq+/Pt9drfbeeMPQ5PiiDdF3u6meAHn/8cefbkD/K9yCBe+63n36uJpHHOEoa95rc9Wud1znLl0ssJXHB5tSxkp+/bNs2TIbe75+OyjQFoC5EWNh8803s/FBXW+9+ea/4RKf/7cMu9mCXT333LPuuOOPt+/9P9xMx98/8fgTiqo7xd2pMU4/ETiRCLrRPt5jj91tjFzY96KUYxLGmXguuLBm/JbVhrx9rjYh2gffffet0t2n92XdXM3jiZMn29xhfBPx91z1faY5/82Kb9zChQscfUGMGXA/edJkCwh2p+bn1ltvLToy1eYL+dWvX99dN+Q6V01jdebTz7h6Gtunn3FGcnwxb46U4GBjw19UcmPXJJQfMBAwEDAQMLDJY+DDDz/UYjlafsCnueefez7r+j737LPG9MH4vfLyy8nv7hgxwm277bZiwg9yl1/Wzx0lqd6cF19UoKFGJsW9+qqrjLF48vHH3fkKQrT6p9Vu/rx5rnmLFhbYjd/Jkya53379zfWRWcjHH3/sht92m4IQNTX/4t3POcdNffDBv72vffTRFomTb7/88ktjHIqXKOFKiGGDmUBNPuG++xzflypV0i2U3fVxxx9nm4edtcg3VP1gwKgnQYrAC8Hq8F1O2WgC2rZrl2xn9KJzl64WEAkG5uEZM/JIq5Hwn9O9uzEllH1661Zuq622UtC7Eu4Tte1WbTIo6yP9VT3sMPf558tsc+DrU0uBjFJ9v+uuuylI30o3TAxcyVKlotVJXvv80GCU1kYAxgf4Q4xgvXr15D4yb1/V1bM33njdNjNsfDywqaleo4YFiWrcpIn80+8sRm65GLxK7rtvv7PNUdVq1ezbbmpjpUqVHZJkNkHff/e9633hhcb4JcQ4+naBZ983BcGRrxP9Qb2aNssJLHXfPfdauTDbD2mDwGarRs0armWrVtaP0fEVf+fz5JfxeHbnTq5J06auf27QqRuvv8FwvPXW27ibxRhH8QHO8uufnSXdjtfPl7lYJktsWHoqOi6bV8xxUuGSSLnLl39um9rDNE7ikOo9mzA2UIzj6tWru/ffX2RjO9rHfowgYU81JsePHee21ng959xz3QPSKtH/HqJ9QJT2n4S7vfba2w2SxosgYDDkaNRGDh+hst93meY8zDXnO3qJGT+mTh2L3n7r7bcZMw59gd5E89uiSBELRkZdbh85wo3SJj06jzcFhpy6BaYcLGwgYIebDrCzwq6wMIFDJQUBpEwFAW9XWZBvNlZaCBf2oAECBgIG1g0DZcuWNYaYRfXIo7KXLLFwwlTzBzPrYdasWWbGcqzye2jGdFtUkQrCwDVv2cIt/mix0cZu53R314hB/+mnnx30MgovKdoy0jDgaEULfU4S1Sike3+B6oJU99Aqhyal1NtogwDMFIOIRBM474ILbLNQRAv7FkVyokrbi9x/J59yisMVI0zCss+WuZIlS7odd9zRHXzIIdFkyWuYtCpVqxgjASMKLj0sXbLUpH0ELKL8/fbf3zYxbFzIj+BGe+y5h3Dyk/N19d/ym+578kPqCUOeLhhSNL+27du7SZMmGnNUtmyOD/d4X4GPbSUNp69KlykdrUaea68V4aG/Rtq/3377uX322cfttfdexqA9+cQTity6jzGFRNNmkxQFX790bUyFo+j3/vr5556z8VKu3IHa5NxmZiiYxnRo1949+sijYv7zlpvpHWOVNrRo2dJ9Jik8NtdLP16qyLUHamN1rhswMCcirC87Xd2j/fPqK6/8rX7+ezZM+0njMEKbM775TZqlVLh87NFHbaPLdz6Kuc+D31Tv0WB58NdoA6J97PuAdKnwjcaJw69rFHQLKfZ2223ns/zbL9Js5gJaG6KqM9bLq31PPfO0jY1Mc35b5cv8IJjj3nvvrY1zKZvDaAjgpeL57auIwNS3Zs0j3J577ul+1SZ+U4S/emAj1Q5V2CGVD3JVDj7YcYijd8+eroLCH7eTWuHjpUtdm1at7b6vds4wVa/Pf901Or6B7YJObNjI3qG6eeONN+w5394mlR47SQ+ouQixzLv+/frZbpZDNVMfeNCdK4kEz8/Xrg71VYvTTkvmyfeEZ65fp65UOg/aQaWGUgG1kmSmoybvHC0E5bRAoboCepx/gTtYO/6lS5bYvf8HQ9jv0kttwvpn0V92hedJWnDoQQe7GtUOF2FILV2JfpPfNdKomodXN1UXaV9+6SVTk4KLiuXKu6tyQ0f7fCAClaU+feP11/2jfH8fl3qVwBupAIadMlMBCyptBFdRQF13pCZMpvajykM1nC0gUenetZvjoFG9Y+pk+9k6p8umLetcSMggYGAjYAAp2nVSbd8t+1yvUs+vGqj4/0z8mUyG6hwpKFC+fAU3GwZYC+XLknD9oedr1uRsoKEVMKLQUOzDLxX9ZnHle+qxZs0fdo1ZxRsyOwB4h0rcrlUmtCjde2y5Mf24SSYmX3zxhX3DP+gG5iTPKjjQihUrHAfBnnn66eR7LigfCTLlwbh0FZ3p2qmzq1uvbjIdpjhxWPNHjnCmS9euMju4xex9yQv8kNe++9G+RHIdoS6YPABcx8E/8/XBbj/d9/Fv/b3Pg3t/XVIbiwrqG9beUxqfakn/1lfCrU/v8/K/9C/tAbaSLf4qRVQFftXaDMOWCmDup8venn5H2onWw7fL50V5BcWRL8vyEv4PPPBASYRfscfbi6lGaryZzKuemT3LvJQ8InMk0vrxFX/n84v+cmDy0EOrGHO4etVqy2cvMYuznplpyTw+MtXd5wfjHa+ff4dm5e233rbNLUwt8yUV7LnnXm7e3LnJV4zVKKR6T5t/19xDgOgj3PJNvI+j99Fr0tatW9cVKbKlTLoecZNliuSj7PIO8H1Af3LtoVz5cjbfdpLp1Teab9Q905zPU66mRZ57ZRrPz/NlCZd3Dll9cuexr8vG/N3oTDm2ddtsu412O8VMWnCJ7PT+EKFd8fUKx46+V5/eZpu0SoOczsUWDPUSUpcDDjzA3jVp1sxVqVLFDpVgx9RM91FJABOd3RfvOsoDQC+pfW4bfrvbfoftJf2obc+xd0Mtw8ENbB9vuvFGU6WhgmvQsIGen2oLEQNmf+3mBl03xFXRAaYyZcq6SRMnmEpzyZLFNshKlS6dp0+7yaYMlUz8OYnYaAy76UbHYaj7lA8Sg+iGIk9GBbhp0LChqXWQZOAK6dzu59jiBC4YhHGpMTvV3hf2EU4PzLoUDgi9qcNO2I/FYfCgQUbo4s+5RxVboUJFSUbySvKRNuy+++5p2w+RuEBhs5ct+yxVtimfYauGLR+qVSQiGwrya8uGqkcoJ2BgY2OAjT724qiTMe3A+wqSSjyR4IrvrE5nm5q7dq0jbe5DszDruPWWW8zmu7sk5KiZYa47nXWW0bOnZSvOWsD32FU3lTAF+2CELggLLurb15pdqmQpCUvOtwOU8fcIhB6S+h+bcmgzdPpDuReEZvC3f8n93eFS4SOU6dOrt605MG+sI6jaYZSxZ39StutAs+Y5ZhGYsgCPPvKIO7NDR7v2/8DF7JmzTEqJ+UytI2uZ2QP4QIIKw/WjmNceEk51PruT2fWeLDq7m+jiHNnXz587z82T6c4nH3+i9ekZ28zwHcyrrw8Swvj3SEpflYCJerPJiMKz0lQg6OCPzZHPjzTtZAsMo+mlnfG+QvDyyy8/GyMdzRPhySsyg6Au1A3zivtkCnSRTA040ArD9uSTT1hZtId2zZW9fj3Z/a6UiU1tmeDMnj3bldTGwLdr+kPTk33DZifexnQ4itYLiT+28kjra0ujUl8MZNfOXRxnFjhzME1mTkjvK2mTx+bGj6/4O58nPEmJ4iXcjdrU3anzCWzw2KD16NVTHk86uxMaNLQ1NYqPzz///G91j/dPOQkK4/XzZVI/ND+Ma8piE5MKlyeceIKdhUDA+ciMh+08BBsHD6e1aP63999//707WALSdme0tbGI+Qcb12gf+zGycOHClGMSbQpzHdOvPr165TFVo2zfB7NnzdaYW+w49MzcZpyvWvWjO6JGTTPtgvfKNOepB9qpNyWQnTPnRfeWNirQGezMmU8cmo7mxxjGfegzTz0t5n+2Nh0/pZzHHj8b63eTCB50hJBfZIsi7oWX5hgekBqzU52mAzOoImrpPYzcq5q894wfbwdPINI9L+ghW7Cp7sWXXrYJhLQbovayCKpXO3rEstu/XwcJZjFYNMgu1sEOGFMG9MWyQ7xBTHgzEXYGEioXJKvYg8145GE7fIDKFWipA0UQiiE6NIO5xzQO8ujQDHZR2BGyQLypQx4eXprzktkELpL9H7taJP3U+YcffnRdu3XV4H1OROJas20bPW6sSVq22norN0nEftqDU42QchCqR88eUg+WceeJuUaisr/q8LLyvvnWW4xRZaJ++smnOqy0oxs7fpykGxfJ7vAD1f8R17xpMzuE0kS/HFY6WhsRVI1II1CxjhdTDUGZIdwNFR4mTpgowj9XjHMFTf6X7PBSE4WSjtcdRp6FB03BnFdetj6i3Rx0wrXXgSIsbIKYWO9rweR7cHTW2WfbIa2RUk926HimSaD6XnKx2VU21aEm3/54eePHjVfd7jPJEmpn7OmKSJXMYotHhFTAojh71kyHepkDVyNuH+6++/47aS0+k0eAm9xK2Y2e2627O0KLAIdy2GR5bwbkRx0mS4WLOoyNGypK3Hy98MLz7rTTmpsd4mQdjLnrjjttk4cqeqBU7OQXbYuvGwdX7rv3Htdfbshwp7Vci9fDUjNOmjjRbPmwu7xWi8Z2OvzEATUWn2NULgQmQMDAPxED2J2zUGYCpFxI52ByoMtoDzt16Ww0xavRvaQUoQJ/ALQFxsQD8xR1tgcvjfPp4+99uky/zEFodyogf18/GBo0kQhgANr0u2gshxdbt2mT6vOMz7w5YUEijYJDNjXA2nwfrxBt+FnCk2gdaDOCI8+ox79Jdw9+ML2hD/LTsLAxIa2HaLv8M37Xpo3RMRMdDzz/XoeXMYPyY4qxyJhM9S5aDyS7u2jjEwVwxLc+r+g7rrOpe7R+0e8Zk/BE1Mv3d/S9v6b/OJBNPZgXfqxmek//sikmPd+nG/s+j/gv7j77iN9izn315Vdu8uRJtiZG00X7IPqc62ibSUcdyIu68+v7JP5duvtofunSpBtf6dKvz+c5s3d9lrAWeWO/BVMOg7yvmM0uUgveIcN8Duv8oMECQ762MEDMELuoS2ROEpWmR/OrWrWqu+qaa0xt10kMJLt3gI5DLbVCh18YuCu162TiIU0ort3y1199afccEvJEZ86LLxjj6wf2wCsHuJby0fvFl18YQzfw6quNKT/hpBNtM+DrASPeW7vMiRrQSHTO1UbleR1+KlqsqDHCt8qEpmLFimbLh+9fmHK0Ct2lEl0qsx9UZEiIsaFCcrNgwbtusKT7TGRgn3321QGMxua/lN1jZZnOsNtHGs1Jf6T/N+ied2x0YMrjdYfJZdP0zTcr5BFhoUn7yfsU+R+GKee0M1KoalWquq7du2nXutqkETDlwOabb+EuvvQSO4yCmupEmRhFIV4emyaYck6zl5HZENcw9TDc6YCDVjDlnvmH0V34/iJ36kknu0HqYzZXSI5o47WDB/2NALGZQyOALSaSDxbc0trQjBk9yq1avcp1k/nTaqnWqcvDktAhkbh/8v3GlKeqUyXlwwEtCAu4YywCo0eN0hgq7trJjpNT9WNHj3H/0yLWUuZb1CEw5amwGZ79EzCAjTd/2QLSLg5qttQGeM+99sr2s42aDj/sxXcu7kaNGZ2HgV2XSkUZ4WzziTJoa/N9vByYoHg+MEcFZcjJFy0z4NdGu0nzL8qQkyTarugn8bpF36W7jjLJ0Q0cz+O21zCzQKp30fzjDDnv0vEX/rts6h6tn/+OX89PpMOLT0v/sa6kg1Tv6d914bGQfmPKW17mKMWKbZ/ysHO0D+J1i7Y5VTrfJ/Hv0t1H80uXJj88pvtufTzfJJlypIylSpV2D4sxb926jTv3/POMaRmqE823ye1VQQAflJiGeBggW+rbRfDzAySiCxcsdOPGjjF7Rph4zC0GXDXQPoWpgjHnVDP2aZzsxd1PPR3YgbH1hAeGb8dcYsRumvpwiKSabMeriPlPB6gZE5KII8kuWqyY2aNjV8kkKlFilzyn9ZlEHHTYZpucA0rY7EXdj6UqYxed5PcMOjt2GEEP5Adgu8nkZ+ORru7UDyDSWjoYL8kwp9dRM+EhwQNtIX/MlNh4IB3xkK48/x5CgwkTXiCOOKKWuTby9fZp4r+o1Lbbblsj8GxuOOAFUA9O3cddRi2XmvGjjz7M6QOZV9EfqDNJD2DqxKE3YOSdd0q6N9zagAYiHfhDTryP9lFTaTGGaFOAOm6qtDfYr1IODErr09uYVCUbIp6u3PA8YOCfggFoAraoSAP/KYALub20gSgow/BPaV+oZ8BAthiYIuEpfA/8EeaoAQqGgRzuq2DfFHpq1BP8RQFGBNs9pJQwYJxOx0drVOLiv/GG+8l7DQbg/+ydB5hVRdKGewUDxn/FhBEQEwYQVBTFVTGBiwIqYsYAAgYkG0gmkhJUUAwIJjArBhBEwVUxgKBrFgMmdM3rmhXv/70105ee5tw7d4YZBDzFM9wTOlb3Oae6uuorhOhbbr7ZjrP3VA025V6AW3y9qH604d4JpW//ftJ47m1YnRSCoL2bbOP448OBbXl92bIjLHHu74UTEeclNJ4Qq08wdNmSQXO8nRwuk4g2+YXEb2oPQnHt2ltr1YlgmFF9Jb2ZfR98WfF5lSpFw0w5PCilUZxfleZs+zfFNmqxuRCOMTgbsXXU5exzhFW7kdt5l51LjPMffxQ5+6BpRnPvFzLUn8Qrv2qmD2zJgSN8yqmnyTlslmn0MSvBFjQXwdPffitydoEX9eoXISNQX9JqmrHDlAnHl59/+dmEZJzOPH/Wko0a5G32W8uXwY+Rb4NP68+ZJxDzjDZ4wpt+uKCzsKfEBAZ71i+++Fy7MCe7/bT4CIV5nyf9TTmwMnIAJzeeMxbrKwrxLUgF8hVltNJ2VjYHwHUP5aDKrm9lKv9PF8px2Pn6q6/NBCL0bicYRAMhpDRoWKRNPqbtMebA6QUztJyvCXYHuvfuewx9xWs+Cf5AYInW0mSibcTOD6gq6H7Zc/stKrS7GPxDOKQAQD/p/gdMk47ARF3AMqExLi/tJych7LPQnlMephuYLBysRcb//ved2ZRTNp7mQCkh4IE6w/YgZjt3CUP36X89JUiuc0wLS+AM+IVZCYTZCA4LL0ujOmd2kUAKggE24RA24TjZAGMEAgxBKsAGJb0XYAnAAZKMT+89tqdNnWa218A7YWsXt530mNkguGJfHlKTfZu4KVMmu5ly5mBxNEv+AgjTP8jkw9eFCQoBCuD74MuHWghu33/KjevD4QdBeeSIETIV+o+B/rNAAeMWMx1stEeOGBk2I2seglMU5jHYhV+pNGDlYioCHxHw35AGHJvHkFi4gfYwVw5pjAOLAPoKIg/03LNF3vugClRdtapF99tYgRXQbs+bOy87liGqQy0JGjgkWb/Fd+YZ8FHjhGTxzvy3zQwJvFSCLaAp3K9JEbwb/Usp5cDKyAGeAf8+C/vHuwBTwL8a5eIH3xF4ElLStfB+eMwuXMxPlAPs/lUEsVNcGVRZ5VZGW5eHMvmOxfOktHaF8wC5iO9vZRLPe0XuhIGOFH5nK6LtZXm2KqK+bBnS5C23JHOGbNukXc5Io5o9X5YHYTvKU69gsjJy5Mtm1WBnNCGz5/kOZLKS0Us6X5KC7sncpqB0pSWK2y6H2IyCbyRm83Xqgc/e99foF6SHPxPezyYsPojrYx749NLCZ/QwCmnqD0u9YMGCzJBBg+IiljiXB3qGcgol6tOLLm9y3yba4o9zZWDs4zlFX+K+Mu5cSynlwMrMAQldmfN69bYu+mdDgmJmj912y2gBnNh1KSMyLQ8/PNO6ZauMFC4ZgQNkGu2+e0aKjYwC3GS233bbjHZJLa+QKjKCQ81IWZHpdu65Vq52ozJDBw+2dDKtK/E+FkJK5sADDsgI6SVz5YgRlufiAQMyUtxkGtbfNSNEiYwUSJkjWrTIyHY22z5B6mYO3P+AjBzds9fCg2tGjbb65ByfefrppzMN6tW3smXulhFcW0YQv5lHp0zJhPwg/5dffJGRQ3qm7dFtMoK6zRaZdC17MzqQYiqze4OGGdoYUt8LL8yccNxx4aVyHdOnOrVqlytvvkyVVW6+OlfUe36eCNY5w1wrC4XzQGhqmYm3TyhL9oLS+mebxL169sy8+sqrBeUrLZHMTDP/bNY8M+G220tLWvB9ni2BemQEr11wnopK+KdryrOrg4QDTAE8obX8s2xqw3b49pTld/iVI4U+8lZWG4SZhrfnLq0czCq8yUNpafPdryhHhrDtOEcCGYb9fRL5Ov3uBmn8NW8uwjZXeD8uJ6yPe8wDn57dBIJLsBuCSRBIMESHK40wjfHmS6Wl5T71edv5XOl9m2iLP86VlrGP5xR9ifvKuHMtpZQDKzMHwBD3iEfjtWP09ltvWzAhfHVyETtzOwu6bU/5ghAlkEiemH7hcNdBzu6bb7Z51rFw4403sXfUngoTj9M794iq2FaIKL/LnO1UwSyG72NMEuvU2cZQnkDdGqadOeALwR8HhhFHdswpycc7EKQYCB+hvRrvldPxHJNF4PN4rtkNa9a8mTkDYvYCmtaOO+8k+N1DDVPd8wMfpLvvuttMOPfR7mNImHXG18L74fEOAgVgpzGmFupLRRCO6CBhVTRVVrkV3c4/u7xwnjSWyW1ZKZwHAG20Pe7YshZRanr/bJNwyNChFjSo1EwFJMAZHDmkIolna29FFv4zaLl09PwzGFGZdWJ60G9A/6wtcmXWtSzLxhykLMJtZbYNQZiQ1CmlHEg5sHxxACzvyQ8/4vrIR+fG62+wRTTQrNcLRhRflM8//4+FVD/uhOPdGAUjay4TDYRfnumnn3raXSGEpP33P8D8cMKesQBeRWkg0KfAV57yyGQTKDxKFchMk4VCReyKIiJPkS6qii3wk/VS4XtNO4EWG4P8YZ2c814fPHCQLSIQ2gmakovIS7wLBHnzkRIyBbwBgYvAdUQahAj89umnnxnmdR/F7UCJsHO9Xcy36UOZoJyhmAtVBCFMrI0qQrCKr1HPtXI6x4EfH6YTTjqxCA6vmFfUAWwtZiH/+ewzThMJ00hMQQnEhKnjREEKkw/samADzxLCFmmIU4HyQJpCC7J0hQAZMBPcSn4ymOn1F7gCTrD3yHn3Y+HUY57Tp18/q3/OC7MNihZELXwJPMXlch0gAJzpf/rpZ4vAir8ZuPeTBEv8maD39tt/P1ts3X/ffSXa6MsMf5PKAgACnHpMfFgMoGzClGPk8BGCtdzQzEiJPnlh3742N8N6EGSHaZ4yb+Bry5at3KRJD1iIeVDjQAHDZAMYY2KcEDflCvEWgAXqI1Q85/jNwd9qAm0YJdNZTD7DekA0GzpkqCPE/OOPTRewRFMDOQjniZ/fmOwC1wwgAebAgwYOVDyXBu7QZodmWRHPg68FEcyY00/MNRlbzDtp01XiD+PylEAo3nzjTbtPVNp4rj0lc9sbrrvOHapFJ+V3697DbbDhBtlnG6z022Vq2r1XT4t+G6eVRl3BGu92OE8zf5jjXbt3yztf8JubN0/wxUKrw7ePRTpgEbfdcqtBINevV98AE+L+MFbhHCROy1Xy7WIuP/nkzCXAH7KMq8SD5DdSJVb4Vy6al+XKROGHa2XqV9qXlAMpByqOA61at3avv/G6CYYIru+++47tev7404+OACYEbfvkk49N440w0PrIo1xNaY4hhKFrFUPhBqEblUYtBdtK3Ami6SLg4S+EwLPwk4XlglbE30nb+o7fXFRPDqlAqQIBS1Ck0qileIGvDn42xEtYSz4xBCSapjoOPuRgy+75gTB+aLNmbs+99jLNOjfJB9ITMSh8ffE1FhGgXCGYLFDgHuAlQ0IgRfC4UP4y+eBk0a7jf0QMjkGDB1ugFYQzYGHZHUDIvEDxPjp1PtOdobgeCDL4a62//t+1qFhocL347rA4wHn922++VYC6nrbgQPgbLuEdZ17igVyp9oQUl8u9vhf2sSA/LIQI7veMIIIR+oAe7KI4HlW14wDCVtzGsFx/nFTW7rvvbgGtBirw3X4KKgThrMjOBjFArrlujAXLIcprXA8CIGAGX2q3ZqQijLeRD9zLL73smsgnqNd555lwidKIhcQDWjS8K/8xFiddBTpBvA12aqpvUN19oPG6WrC98wWbC3RuXA8obwTEgUYLJnqsAh4lzRPus0jdTLtCBGKE8Avzc4zzpHnAznN1LYh5bth9Hj9unC1OvPYdSGlij/zz8Bbmw5Y01w46+CA3d+6LBoLRvkMH88diN8s/2wcedKD7TnOQOZqUtqkWGs8/97wtgPeQBvytt96kuXnnC/fXEkIaz8azilszQwGM8MXboe4Ork2bY2xxkdSfeA7yLC5c+IktAkDW+zNohRLKefkkkQfhT7pXEddYrXonQFZxhRCQiSsiyR7aMLTjtvMCiSlpPOAPL+eUUg6kHEg5AAcwWWvZqpUFQ8MZn8BdBOXCJIx7OKHnIiIbIiggwJdG7NwhDKCNP1OB5Ii9MGLYcDmhL9bAllZGeB+IVOJVnNOlS3h5iWP6hqa0vZCgiFaZj7bZZhvB/daSBnOowekeLi0mmlRgbL1JXz5+YNKDcgcB0Ietj68R7RFNNgRaGQHqQiKiIYHdIOB18xECKcIU5kKAIWwplBm0vNOmP2Zjh7BK5MuisSzaJQiRovzx1EcfdZtvsbm1HfAEUMUAENhuu22lcT/LDbj4omwzgKJNKpd+YVYAYU6EwNqseXN3m7S5HSX8YQYVtzEXSENSWfSVRcKmghfGod8TwezQTLOrc5AWTi8KRCGpHhYHxLOgDMwR+SPmB3DFmC2B0w4QwA8//GiLRhC3rpUATr5f9d1kRx3UITDaN6mxiaVLqod07KrUEOjBLxJs81EnRcO9+ebx5syLiVSoSMs1D/z8Y6eqadMDHRFt+f6zK4GJGOZWB8j8CzOxpLlGvmrV1nQscuDlD1rYxVSt2hp2KSlt2EZ/zI5Frvniy+ZdwRg1UyTTF55/wR2uXSmAMWbMeML98usvtrsR9iepzMkK5LeTFhCQBwTx5S+r3z9dKAefut5OO7tdtap7fPr0nP1mS2/P3fcwKDkSgeMNPf/cc26nujsK7WKuncf/sX2zgwbLI41wH89itgC53lMrVTA1QQCRk46FPw7LmDJ5ioVYZovmZG3nsN1YGqGpuUCr4+WFwM0+XnjvdXNAMPp28nHYXavDXfRyQwMBydHQHa2t30svvtj+uIYw3lbBi3bSi1pOJdnIZGw17bpLPYVI3ttW+qRNKeVAyoGUA5gCEAxu1dVWtW3kMzt3sm11zxm/kEfgXLTod9u299d8mvhXHt4lFABmY61w6S+9NM/MWQiOdvP48e4wmSJ4ItaA1xnIPdxgW/09folsDKGI8ekQlDwVXS9SOnDMH0R4dYQehChPuRQ4aPTZRkegRKDHnKXx3iXtV33fjR8SYMN6KZ/rtM+nC68hnM7T4gQiH+YnnshTY9Ma7sUX52TzLvq9GJpWGu2YqMfTdgoGg5YY4RLNMJpXFkvvvfueJWHcoNUktPkFA4sNIIbr7rij7WLwLQJpDKhcAvGBEoLg9sT0xy0v/7GgSCp3R/WLxRzE2GP3T6CaqYrpgCYWM5O4jXKgtbosU/BfUlnB7SUO8T2Afvn5F9PWJ9UTZ8qOjZiePS5OxJj/++V/O3wWWAwsKp5Hcbpc9XgYaF9nOE/8NX6B6sSX4gwtWmLfr1zzgHy0A8XiHo32cBNkEjJGWnldVMDEr2wM0DojC+Wba5QTkrWx+NnmetxXn5Z0wBCjIAS1jl98RXLNF5/P/7IzhoA+UGZhNWvVdEdLVskInpm5F/aHaLtxmTVqbKodgNm+KLdIdS9r+tOF8oMPOUSakjVs6wE7u1yEAwx2V2hWgE4coQcQYgXfvWcPhy1QEjXShATvnEnkiVWwv04AGpwNWdVhB4ctmScmzWUKmd5EL3qCB7WX3RuTpDQap+iMkx6YVOmwQqW1w99na6yebBKBTsxFbGVNl40aW5rQ1VdeZb/AB/KQsEVJAKA5c+ZYSHi0SIwdi50Z2iZmK5OtvtHXXmtb0UIRsPzpfykHUg6kHMDJcPPNNzfbbD6SaHc5h2bItOH99953C7R1j6A1WHC2M6UFRdjDrAMzFN7hwLt6euP1191zUsiwVe3NOLiHqUzLVq0tGZpzzBC8xgtB8iFF3EWQ+9eT/zJ7099++9Ui5g7o198dp0jLixb9YUIHsKZPql4ULJ5QGnFO1F7ga3Esv/eee0zoQ6N39ehRpkUmPbCph6v+JMJRlPcnGlGCj6H1xKzHU8gP+Ibww/eEMnn/okDCjnzatKlLXJs5c4ZrLUXJm2++6frpXT5l8mTXq3dvE34RUKc/Nk2CSSN9D+q5Fs0P03d0mPH9UaXbRdCyOAx6gv/w6kGZBPH9bCHTC0wn9mq0pyIYTzSzI+zK28lmvdu5XSU8LTKlFhC8t2sB1kvfa3YOHnnoYTOTQcvaRIEB+V7Url3bndutq0VJbn7IoSW+32iYk8rtfNaZTmgYsr0eappbTJ+AniU+xbradUHgitvIYmAfmf9cLxvnkJLKQq7A9MVDK4fp7733HltIsdDaR/JAXM/mgk1+XuODshAlH8pCvreYPjGHF8qcB8Uh5hGvvvqKW0c2+GiZzz3nHNPgMsbPPP2Me3H2HBvjDxZ8oAXe9CXqgTd8a9Fy81xQB3X6efLgpAdNqHz++efMBIU+nC6BnEVLHHU0aR7g28A3nT9MWDDzeUW26Q0aNLQI1F26nKvxPsngpjGzSpprvl38YvfOPAqf7ScFCc3zTqTtpLQs1DC9Ob4JeDUAAEAASURBVOmEE23Bypi8p7mba77QxzragbrvvnttnLHLP/qYNvYuuU6LbKJ4Y8c+a9asEv0Bmjgukzn1zvx3VPcJ9pw///wLlpc6lhlJ8PzTac899sjss1djawewNsBRASO41x6NMv379jO4qk5ndLTrb731VqbeTjtl6u+yS+bSSy4xiCjS62WSEd62QUydePzxma5dzjVouidnzszU3GKLzLOzZpXo57ixN9l1IJc8XXbppf4w+9u40Z6ZbWpvnQESCyg9YWFm7yUdaCJnDmra1MqWg1I2yfArhln7TzvllAxlci4B3/pJ+zucfrpd186BweW1O/GkjCZGpm+fPgazpe2jjLZiM/369s2ccnK7jJwvMrfecouVSRqgBY8/9tjM+++/nxFedwaIIyC2brzhBmsDUIHwAdJEzWhLKifU4lGtW1t7SNvq8CMy8BOIIPIDH+YJWC+uAbflSS+MzE471M1oq8tfSn9TDqQcSDmQ8TCosCI8jlkjzVh8qeBzIFd5V3rifbwsiXe6p3x1Swnik2XypSORh5HNZijwQMJh3pS80+GVdj4tHd8T4CVLo3jsgG2ljSFsLN8nxjGGdI37Cr9yjXdSudTDd9gTeeFl3KbwXAuUEt8tnzcuy1+Pf5ELxt00rkS9Pk1Yj79W6C+8105GQeNbSD255okWjkvIP2Eb43kQ3tMiJCMzj/CS8VqLtBLXSptrPnGusfb3/S/lM87UHz7P+eYL8zh8rijLP4+eN0n9ictkTOAJczXup29fZf7+6ZryePUBLBQODsBSoYm9Sx7faHq32HILu46GBe14rZq1TKuL3SDpxVh3s5wSMLfAmeJ+rZpCLUdcT6Hnw0YMN/i6m6T9xrzl04UL82YVHrm7Sqv59WVDdvutt2UB8olmSTvZsiRozVWCSaR92OO9+8675o1O3y4473yrD/QAggzh6HBa+9Ntd4AVX195reMxP0i2jmicNHHcO2/PtzxsydWsWdPsKLH9w25QQvkS7UWjzbaOhycME6AJwLOaXQEIOzJv18X5J4HmaK60Nuw04BBUdO8Td8Kxx5o2BT6klHIg5UDKAc8BbzPNeXjs7/tf7EzLS7zTMAfwhDZ6WRL2wZ7y1R1CouZLR1lJ72lfR77fWDMap8XcB15ho4xJJ+1Ao1oaxWMH8gptDGFjMXFhHGNI17iv8CvXeCeVSz04NnoiL7yM2xSes8NwvDSfMcVlxfc5l0BoCCEff/Sh8SdOE9YT3yvtHN6zC13I+BZST1wOuzn7CtbvRX2ncRbOReE8iNMge4X29dynLaFMwLXS5hppoFxjXXR38f+UzzhTf/g855svzOPwuaI0/zx63iT1Jy6TMYEnzNW4n4tbWHlHy51Q7pmAEL76GqvbQ0H3V1HY9iQCUsjTpYL82UrC6B3aWoOwIcpFfyvOh82dJ+zUQmLLbtVVV3Mz/vWkCakIwMA95SLSA8nFNgnt/+abrw3OiPS+X9gdbl1naysCD2wcYbiHQwrXv/zyC1tYMDGqywmHBUZbCbrS+MtxpJo9wAjsbLExWYFaIsz8cNlzt23b1sq95bZbDbropXnzzMPZLgb/AZF0o/CAkx4Q7PJ6CKqogTB3tao1j3CtCs2GjyJ86FycJGbKgWi4bP0R5CEWGFO0VccWNNtWKaUcSDmQciDlwPLPAQQvvgsrI/GNDAW7svQRobxjp46GTS9ta1my/ulpMWkZf/Mt7jKhyaS04nAgWdJdxu03oU+CH8RxSYrPEXCruD8yf5h2PEyL9vhF2UShQYbCssJj7uEBDyFcQjxweEVD2H5d1H+AXQMSixX7Bfpt36G96v6bYbFyHS1ySOCJAs10jIRjMD3BrB17w40l7Nn/kOCObSO0WbFNpe8/cEprr71OFvOVEPKe6tWvr/b8bqe8KOrVL3I+wuufFw42hLVkp8cio8vZ55h3Ptr5uN+cY5sJZmlMTzzxhMNTGWFcke+E/fqZq19/V+OzX+CwM8H9Mzt1lk1hA8Ed3WkONoRwxmaRFSa2nPgApJRyIOVAyoHljQPgN1cEYadaCPG+9gSKF8qblFYMDqDxx9GXPzSxKxKh2Ku9de0VqclpW8WBP10oxxHi66++Ng0xjhY4OkAIxjgdIEQ+J2eeOYIhghAoG+/d2JwHcDx8Rs4S/jomLAiSb771prTAVd1UORtm70t7HRLbOc0P+6c5joAs0q9PX3fKaadaEvLgHc9LF29j2XsLr3O8mZuAOYrTwe233V7CIYQX/dDBQ0xQZ3uHtgDP88478y0ogK/7kosulpnNeKsbz2Xojz8WOYV0NtzVbkKDkf2YQfnAF5w6IARdHFFxvAT7FRMYCCH4CHnwn6L8EC980FFmzXrGBPQffvheIPhPmvMI99Hi42ABqH4IJckC41wJ829JuIcfOGDgiHVOl3PkSf+9I7ACmLbwfuClWvxoPG4UJugQYfSiPSeAQ3MJ4gj7QEid1K6ofdSZUsqBlAMpB5YHDqB84f3mBWPwsstDDz/0UNaRTmHqDcnr4ASggh7durl/yISglZw75Y9ku5NDhPldqEBfnraleVIOpBxYcTnwNwm9S6qiV4D+oK31dkJhc3nZYpaR636YlmM8pYnsBhZuSEA24emMgEt5RLUCF9QT9wux8/LpCZ4gJ093l7z18RT2dnFyInFyOnVvvP2WmYHENlE+v/+lHdjueXMYrvu2sjKGPA84TuIDQ472ptCVP9p3bPV9myk3idh1QLNQ3q3CpDLTaykHUg6kHKgMDvBOAzZtiCIxloXw7dlhh7qGmALKBugSBIuBQpNAkEww7WsoNJKztLNYZ9ttXHchkqA4Ivrj5cOGlaXaNG3KgZQDfwEOVF1R+5gkkNMX/1LMdT/uL3CISeQFbv8bCuSk99eT8iZdEyqKXQbuZ3c5b0IIzx9/+JF+fzczGg8RZjdz/JckGMdt8TygiCQ+ILwXKpBTBguApHq5F5K3Nw+vpccpB1IOpBwAlxmH9p9+/sn8YP4n/5w4XDgweJ54N4666upi5cEahufMTipmejjbd+zcWbuGI9xacvLD+R14QhznCdcehiWPw5/jo/PolEfdPQICGHTZQCfELjde/jVADbKzSQh1YjQgaBPaO4mAe+2oCJYQUHaUR2ATIjailPCEyaEnnO5R8kDUw86kUKsMv9unSX9TDqQcSDnwp5uv/FWGAGzTSdryJPyzJzTQRNzi+u8rmBOJ70P6m3Ig5UDKgXwcAEf8jol3GCZwXSE1dTnrbENsisOFh2VguvjGG69bHvCEscUGzWpHYWmDDkUYbfxWMLdD8fDpwk/NlweH+DDMOv47YfhznObBIIfwPcLBvp3Chh940EEW8AyFBQJzqyOLsM7DNnG8YMECM6n0O5VXKNz7zKf+5ea/9baZ+MXpOWdnEtzn409cjAACIMFLASZ4Ur70WsqBlAN/PQ6kQvkyGnMiTOEs4u3IqXbVVVfNOpEQmjellAMpB1IOrGwcwMRj3WJoQgKxzRMqFMHK2K0jcA67kHG4cEJd41uzn8KpE6YceFgiPW633bYWpAekEILNffLxJ4Y0hSkKsGxJYcmBPPPhzzEPrFJ1SchF/GVwVsc36OOPPnY1hZKVRK++8ooc8dcrcYt6rxx1dYmolGEC4HS79uheAq6NYDcfS/hPKeVAyoGUAyEHUqE85EZ6nHIg5UDKgZQDFcoBFBFz5xWHR5fWeMONNsxiPsfhwn3FYCwPVuTGXr16ux5yfmeHEeEeIhoikRHRVp9w4onuLEUbPuDApnYvV1hyuxn9h1acKJTsWGLy17FjJ6egdSWia3q4V58VSFvCy3vyLlmgU+2kGBsQpjf8Qfffd7/bdNPNLGL0q6++mkXD+k6LiF0FO5tSyoGUAykHQg6kQnnIjfQ45UDKgZQDKQcqlAPsEBKmm/DcxHkYKifHBfKxSQoX7isGFQVYVmzQmzVrLg35dq7JP/Z1Tfff33XscIaFOictDpbrrLuOCb2clxb+HGH+ZyFjEZqcuApvvvGGG61gb9CRRx9lNuGYskAEnTlcqFch0Q4Pa4jg3XjPPQVBe7a7XAsI7NEhyrv4oossRHhPLShAe2m0++5mw+6d8T+SL9FeCjmfUsqBlAMpB0IOrLDoK2En0uOUAykHUg6kHFi+OQAMIMhRoSN6rhYj8IIcBbIUsK+eklCvCr3mywh/gUjEjBDCCX/SAw+4s885J5sEM5s4CuXE2ye4jTbeyMxngL6lnWEayqT9PppgtrDiA2zWrx19jRsoONmUUg6kHEg5EHIgFcpDbqTHKQdSDqQcSDnwl+PAIdKOr//39d3YcTe5NRVnIh9hsnLdtWNc04MOdNsI3rYsxMLkyRkzXcvWrSzEelnypmlTDqQcWPk5kArlK/8Ypz1MOZByIOVAyoE8HMDJc9NNN81qzfMkzd4i+FppAnw2cfFBefLEZaTnKQdSDqy8HEiF8pV3bNOepRxIOZByIOVAyoGUAykHUg6sIBxIHT1XkIFKm5lyIOVAyoGUA0UcwEk0RkZZmXlDBGb6vDQEbCR280tLRG7+/PPPy1UMpj+g0BRKRNQmMqpHsyk039Kmw08AJ+BcVBZeVsTY5WrHinI9Fw+Yj98pkFhKizmQCuWLeZEepRxIOZByIOVABXMAoQqb7dMVpAdccYIJHXdMW9fqiCMs+E95qht7443unfnvlCerBQ+iPbQBXPLKoBfnvOj2/8c/XO9evUoUj1PqHg13M8SWbwJoxRKJEk6AiBw39qbsna8UFKkshC07cI+T7r+/LNkS0z4+fXpOTPbEDMHF22691Z3Wrl1wJfchkVsvHnCRYc+fWRxBNXfqir1z8YAB7rJLL00sFF6e0b69oeskJoguxmMX3S71FLhNMPvLSyAZVTSVdf6FPPD9IfDX0UcemfMdwKKId8SRrVq7VxQf4JyzznJ7Kho674/rx4xxOwgJ6dZbbrGuPTtrlmu63/7uOcGmdu/a1dCOQFiaMnmy22mHuu7C8893n376aZYNLylWwkFNm7oOp5/urho50vJcIsSkGU/McLvt2sCBmsQ8b6n4BV27nJvNN2/uXHfQAU0LHvtsxjIcpEJ5GZiVJk05kHIg5UDKgbJxgHDzOEQ23ntvgyHceuutXYPdGrqdFSAojHBcllKHCIJwx512LEuWbFofxK3xPnu7XXbZJXu9Ig8aFvfvQaG5IFx6mjhhgjSD/3XNDzvM/V3BkAolsN4HDRlsyVnk3H3X3YVmtXQg2Oyzb5My5cmV+Ljjj3dtjzs21+281wn6VChNnTrNbV1na9e1ezc3XILTsqQWEgZzEbzce599ct1e4no4dkvcLODC+JtuUpTa8u2SoNEfMrho3hRQVUFJyjP/Qh74/vDsb7vttjnr3H6HHdzOej733LORvSt6aIH71ZdfWZCwDh07us0329wgTClg4403cW2OOUZp97TovNwjinqz5s0N8amVhH+ClHmqv+uurk6dbWwczxH06rARI1wTBSrb/4D93frV13eHa/wJTnbqaaeZAD7mmmstK7EF9mq8lyPYWGVR1coqOC035UDKgZQDKQdWTg5c1H+AQ9N72aCBbq1S0ErgAIF+VvnbYh0Q53/TH4QpxLSpU02DzYeQvyuGXq48f7Ot7UsHDnRXX3WVmy/zDba6+aC+PO8l171XT4u+ecN117lDmzdzD06a5Lp172EfVkwkiAL6mbRjQB7ywd1PGOeegGWsWmXJz9/rr73mJku7Rj1HHNHSIVzPnDFDpgxvurlzX3S0hTThOWYlaKAvHzbMF2+/G2ywoTv4kEMcWv0+/fqZCQYRQevW3TELCxnXt+aa1dzQIUPdbrvv5h5/bLoFRTpLOOho8z799DPX67zers+FF5pAv3O9Xdzf/+//SrS3Vu1abphw4OEv2sXBQ4Y4hBuoyipV3IdyaEXLW0V9H3XNaGvT/ffdJ6HvLYuYSl3jx4133/33W0VKfcMN1EIA7bbn/T/2288ERBZEDRs2TGxrPt7D90WL/nAD+vV3r732quveo4fbc6+9rK1zXpgt05ZXXIczzrCgTlMmP+LWrLamYxG3ySablNrPjz/+2L0p7SuLoN7SjK6rIFOeluiTNKzvvvue5u6aZk4zRnOohhx9mUPw7T+ffeazZn8JMnXVyCstANSTT850Bx18sHt0yqPu3nvudtdrjIddcYXxmOix14+5zjXUGH7/v+/V9o1t7Hr27mXzeu111jZ+V1ujmht17TUOwfn2224z/HzmXR0tYE8u3k2gLWOE9NNcZh7ffPO10t2u+3Xc7BdecBPvvNPam2/shgwa7F5Q2rvvust9+cWX7vXXX3MbbbSRmz17tvH+zjvutGBY14+90a2++uoKdrV4LjSVJjmei8efcEKJ+be3FtoQ5U9++BHXp38/d+P1NxiyUJ++fdz1111vY/f55/8xHhx3wvHZ/iD8Mh+efuppxS+43O2//wGuY+dOWX5zAK7/KkoDbbnllraInvLIZFsUrrX2Wu6Rhx82AXmy5sqREryLiDyL3zVVdFy1uIziBPbDM+LpzjvucMe0bWunYZ1c6Degvxs8cJApEBDaq1YtglD1eSv6d3GrKrrktLyUAykHUg6kHFjpODB//nwJbjcJ0/t+Cb7/Krh/T86cacIlAuZzzz6bzXfdtde6atWqKSLmzq7vhX3Mdhob1K7aQiYiJlv3uyv4DiYhAwcNcmhqvxN++K+//CrB6CATlhHU23fo4O7SxxUiYM8+0mYiOM2ZM8ftK1OS0gh75zM7d3YIpp3PPNMdf2xbqxuhupG0dXy0+WDH52j8T1RgpCTqcEZHN3HCRBO8HnrwQffPFi2yyZLq20KCx/ff/8/SjB5zrRt7ww123EAC8CeffGzC+KHNmpkg21jBh+L2rrbaam7DDTdyX0qjOPLKK13NWrWy9XGAjfaY66938+e/bWYAjAlCHbsYaAPf0vHUKVMc2sMffvxBPJ9TgveHKZhSdQlTCI871K2b2NbSeE+5p3do71q1bu36FQdcGq6gUrR19dXXcFdK8EXo36NRIwsYtf8BB5Taz79Le337rbe5HXfcyRZMDwRmOvA57lOD3XZz8+bNdZ00zuRBKw8mPUL3hX36JGpCCTy1cOEnprlnQQI1VSTZ55973ubFHjKteOutN01TS9kttStwuhZAfuzQsFffoLr7YMECd/Xo0RqD+TLBmu9uGX+zW13jdqbMM+655x7XslUrK5v/mFvwovWRR9li9Ufxjgixg6T9xjyjtLE7uk0bt8UWWzh+WehhrtVNCyEWV7fcfLPaMcqEcZ7HeC5UqVp1ifFld8fPPy+Q007G8vU3XrcFOoLru+++Y8hEP/70ozuqzdFZHoT9qanouBARfq+VOcoNmpelEVCixBJgAYamnQUzc3HhJwttUVVa/vj+Y9Om6Z1zoeM3F9VT8DNiChAkDNOZyqYlVQWVXWNa/krLAZxxCKax9tprOz6qcfCMMFDH0jCBOljVhyvdQspD08ED/H/SLuEkRhlJVFHtTCq7tGvwjXb6tqFJ8FRau7B15KOci/++nPQ35cDScKBOnTr2kWe+7S0TkEIJQaDdqadY8t/1rkBDCD3xxBOO7eX19cE/UFryTbTNvOVWWyrAzmh7h/xa/KwitG262WaWp1q1NeyX56OatKkI7QjfCHwQWlI0tsAcIpQU8q54/733TWvIewvN7JZbbWWLBxYB7U462YQiBMT4nLIxiUkiBKpdG+xqAhDa5isV7fOaUaMtaa76WKDsuedett3+ixYe0Bq6FlOu/LQfbW0skJMfcwEWFgju30sIfeLxJ6RJ395tLwFn2vTHHMJtpzM7u8suuUQC/E8O+1/eRSHvw7YktbU03nN/8803N3MD7HixN35/wfuK2rqt/VUtDubk+1tIP9Fw/5/mD2UgaK6lb5An+hv3af31q5vmlXZsutmm6usPbvq0x9y2slOGqlffwGfP/k5+5BFbONr9DTYwjXk4r/yxn5N1dywyr/paGm5P8Iu5QrCpTWpsouBYP7p111vXYePMM4EWe8011/TJl/hlLJhT7H7ccvMtpY5dWEA1lcu8ZmdrMz1HP2mBRls31jV8HVhcxHMhaXzDMv1xVQnwLCbuv/c++/YTsXbui3PNdIR74ZzxefwvUXLXr17dIcCXRiwKWfShjWcR8+4777oRw4Zbu0vLm3SfRTu7Ev9++eWk29lr9I3dsfannqYFRoPs9co4SDXllcHV5axMtobr7bSz21X2WTgvjL9pnNtx+x1ck8Z7uy+++MIE1D4XXOBObXeK+/dLL9svThRsc56oDxIOFnyAEWRHDh9hDhYtmh9m27h0lXudFPr6mKPb6AEZZs4TbbUyD4mV7QXnnRdecmdLS0H5hN+mvjM7dXbHtT3WQlQn1fPwQw+55occaoE7CMHdXvZe3l4zX59wSpkyeYrr0a27u/aaa9zJJ55o220lGlN8gkB8qh7S0Nt/1jOzXONGe5rDCMcQ2104fKBJGnjZZdb+1tru9n2IHcgob6i0G/TzyJattDX8uiEh0He2xvD2Z/vzcm03X3LRxe7o1keaFqW4WfbTr09ft0BalpDQAqHxoC1oeS69+BJ3wnHHuf7SQOHYcpY0f9TZUVpEPoA4nxH4JKWUA+XlAELOUM3VG2XrGpoI5CsPk4U/Mn9kk/CcZfQHba930YzHHzdh/Flp7BCw/v3yv01bixCyqDhdNnPxAXM/Fx1y6KEybfnINN1DtTUeE/WH7cGM5MOPPtSCOOPef+89S075mE78TWY302c8Yc8r76D4nMRJSDC/L/rdyjlD9q9XX3mV2a/CO+ql/i223CKxPjJl9C8m31/KWKT3xWabb5Yzf5yXc5+fY8qAfdttv520jTNNUfGl3pNzZs+WU9wF7gJpi1nM0M4kCsuK21oa7315fDfq19/V1dJi64fvfzD+suh6YvrjloT5URqffFloTZ+Xkx+0joR+tNqePpMpSiF9qrFpDffii3OyfFr0+yJfhP3WqLGp8cdfZAzg42+//2bvbx/dlfshf+Lz+N7++xeZRDzy0MPuzrvvXgIr38ZbcwlecOypkLEj/e/qB3lL1KuxL3GuQuPyss9BNBetPep7PDew6Z5w++1u1dVWdccef5x2Nzq50IfA1xf2x1/zfYp/mQNhGvONkK34Sy/Ns0VVy1Yt3c3jx7vD5KPhKaPnK3w18Ezz54k2sggp4knR1XBRHfKKY99PTMe2knafhaynpOfe3yvvbyqUl5dzK1A+7BrXkGZprbXWNm0P2qoNNtxAW0AfuU7aXmW13F52fAcfcrDbpX49xzbcz9JG85Bdpy2l1159TR7QrWwFzEPAvW2lkUATwNbycdrWJfjGbRNud33793fXaCsqfqGNGztW206TSkBpYcM3XrZ92HtS5hEtj3C33HarOXLE9bC1hhB/5NFHuXO7dTXHDMJVnyyhFm1Ovj6xCkfz00QP8/lafNBXXqBJxKKFbcFp2s701HjvxqYRJA9C7sJPPrGtbLbOG2obtLmcSWg/9qejZSOItqOtFih4anuCx2xdk26HujvY1i82cvvJjo4X2Ccqc/TVo9xp8gbHoQsntF8VrtsTH8z77r3HjZMgFNJN4isCeLce3d2Aiy9yg4cOsTDh3/33O9O04exCnWgETjz5ZDdh4kQJOSU/NmF56XHKgYrmAM8BmiieYZ5ZtoCx98YcBYSF09qfbtv2KAnYKUJIm/XMM+5chbvnuWG7evpjj9lWPe8iFqZoT2c88bhtX/8kDRvb2Nhgvyc7Ye6DuoAAzZZ4967dsot3+saCGa06Jg6ge/Tu2dN11DuB7fhzhdzQ4fT2tkBuoee1Vu3a7kaZkNx/770mBOwoh8v4HLtWFBoh0ecZ+nijXeX9xjuELX76TdsxZcFcIq4PgQUbdbS29Im+8T5i0UI++sZCARtehIM4f3VpcJ9//nnLg8LFE+/pF3SdfmNPjG35zJkzHH3EXGYvKR0ws8FkBAUCCg/g6tjWR6GBmQS8pxzsmfl7TQuZpLbm4z32/dWlpR4u5Q0IGgg6jDHv9DPadzClyzbS5qNseU6aW/rIu7G0fqJtbfKPfV1TCbgdpSDivecJk4u4T488/JDxE368OFs8ef4F+55hqoDCacTwYcbrEBYRMwwQf06SXfXDDz4kPr/gvv32W7NzPumEE21M4RPOvD///JPNW9rgx+51KWKeefoZq496P1jwgfonJBvtFPFsICz26NathGkX+XFIHCzbcJBB3tOzg/Mw/Slk7LDbpg+jtUNDO/hOo5V/5pmn3cta+PJcYmfOvMT5OJwLaOyTxtfPv6mPTqV5WeI6Ow+YeWEuw64M55DnAfPX92em+szzOk1zjLnOd8orvciD4go0lWdnPVvCbITnqGWr1iRxaM7xFWHeQ3wnH9LYwCfK4vkDwnPkiOGmlOL7zTf+rTffKnonqV4Uhp64xznjyw4Oz/a9MilCiGcnhF0YdpUgnqfDVX+Fk1YhKf0FOCAoocw+ezXO9vTKESMyevFmam6xRUZa8owEzYxe9HZfNp52XR9CO+/bp4+d6yOX0UNlx3p52D192Oz82tHXZMvmQPZy2XOZjGQEP2Tp5NCRva4VqB3rI2f3pj76aPZeXM/xxx5raebMnpNN07tnL7vm25mvT9J0Z7apvXXm4gEDMtLQZKRFzpYTHmhXIFNry60yR7VuHV7OyA4249v5z2bNMxIeMtQHyRvd2qFtNTvXi8DO4WtIesnYddmwZS9Ly52RoJLRy9LuNay/a2b8uHHWRn0As+mof4+GDTN1t9s+o21/uw7/6u20U0a7Htl0/kAvYju8Y+JEK5exPa9X74w08tn8Pm36m3KgMjnA8y/Hubx/EsIyC95/P5vmow8/zMhJM/PJxx9nr5VWhr//vso5s2OnDL+v/vuVzK16xuQMWnA55OPPl8e78U09u/xyLT6n7RICMnJ8LRcbf9Bzzl9ZSKZs2eTlyZ/NXHwQvmt4R1A+v/4dHafPdU5bBEln/eEdqwVVhndQTFowxJcyUnpYnUvcKL5QSD/DfoTllKVPX331lb3fZX8fFmHH8IP7zGkJxnaNX74p0ppaviUylXKhV8+eGTk7Z+DJq6+8muF7GxPtz0Vhn5P6KaVVrqyJ18PyEhPoYjj/wjRh3vA4TMNxvv7EaeNz6ub764mxWJbEPPVUGXVXuk25Gp9V/6MF4NxTeM4qRBPe3yreXitKG6YLj0kc5ivLvTBtWAZl5rvHfU9hvjAP9/PdC9OG6chX6L0wXXicVDd1xESeEXIEAgcU73qQAtgOTSK0EBAaJpyxQkJjAmEDGhJ2p570UnZXaaV+/LHHmTMOjlRsS9OGQgkNGwS6gKeNN97YDv1KN1+fhmml3LljJ4dm+YH7H9DW+1izr/Nl8YvWYC85T7EqZsVMf0PINLTYbHPfJ60ZpjhbyeY0ibxdYmzCkpTWX0Nrzi7DoMsGCpmgn7tH25jjZZaC/R/aw2+++dY0/Gj84ScIBaBWYJe79daLee3Li6Hmxt5wozlxXTrwMjM54Fnzz2JZ5qAvn98wX2lz0NdFvjBtWEZZ7oVlhMeUEZZZlnth2rCM0trFfU9hvrA87qMR/CvSu9I4vSct77IizCJAnDjnrLPdBtolW2311WxH6mlpKSuTeB+Vxcbet2XNAtBrfFr/i52up/Lk93n9b4igszTz9Gsh8mBLzO7EljW3MvSUDh3P8NVkfzco1mxmL+gg9kEK73FcSD/DfoT5y9InTCRyEc90fJ9nvizwlnHZaHXPPaeLNLDbyR9onUSn4XztD/uclI7dibJQWF6ufOH8C9OEecPjMA3HSe2M0+Q6p+6wfuzzlyWF87Qy6l78ZFdSrxBu2AqDdt99D22dFQlxnCPk4MkOdT7zLHeNtgY8hfcOP/wI9+CDk+wWDjBs+7322qt23lPYlZcLsxbKd6+8dcft2nHHncpcd9yu5of9U9sqD1ub4/LDfue7VxaeTLzrTqsr/o+H5gYJqUdoC4btnRjSy6cH1gnCpi4mtnch7PaSiG02IIx4CLGhBOMU2KW2x5YN53ZfbQGzeNDqO/tS/PLLou3ZEDM2qU+0YdVVV3Mz/vWkGz1qlAXhuELe/rdPnFCiyWxL76BAAzhKQjfdONaNvOrKEmkGyi6c7XcEc7+FViKBTnCggjaN+OUh4MLFJ7xlmxD7PbBRsS8cJNg1to0naBv0bG3hUxcObH4cbh433p6dDTfc0F7i4TY1AUYukSMMiyTG1hNoByArQGwN89wV8uzFc3BFnP+53hfL+p1wh0wOGglH969GIKPwtyzpdJnESPNe5DhXDqF3WbZ1ZaoLc4UnnpyZ8r4Mg3q33u+8w/kueEVTGbKnSVcyDlS6UA7U0hOyHYJWl1f4L9L6Qaw4cRIC9xJaT4gYR8leGIrv4bmLzRmEB/EfErK8gT3e4gDEl3avvHXH7QLdoqx1x22mPz169rA2x+VXBk+oCE1lqK38RXbYENreUeb8eJKd85/fzPDpp8srH8SSw4843NBLitIU7WJgr361NO4I2ngx+xUwdqA4eXC9S9dzBce0u0FFEXULrS02Zz6tr8f/huX7a4D1I5Q/I0zTLWWDjaD94osvmpOY18rn6hMvO2CPHnl0irtAv2CWgv8aEh/wWrVqu5PanWyXwbvFnoxFHzsIvmw0/ECKHa6FFfinkG+j/31M9q/QCScv5innaMNXWaWK2bhxDr3//numZXlNSBHYT/a/aIBh3uIMirCubT5byPQbMMDSf/XVl8YH2oYQ3+aYNqb9x04UBAogoy5WGexuELAFR1QIHrDggwagkdfiopBnL56fK+L8z/W+WNbvBI8cYoOQ/lfpHAAxJKU/hwMp78vGdxQsKaUcgAOVLpQjWHhtahLLccLzFG8Lhfd8mqRfBMZclO9eWH6+uuN7YV35ys93LywjLr/QdoVlxMdh3Whdv/7qawmEfzOHqY8V6vq+e+41sH6cE3GKOf/CC6wITEFmzSra5gX39Vph166jYAd3aTXPVo3snS0dphk4/gDPNEFIJISTxuETwRCteUs5Y5Bm6OAhQlHoYriolA0k0zvvzLcgCr3PP8/CbuPIBIESs5scJ9ddbz3TDnPN14MDEpr8UTKD+UgoCQTSoI+Y4EAEh8jVJ+5/9fVXhgqz9z5NzJGjV4AEQxhitlsJyoBBDeYiNTapYeF/eytAySna6blLQRZqa1egtaDb6AOCOSYm4P7iUAY9pX6AWAPqCVrqEMeV+8BL0efLFRxE9t3Scq9lTmAsQiGcbXA6w/QEmCp2Ey684AJzLsKBBaHeb+ESFALM1/O1yLBye/QUrFxrC4iy7rrruV13bWDCPw40EI5hr7/2utWBIypzrNB5Fs9PK7D4v3Cehdc5zncvTBuXX2i7wjLi43x157tXaN1xm8P685UfpkuPl+QAz3toMkYKTLT4Y/57YrEKxrMPjOOvJ/3ijCfbz3LnTyozvMYCn2exogmnPMwiCkW4qej6V7TyyjInwr758eO9j3NrviiTYb7yHON0yPued3BFEMhiAALUCKJVLm255eXj0tZbaP6KGieCn/Gu9t/ffPVTpzdb4VucZH6VL3+Z7km7l1LKAeOAtvmXihOyxTaHlaUqpIDMerFlkpxwkrLSJ2mJMzid4KCxUE5ZFUXl5RfOITiwhQTv9DLMSIAwR6LwXiHH5MU5DYchHI9SSjmwvHBAi9XMrrvUy/Tv28/maIvDDssc0aKFOVvzLMjXI3PpJZdkcEg7+sgjs05gEjjsWRCSkTlah/1R0JnMsce0DS8lHuOU1+aoozLCBi9xH2drQYeWuFaeE+1YZerUql2erHnz4BSOY3fo2J43w1/4JvMEwrFeyChl4kQ4fhLOzRm+TAUUkBgHUP+tUOTOzMTbJxSQq/QkOBwDOjDhtttLT1yGFBX1bJShyjIlDccp5G1ZCuH7e9+991kWgBN227VBZvttt83IV6xEMdzr2L5DZq89GmUEKWz3tIueGXbFFWV2gi5RcJ6TJT0AyyTSp4lXJg54W+ry9oldkWWxDYeWAe1wIUSfWAlja85KtyI1CuXlFzsOsSkDvMOcZz3tEuTTwubqM3lr1qxp/MfxKKWUA8sLB4isCWzZqqtWtTmKk/L/vvuf7TjxLKy//t/NTAyHtLsEP8ZcRjM+RCZWPAv77LsY3s73CZ+aQoj8jQW1GFMLmX5VBAEjV7VqxTvwsgNQZ5ttK6KJK3UZfp7QyTBaaqGdDsdvJ8FdAkdb0TReMLZvv/W2FUvgqbbHlc2fKld7gBTeXfDFFU0V9WxUdLt8eeE4hbz190v7ZWf8YsXsaCVTTwg/rDvuvsu9KgjGSxRzJCR8vUbIr+xB+QDededdZgaKaSiwiHdOvCNMWmHHlW6+UmEtTQtKOZByIOVAyoEVkgN8AAX9ZkFpMMd47713DT8Z22P8FPgDDxvn43vuu9dQiEBQweyqivwwwNXGNKxKlarygRlt/hz4Jw3o199Qk665bowJ+eB/E+XvJ+FEn6gYBjgerlLs+wHjCEyEuYKgDXPyEXzky4debuZsoEtNvPNOywcGNVEjQY8ijbSeZloipZfDjADn8X0VnGsrOVlLkyb/kIssoihmbgQyYgu8j5CVqH/OC7MVcfQVQ1EKkZIwtQHDm211eARRPkHPfhQq1DrrrOtOOOlEUzCMuupqK5PopucomBpY7vjC4Mjd+/zzE81eksoCkYpoo5j4IKQSnwKfHcrHh+WLz7+QicSvDr8WfJDCegiMdJ3wxlEE0K+W8oWZNOkBi+6IgzpjANb1s8KMHqQYCggzV4i3mFJS36Vyascn6V3hVa+11poWhXXMdddZyPS4njEKera2TCkZh2prVHOjFBMCtCo/T7wdO0KXdlJsPlyoX8YcPOyu3btlxzweP24IdtbMIhHMGVvMG6jrKoEDkB7zRKI6nqDgc0R1jOea4AydoDfdoc2bWZ3duveweCC0u7nMYpiHCHKYfIJ2FqetoQifggw2FK5XxUv8sq7X8wDKFqadoIM1PfBAR3AmT/g1zZs319155x1m+tlDZqSYV9x2y63um2+/cfXr1bc4GJgx0va5c180npM/LjPfs5E0b57611NL9GH/A/b3TTNM9EmKBfDZZ//Ronw/RzRcfMz8c3TQQQcZ/nrTA5saTwE4wBEeoTtsGwVeP+Y613D33QzsYJNNNrZxOu6E453n7RdffG6+VvixHXX00QaWgAnnoc0W88o3bIZ40Ui4/BCmOvfff58E7jsN3eykyA+sXv36lo7x7y4/QK/wYhz2lb9km7bHZK9Zwgr4L1WpVQAT0yJSDqQcSDmQciA3B3D4J6AYATdwaCboB9CkTyigyQH6wEEE40LwgHAEJ6IkvxC+G/hxzJ//djaQCH4iPXv3EkzrToZWBCrSHRJ6AAWoW7eu6yJIxJBkQmBRby9UtEocx3PRDspL2PVNN93MHKLxeUGQoA9j5GODkHmBhN5Onc90Z3TqZEIzwUvQ+H/66UILQvPN19+YEE3/vhWcaXctSPCDQWAcLuEdAXL11ddwVyoKb0hE260vQaBb9+5u/b8XQfMRPfjXX351CFyK3+CukZA4V07ub7zxuvV1I0ExIszjAwQ6EsIXgZGSKKks/IAI5DRw0CDb0SAfuxUsFgjcMuCSi83pHI1iXA8BYDbccCMJgl+5kRKuEVJefullBWrbV4GBzrPFSWcFfUOL/YAEMuAxWZx0Vf/uv+9+Q9NqID8iBMtOSkf7p06dllhP9Q2qK+DOAgVwGa15MN98CuJ5QtvxCzlQAh9p2CUFThd/m5Di8eNeg4YNFajoY1uQ4DvF4sTvsuAcf8qpp7p/Ht5CUT1fsDkYzzXmL0IvSEPtFUH5Lo0bvgYI4a2PPMrGtbqC+bAYSUrL7gjzRnjsFuyNZwVS3BDbGQaOuO+Ffax9dqP4v7XWWtueDcZqxhNPuKclLBOgrk2bY2xxQTIWu432bGSBfeBJXCbjSkToXM9G0rxJ6kPYLoR8dqcBeqiqXTACJIXPEWhktQVx/OUXX1o2IqQeIME9bhvCPPOjpQTu07Uw9+MU8paFymabbW4LSAr7VgsqgiEmEYuJrQTXCQGtOFPRX8fccL380m6yIEZxHmCQiabNQg24ZAjAB4ACFry/wM4r8r9UKC/mJi9aj6pSkQwuT1lJbWFFF0YX8+UmpfX3yvKLlqOiCK0Rzo4VSbw0cMKpCCqNZ0m8wEEHjQUag1eFlBKTvx9fL8t5oWWwZet5gWNcRRMOZnw4KpMqow54Ut525+J9rueuNN6gaWQeIQimVCTktZBAg+YbanvccaZpJHLnnsUwkXwgq1RNNgXB+Q5hAgEwCzkqoRmzNEzmgAslzPq6xZjFRO+dp8iFIf+JkuljCFSvvkHeYeGjizCFoMQiYktpiNHyThMSFe388IMPDZUJc7OqVVe1sjzkKSf+WAHR3OZbbG5tJ9rvb3qPv7/gfaEjbSuN+1kWhTdsyCPaJvexIBBCIXiEhh7a9x/7Srh50tIAD7ufoGI3U2h6nMRJQ7lEHQzDm1vG4v+SyqKvLBIwqQsdEMF3J/Q6MH0IlSA5JdWD4FV3x7pWBmZ4ReAOtaxdRO9E67+xtJs//PCjCfrAtV4rwZp8v/7yi2m9ceJlV2PTzTa1BVFSPZgsEg4dk6dNpFWmvFzEoot3DO/Hjz/62MymfFrZYieOH6hoEAuSpk0PdCCFsYBAqP9Kiw7MqxAaO2h3IGmusXCsVm1NAzuAl8DYxhTWkZTWzxuvkSU/ET9ZIB2oxesDgobmOQgJcwrAB5od1lyL3hfc4YqM/cbrb7gZM54QatgvlhSzmXYnnSxEsUdcdS1a4jKBrs73bCTNG/iU1AffNlDxbpMg21ELFLTf8XPEopso03fcMdHgeon0CcVt43mjHiKI1966tqKTJ5uudjqzs7v55vEmJ20lU86Qh75N/LILsY5260JCwO8/4CKLBBxe55h6JykCLPOYcffEs//xxx/50wr7rXShnK0URfhyjbQaBwVEEROdokvaSpMwuztoQt2qUOvQs7Nm2YPw6JQpFtTmSIVSJcwq25Z7C5+c7SxPPABDZXO4qx5Stp0uHzLEKWqkMY2AOOQ1LGk9nF27nOuzWejzgw5oah+E7EUdsJIkhO7yQGFb0KxAYE9ffNHFSzQvTLvEzQIvEIq29REtC0ydPxlbpzz8MxUSuKKIYCDMgUceerhCiszHM7bQj/hniyXq4aX1xPTHbYvstHbtct7nhh+zJRKVcsHXkS+Z5wVbp4ps5hRFdAnNSa78cqQzzVSu+1xn4ddGCDPz355fIll5+1SikOKTXHUkpS3kGovAt7RtLydB+y0kT5wmF+8Ha0v1ov4D4uR5zxHIe2rrmvfJIQceVCIoWt6MK/lNEJkIWX1A0wOkgWysrePftKVfxYSguOsIHr9Lsw6UJwthT1znNLwmKcUJ8NU+/HOlUYO4v+FGG5oA5/PW2LSGYFTnZPOiuYe89sun4zcUfBBMZ86YaR9lvmdo4X786UcziSDtokW/8+NWk9DmFwyY1vyueWAfdJkh8Ow99+yzVtcP3/9gi2oEN94pIW0qO+E5s2dnL1E2As08aWAh+AFKFCHABys+Ry+hOPWQ1hmhzQsMCBxPSfuHQMlcDCmprPB+fMyiFEJhBUpXUj1xnuzYaAyyx8WJeG/9W+HdMbdhMbBI/UmiXPXE5YXzhHL8fQTGjgoWJyc9mU0sNqkgDYuXpPHz+Xmv7tFoDzdBJiFjxlxLoYbeRVAk+MnCEj7mm2uU5Yk2Mo6MHeTb6O+HvzaHtNgSKIHNf+5tv/0OFqZ+EyGsPKs5FI+pz7/wk4UGhTvw0ktdzVo1bZcp80fRGPztb6u46RLSUVyAFhaXufHGmyQ+G77sss4b8hEUaapkNgTekcNHaO6UfI6w164p4XkH9Q/UMRYTUNw2+hvzzJ+HvMW0igX+GVoEsMsBkTfmF1pynmFPvizm+M677GKXeV5DQsCnfL9g5h6LYm/eEqZd2uNKF8qBjmEraXNtLRx08MG2Bceqk+usOLnOCgRiYsDMQ5s1M+bsqe0WmEx+Ijny64nV+BGtigz1ecB79u7thg0f7raRRgXGkhf4ulMFZ8eLgG1HaNcGDRS1ca8lti+H6AWHZmR5IN+W0Ikll2OTT7s07Q6dXZamHPJWhvNJLmet8rY1H8+ayR4Qh7SYvINOLg2Uv88DfuH5RfCScRmlnfsy8qWDFz5YUugYly+Pv1eIU0ySg1k4D31ZS/ObVEd5yyMY1d133W3CwtJAmeXiPWYWZSWg+v7732/NkYgPYS6NTVnLXdHT83HGZpT5C0/A2T8iUAaYIClhBHMRYgOweBsh+2q28edISwsWP7blM2fOcI/LLOQDmTJwba5ML2ZLQ1hHuPwNGjSUUuAks+8eKvtc7LARcp9//jnTeteTEqdF88PciOHD3ALlp4599trLXS9bYE/YHyNQPChhmg96C5lefP/9/9xeskOdOGGibZ9jV95Ott3dzu1qwhOKDcwwbr/9dterRw/t2PzXlAhobPneNdEiBFtWYFUxrzlDwmLzQw6175Wvl9+OMoe5dvQ1Fn2YhSZKgtZHHWW24v1kdjNl8mTXS986Fsnd9N3Ddr5Zs+YmjDWRFr2pHGo7djjDBOiu53Rxp596Wlh8YlkouzArAOI2JgT9CeoTmutjjm27RD0IJc9rfBgzAuCQ/ictWFDATdPfQpnzzJs71xYJ2NCzYEDreq6CoiE4Yzc9deqjCp72vo3xi7M1zhpLtP9hf/huP6OIrNxnLnyw4ANpXqdn58loQeXOlEa5aOzes24cqbgnyBah3MANZIek8ZuhOUU7hGJlZiKvSMvOfAKetosUe8yr1jKhwHwCjX0817Dbpu/8Pv7YdGsLcwwN8GBB2L4s0yx8FPhD8ZiUFsfNvhrnkZJlEMwZm9Pan+7u0WK2SeO9baHFe98Tc/6+++61+Yu9/dHHtLH6sPO/RrsRKHFmSdlJYLz7ZePPjsSOWlDEZTbeu7FLejZ8PUlzMFd/fZ55c+e5i6V9BuKYRU78HLFghU6Skqt+/V1tXDiP24ay9mfNc+YK5Mcp5C2mStDpEsh5z3jIQuYFTp0h7bbb7jbGXGPXGyUxzywmMj52R7sTT7Jn7+qrrrLglwPlAIppld9JYmzYoUNbXtH0NwkRi9UQFV16cXm8WG4ae6MjchVEYBRswfBCbqmXlsd9BoP6SAnSCHb95RCDQ1B3MQuNN/ZJ44s16sXFms2Y4K7cPE12DPHZGgTjNsxLPkwfBg8c5EbLNotVMxOl34D+vhgLnQ52dHdhUn+pF0voEOEHAYzt0Hmi/q713VDl2W333ewBPEDOCjzoIcWOIP+TSUDoQAQiAS+B0MEF5xLfFurjY4JNHoFgLpGmnAUHdpc4NvFi9mmZqKU5y8TOP/DMOyvh7POmJjZb7rETEnaROBCh+evTt497XR8yXr6cYzuHk0XoiEF/EOQwEwF3HFtItCKxsxJ2kbw8wOOmrHXXW9eCDLHrETtsYEtaVU5ebFGBSf6dhB626AbKKQeThXwOJeG4YB9WGs/Yvend+zybczgH7aiXB+PGoq2VtH3/ENY5NpKvvfaqzU+EQX9/rTXXMs0VTiHwhpdv6HgWz4F7ZGfJx8nGsriOb/QijceSDwM2fzyuTz450xa4tWtvnXWMQ1v84CQ5ub37jq3eaWfoUHWMeHzyCSfKkau5vbgQHEOnMLQ43sEMTdAoCQZg2ENgqvt5yIJ5jJzOfvrpZ9lO1jc+eKGTF1joMMYCIl8dPXv2cpMnP7KEY1Pcl5ZafIcOQn48eVYEgWZYztj24jhVT45Nzz33rGHwd+zcKXE+0yfMCvycRWjC0ZDxPVZmFaEDHc8ozmS33H6bdty6uD0lwJGGMYOYT5MlKDEHETC3rrO17V68NO8lC0R1krZnU1rMAbRLHrUIDTUCEtquJEJjGQogSWmSriGI8LH0YxSn4T5mL8x5TCKIdPvM00+bAidOG57TXvJ5YsxpP9f9x5lvDeYVXAvxxTGj4bontNj0LamNaOywr+V75sslH1p6L2ywWECLTT08Z57CNhbZ0I90ODvGFJYV3/PnmBHce/c97mLZlGMzH1JYT3i9kGP6x/NGHzBNyEeF1JNrnqAVRpAjKnISJY2fT4emlLH033+u0xbmi3/fca20uUYaiL4mjXXR3ZL/8y3ALp72+TnEXGXOMN9iQkCkfP9ccZ+0mAcxR+Ax99EOs0vg25FUZvxsxHUVMm98HuqkbTzf4XMTjynftJ9k+eBjcJA/qW2+3Pg35C2yIrtNvKch5gb34YUn2oQQjgMvbYPP3GdOeuI95d8R8IRnzPONNPffex/baVkEF5+vIn4rXVOe1EiiDvKwIOiyTcWKC8aw/YJAXhb6WQxG4MbbmoFNIlaAAwcPcl0kNOMMFBMrtu/00sSZBtOG0CHCp42dJ3AGQnsCjdYW11itRENKcjqKHYiIcjlvXkkHl7AtsRNL7NgUpi3EWSZ2/klydomdkHhBoClhYVCrVk0TyFlsHKnFEA5Wk2UDiSaCfnhHDPiw1lolnU+SHEWayB4SDQj3CDhERFAWVZttltthgwd4qgRddkew2cPOsTSHEhYHnkrjGenY1gZFgdD0OPPwgvQOOtynXu4h+DLvwvs4FPGAwy8+CrEzUDwH/IMelpE0lmgRFy78xBAEGkpTA4WOcaNHjRYvdzXnMxx+YoeqWrIZRejENhTtUewUluRgZpXov3Ae4mjENjaL2hHS5iDMeAodxtAmlVYHdn9Jjk1xX56MHIT8eBJYhUUCL2AfqAmThWu10LtBToFQPJ99W8M5e6ZM6vz4xg50Pv1NN44150SQF/yYMRfP7NzZFuOM9/HSJPIBbCXFAraPqUDuubf4NxQc+OjlEsjJUR6BnHzxB5RrIXEfJQECFoQm3mvIwnTxcShYcA+BifEOBWeeLeaHF6Z8GaFAzjXeEX4e+TT+l7YhoITlcs8L5ByTl3ShQM71sI1or9udciqXl6CwrCVu6gJCEbsFvJdxaIsprCe+V9o57WbcSxPIKaeQepLmySH6JvVWQLXTtFOei5LGz6dFQAsFcq7TllAg51ppc400UK6xLrpb8n++BVA4h6g3SSAnHfM4fK645oVQz2PqZ2EVtiOpzPjZoKyQSps3YVrqol3xGMbnzIVQIKeMpLaFZYfH1IM5177agUMe8AI5aZgbnhc+D/xCiTNOUJUQfA4Fcq7RbtpF2WjEQ76hzNxw440qRSCn7vzLVFJUArEljC3sjdff4PggokkcMWy4the3L3NtGP2fd8H5pplFc5aL0LahAW+v7TzgjGICVgpiGxubaCBv8Pr1hL0Tmkq2SbzzBIO7p2zdwb7+RQJ9SGiSQ6cj7NrRXPBCQjhiCx8btdjBhTJ8W8LyOAYNgAntHZu45tNWW6PaEmXFzjJraaJ5Cp1duIazEs5u3glpu+22NY9ptonZyYDOlqYQwiHnlvE3m5Zg3XXXsQnrHTEsgf6LnU+++upL8X03u42z0uirR5nJURcJ13voOnPCT3y04ccI1ohdjdhhgweF+5ddcokcgn4yW00cSk6VQD9Fwvp1Whxhv8Zc8o5Zfw80STQgH8+4j7MZQhVt9guv0LmEhxgeYGp1ibbG2BUI71MGVMgcKEpZ9L8vI2ks2R739my8XBEIeeF6xzg08p3POtM+3MNHjrACY4cqX1fSvFBAF3fjkUUvKe9g5tOHv2w9d+rcyS6xqEJg5hdibnuHMRZKoeMZcy+pjiTHprgvF5x3ft7xtMqL/2Pe8VHDZjRpPvu0zLVwznrew2O0JDjQYf4AvSZtOAqERx6d4rM9g5TaAABAAElEQVTbL7tcaJ946fOM4AyI3XC1BG1WiYzpyXLFAWD7VkbivVhe4v2yh7b1+UPrGgt95S13WeUDShCNaZLAvqzakNaz7Diw9dZbu/E332Lf7UJqxUa+thRV5SG+cSjXKouWiaY8k8FZZ3EXWI2hbXvppXkmSLZs1dLdPH68O0yCgSeFS8oa97Nq588T2w84h9q14oLRKtSU5g3KlbfXeb1NyMMLOIl4EcUOET5dkvME93AwSqJcjiAIlYVQUVuSnZ3UyBL1kjaJcjnLkDbJ2YVVcOyEVLfujubkhL0gZgVm49buFNuaxzafMaD+XG3wzie5HEUmTpgg6KzeZjvqEVuSHDZ8/9DcYLN9gezu8N6m/kIcSnx+/5urvf6+/cLngLfhMffZ1sIWzmu0/P0/NN/ZMivPHPBllGiHTmrUiBzAtC0ZEgu9u+8sQrYAcxWhOHaoYu7hcIS5DQsGyDuFJTmY+fLJ553usEdkMQnxnNWpU+Qx79P636S5l1RHkmNT3JdttUAMHe1wEPJkfRIv/Dz01/lNms/h/ZjXnMcOdKQHWQJzpS5nn1P0zikuZIstmX8ZmaAVtYf8fBzgi72bwsrS45QDKxAHWLRiN81fWbSjy0sX+YakAvnyMhqV3w6+AyjSykKxdr7QvH6HrdD0ZU1XZYCorJnKkh4bJADegaHBHpoPGcRDv+VWNc0udQs5HxDxCltNiO0BHBM+//wLmUzUkvnEBGGfzjMQeoRCAPYBiH/wgUkSLp43J1CEgDgvg3SHhD7MCHAwQpN34EEHGsoLTiCeFsgZY+wNN0rjtbp7UKYZvwlG6LfffneHtfhndnsQCKyxsotXmHY5xLwqz/6NzIF0tVVXM7stAkRgP422DMIGGOHlHkWKeuXfr0i72Nn9segPbZmMdXjm/2O//dwtN99sEEa71K8nm+gHZL7zicESoYWmLUSyu3LECLXlVzdf2zNo4XhJ0m/Som2/edx4S/u2bK6BQwrLwjFnwQcLJMSeL89qmcmoDX4rhxcWE/ky2Qu+8sqrwrx9w4Q17ITPlz31Q7JPPkp2//XUNuzMCdLBGJ7d5RwL1nDvPXebY897EkjYapoqLSIrSLTTCNfYdrFz8buEwA4dz9AY1TZnFGyOcaA5T23CkxwTh0suu9SBfoFJ077SUCIobi6B+yONG+OMsxbmCJ+oz+CRYk4DL9CE4gOA7R9wZ38XTvDOu+zsDpZDMc4vQwYPMdQAP68Yl3Csk3iGRgiHGMxonnnqaRNe99q7sWHOMvaYPTwqH4n/fP4fawPYtWxN0z5/n7awJY6tPIJxvjkA7yC0s74MbPTBDQ7H8tTTTrXnAKco7JW/0oIAjT/OaMw7/rAhh5+Y3rADo9DiZvfOQmYNpV1Vc/Xee+61PrCgCOcFmv+h4pfCetv4sDgl4AO0igJU+HmIaQY+Gdi9s0Nwzrldslu6t2mh/K8n/yVTkn0MJxf+llYHjm/Wb40tdWO/j3N32BegwHKNJ/0YI1+RKtrpYQ6hef9DC6J79Tw20i4WOybhfGZhALFbwDPLnKXvnvfszIC4AsIFONEffviBIUCBMz0Km3ntlOFHwlYy77A1hDWNExCL1h30PGKDfqPeJTi/YTaHw1pK5ecAiyQ+gsurgIXNLk6SG2iLu6IJOD/gCJOIdx8IZLEpQFLa0q7xfgUFh+8BttTe5KG0fH/WfZw5cZLkO1EWAiKR9y1ygCfeYUDdesWKv16ZvygV881nvre5xp125ZsXpbWb9xSBrCpioVUZc78i21caL5bX+8vE0TOp8wwo2kT/gMSOMEl5Kuqad4JIKo82xQ4RPp3PR9sLfXEhNPDA8wEvD+VyYilLWbFjRZg3ydmFfvLSCNscj4/nBfwK0/myefFwL972LNRRJHbY8OXyS7loJfmI8JJFK0l9nIcfqXz9Dssrz3G+ftA+3zbKXto54NtHn1noMDb00wv0/j7zkvniV/JJDlXheMX8yeVgRvnhPKQe5gM23aVRIXUkOTbFfaGeuCxfd2nPY9J89nnjX/hDedQV2+vGaf05Qg1UXs2LL2dl/UWIwL4XEx/8Hphnr7/2uht3y815u4xS42TZ8D/48EO2qM+buIw3gYXDgZlFP+9nED0wY0mKAOjnZ1IVfS+80JQ+N996a/Y2u74s+hDUpzwyWQFbjpHPzUtmXgbOdSHE83a8FvVEE016v6KYWEULZ8ASEKT5i9+1pdWDgysLTXbOeEYw00KBEUaMLK2MZX3/CqGkoYAjYiq+I7EZAbu5sVMqbUTRd/KJJ8m88XrzY+Ia7+UzBZsI8og3zeR6ZdNpp5ziLhFsIZCYMWGmyI7c/PeW9H0jbWnzIi4vPMd3j8BOoOCgOGl36inh7TIfJ839MhcSZKjo9gVFr1iH+tCnlHJgueGANJGZJnvvnbngvPOWmzalDUk5kHJg6Tgg+L6MoP6yhTz99NPZ43wHzQ4+JCPztHxJyn2v/i67ZIQOZPkVRTGj3a0lytKCPyOs6yWu+wvascsIAcif2q/gAO1XO7SZHbbdzo4pX5B+JdJV1IkiIGa0s1am4rSrl1Hsj4zQg7L5tFDJyOk+e748HtBmSLsESzTvpXnzSsyxOEHbo9tk5Mhd4rIQ3zKKc1LiWmWeyNQts12dOhkhjOWsZvtttsl5b2luMI+1QM5I6ZDRwnhpirK8SXN/aQqt6PYtTVv+zLx/iqPnirVsSVu7LDlQVoeNZdm2tK6UAykHijhAQCV2bS4bNLDE7lQu/oTaXiBdcZZGUwv8KWHJQRfCNK92rdruTgVtAQ0F1B/y3SF0JhyMMQfDeXGYzBfZJWKb/wAFjHvu2ecsPDiOzYTDPuucs81EMISq9PCeYfvQNENoH6c+OtVCsWPKMG3qVIOdxdn/R0WNBG8bzGdM1a4cMVJ1F8GoXSozJ8w+YopxsbnPLgoa2RhCE/OqIQpv/8UXXxqy0ogrhrkLBDv7qkwKQQK7R2Z47NxgaoXZARptkH48BCs44ZiHNpep3/PPPyc+PrUEL8A2Z1crRJihvyAVhZpmnO0xi9SCycz2POQtZnKlwf+WBpsLXzF5xAETLXeVVapYf4HkZR5hAgREXRIkr+cv/MBsB+jkdtI2Y8rqIVdB0+ijXQv6uXO9XWwnNYTtBdwhaaxoB9j3BKerIsjdUdeMNjPEGP44hDJmfMG3B50FnHxgm++8407bYb9eJq75dizAsR+i+XvJRRcr/HzXrBkLmnwPTyyB0EwyAcPAQb6v4KEfefhhczavXXsxDC6a5RC+GT6Fczfc8eA6OOl3a+eFefCr5nwIfUzU0tHiP4AY7FKzawTK1bPPzHKDhg4xs9QQYjee+/G4gZ8eQsuCluaJXdYYVpexDNvnzZF9nr/Sb9Fb6a/U47SvyzUHMPsoq8PGct2htHEpB1YyDmBXP37cTfIBud98CArt3lOC6CP6MgI9Jiwg5YCghPkIMSjwj8HJ9yIJIxx7qi/Bc7BiQlx2yaVmqrbhhhsJs/srN/LKK93pp7d3n0tQ+1V+QAjw6wgNCj+FGKoSn40kAsqWiNMfffSh3ZbW2cy/QOEB/hPULfxwDOJUwhtCcVdF0Lz/vvvNDySpzFzXELbidnEN0wmg3BA6MSnAgT2EO0Xg/fabbx1+DZ9++pkV7yE8CZQCz4A67XDGGUvwAiVH8+aHuf32279Es17598uuZs1aJa5xgklFDHmL4O5RqJLgfwuBzW2qOB74f/F+B9HlrbfeNDPE8ePGGYpVYwXGgXJBmHIPQRzTPOIUsFAJIVcRUEN41LgP5M9F2OaPkU/QfPnAAGWcBH/8o2BwQT8bpCjitB+TrG4Sxr1v2NWjR5kpLr5OuQiTOIAUiMEBwhWCtqcYnhhoTYRqAkhhKvvpwk9lBtW2xLyI4ZvjuYspnqeDDznEAi2xsEXgjfnM4hbTliZN9hXwwnm2cGLO4+D+wH33LQGxGz9PcXm5oGVpTxKsbtw+3+6/4u9yIZQTVakyiBeoxzRemvJZBeYiXg6smiuScD7BOXVpKCyDF0hZqTx5SqsDLQcak2VFucY/33jCd+zsQ8pVjk+Trzyfxv/mK6ss5fjylvYXoSDp+cMpKubD0tZV3vzYfi5L4mOG83BZCY0fjs8rO9WpU8e02GiS996nSJgqpM9NhLiFxuy6G28wWFfyrLlmNSH41DFha/3q62tBvrU5yRMLwBMOtAieaDsRXhGSQcTBSXdNBSoDIhVNNohDBHBKgqrEVlemMPZHxExPp0lDioYWB12IgDkILTgXP/DgJBMifVqu54IZ9Wny/Sa1CyEOiFsCXT2r3QDiNkAh3CmBrjbfYnNry+hrrzHITw/hGdbHoiTmBfdRchAhNaSdd95FAv7C8FL2GEAAwAbmvz3fbNW5gTCcC/43hs3dR3jRcRmh/4s/pr1Nmx7ommrBwHuR74OH5D3r7LPcgIsvyrYpPohhXeNIy3H9cf7wHJt6Fgss9r6XFpeFGIHpZigar4c/xveNOQi4ApCn+Efg14MjN2AU9AVwBwTvXHSvonLi/8TCdLPNNne3CsIPCuGJWaACTwzxfH3y8SdmD8/3HOfMcF54+OZHHn5EcRaq5527VmDxf0l8ZkxwXidyOn2qvn51i2ex8SYbq08/2jORa+4nlRdCy8bO7ux6eX8dD6sbtu+vfvynC+WsFo/UyrEyCM/9cWNvWqqieZm3DkJBx4Vd1L+/G6RtTE84miwNIYCwlcZKv7wUlsHWLNtg4ao5LBctR0yl5YnTl3bu6wAd44npj5eWvMT9peFnOP44QrG6J7rsEf9sUaIOf4JW4mihi/AbUlhOeJ3jfOXFaTnPVRZhqQ8PIEGT8lbGtdvkoHZau3YlimYr9ejWR5p2pMSNHCd+fHPcXqrLOOCB1oOD147b72CCFwXiTEfk1THXXOsIilV3u+0tShtOVGd26mzIMAsULpuAYYcKjYctU4gP4Cknt3OXydGKjyT5QGcBJeb4Y4+TmcQsM424Q+HUX37pJctTyH84/rZWMCm2p1d2QoAZKhOEGxV8Iwxwkq/fLP74g4qgKr83hCF/jethGs5jYgz3lsAXk49BMW3qNItCmwRVCTrRnTKf4O8UIRlBQJeqUjvGdAbaXnOM6MibKPYE6FC8N0nHL+/kGGaUPGEfOPeEAAYcsKekdqHJZvHLIni33feQWcpQnzz7S8AzkKl4hyHELxBaGOTrZTwWLSqCBY15QToUR/H7/+BDDjZzgddefY0kRmDxPyOB6bQEyFsS5IL/rVsAbC75f/v9N2sLTvm0ie8M4dcnyFxpjALw/ab+xZC85PNEf+EpxAIphnU1Pqhc0uTsQ4Qe7HlImeRnOiTBH3PPU5gHROQS58WJ2AkKCf6zoBw8dIgtTNGsg3jGOyYJnpi8CMoEKzur85mOiOExxfDNSXM3zAN86x/qYNHz94Mp/tgZ8d/kbD+UJntcXEC+uZ9UHt+5wZrLveRM3UM7SyHlgtX17QvT/hWP/3ShHDu2cMJX5CCAEz1IYdiXhppLUKpatUrOItje8cQDdvddd/vTcv2ygkz68JSlsLAMkDrukvDBSj4mVt9DtB0XU748cdrSzsM6WNmDFlAoLS0/w/EfLwEC2M1mzZvJji/ZlYIXPVqTmMJy4nv5yovTcp6rrIMkOHokoqR8lXUt1jBRD9vVCAuFEC9vcOMrgxBA2JZmi7ilBF7m8OFHHGFV8bEimm+bY9qYHSRanlMVvQ9Bka15osSiSSXEOLax55x1tn2s+QgRlIqtYKLSku/Ek06UbfQg+wCeo2BmfNSB8bz2mmtMg1dI3/gwHSRb05SW5AA7MS/p3cjCEy0hEZi7KPQ57yk+3tiTzn7hBfffYmhTFu+f/+dzQc++ZhrxkbLjvlooIbw/GBvgJolWCXwaxLw4+ZR2si8/wM55f50rk5QOMm3BfrmF3tFo4FlA8IemnYUcKFv3aWse8wVPp7U/3d2j92WTxnvb2FNWrZq13LlqLzB1aPk4pk4E5ZnSrAPRx19ICJwgpKABZi5CSe1Cu3qG2ol5CXMZcxoWytjYM7/pZ4vDD1eMiK/UpsbS3s4woQp+8YeioU6dbdzgQYMNrjPmBfWiOGInISSegyvF066CNO0vm2XuP6ZFDZFxt9Vit6tMamaob4wdbWHHGahXnkfgCGmXJzTIu8ukA413j27d7XmNy2AhAczsSSecaIsbbMgpE1MGFt0NGjR0G4m/53brKqVUB9f8kEPdNtG7GFvnH3743hGHAdOnJgpC11Q7HB07nGFxT3hn3X3XXeYfENcvJ1uLJzD9sWm+2cY7oGtZ+GMbjm35zJkzjJ/XCdkFnqDgYvFOLAzgZxGuWbSxiJJjqRYxT2tx82/zQXj99dcMdYfF3OGSa0K6SMjTQAX7neIvtGOMiQqB0TC/wiSnnd5D3c7tqgXLIkXKfsSytxFyDyZZREuGwnkBbDTwvcDfIugmzV3LpP/4lhJP4d6777F+x3xmgcO48oziQ7FQuyjz5s61+kBrAX4y19z/YMEHS4wbiho5d7uffv7JNWvW3DfDfglyh18Aihaej6PaHF2ifcgMf2WqdEhEtG6hk8Q1muy8dHH2YXsGuyk0Xm/oIQ0dTPjAMgmIqvjF51/IMeFXhfYeYGM1SS/Uzz77j7Yc97Oom/frxcpDzoqTye2JDwA2eCedfFJeR5Ww3vYdOtg2aeh4cdPYsdrKfNDw0fvqBQdeNy9knFZ4mIGVGqk/eeGbowlOJz7sN9qH0GnkkGaHGgbz2uusbW0meuMobUmyMCFiKELOk0/OlO3YwRbtlL6wPUSUShxzsHfjJYiwgYYldOwgT1xG7dqLHUPifvJywzmI8Pbgh7Myx4SChcajUx41JyM01Q9OelC2du8Is7y+bVvTJsZvhNrUqFEjs41DwMEecrxsQb/777e2/TdQC6Ih+lhYHSefLDvCt63tCFQlnHQ6djRnpZAnlw68zLVTHhx3TteYzBM8Gg8wTkfeaYQHv68cu8BSBx8dh6eOnToaVjzaDpxNCON+3AnHu5P1MWh+WHMrq5ns63pLO8p2d9fu3bIRKelXOzm41KtXX9jUz7r99z/AtpX9PGKBx84OeOQIE0RsxWmmmeZwrvLi+Y+A+R/NXcqKnZz8c0B0W7RzjAvCHh8GnGxC/jBnXtez5Z11mLfMhd/10ThZfGOxxfPFh4+xZa6wwGQbmgi6vCxx5oG//9iniY35a6+9ak5LhClGa9yjZw/D4w/nLx+J0IFniy22NE1Id6XFXhGh4qeffrb4A8yj6zQmfKxyOUUhrMUOWYwD1FMaFt4DLBIQelprW/mlYlMyhLOta9Z0czUP6UMjaUKBpeMDtbfaP0C7Qyw4+AiyW/aocPQPPbSZ633+eSb0YMPKtj75xileANpIngees2c09jyPHK+73rrWBtrDx/t8adR79+4t3P8XTZN6hYQ+nhm28LEFht+MF9vMd6o8yhmssUB4Ymu6k94Ncch1yl6ZCTtdsPLLS/COeYvAmYtu1RgerQi7Pg4D6XhfQOE1u1DKf7yD0Ur7RTKCGO9GxhJhm7Fl/uVrT74qytsuFg8sIpOINvr2xLygL7QfoRVM/ph4RjB/8Pm5TxvhW1hunC8+ZycjnNtxGfAMgQuzD3gMf2kbpmJhSHvysYAJ2xPX5c9jmFQ08IwPFNfv8xTy6/OG5RWSz6eJeeGv5/vlHc340ifeFZ7iPvrrjE0M3wyPaXuueeLz8lsWPpMe7T/h6KnX85jrnsLySAPvaLs3VfHp+OUePOLdnVJJDqxS8rTiz/ighk4SN8qhghesd6Rh8DyFzhkEo0Fz+eysZ90ABbhhkvFxRZDiZdGl67kWBv7JmTNNuG2sFT5b2XwUPTVo2FABZz62j3o+R5Ww3smPFDlfxI4X2JIh4AnaygRmVtghMblCRxN/L3YaYYLi5PGBNAdXayWO09Q7+mMFvHDhJyYkNlS7Q0JDwwuMAEbXXDfGseonwmHs2AEv4jJCh6G4n6AbIEjgQBM6TxUFvXnZmjB61GjDFu6kLTTGwhPbvdgkIijinY05AIF8pircO0LzDz/+YNt12TqEXOCdk3gJl3DSUbtjnmAO4PlZTeP9xhuv22ocbYonwqmjoVnw/gILmMMLipc5Dzw2oo2lWWL8Q2eomhLkFkkTQYCd0zu0d3dMLNJi+TL5ZfEDBi4BZSA/j3jB4KR2usyLNlDdBKpBG5uvvHj+s41Om5j3sZMTdTGGUDcJpAjkUK45EzrXXHXlVWaPin8DOL3kxTkIDQuBiAZKE0xb6S9akrp6LrtIewwxVvCilbTR/bTYCymev7EDT6sjW9vziFPQxQoqRKTefgP6a8E2XPP0aQu0k88pKp6TJepWfiLzeeKlzwKJv6vV35iuv+5610gmAB07dbZFhr+PRuoGLaxZBPH+iOnWW241Zz9wd0/SggbhC6JutGGeGDs0rWho22sOIIjzbLJoPksadog5gvaVBRPIEGNVLx+zyxRgiIXcII3DX414XyOslfevhkxJGMOk/CzowECvs822ZpsdpsFWm7/wWiHHjDHPj09L3VzjnGeR41zt8Xny/Za3Xcy1XOXSnly8QODm+4RAlUQIxLEA7Bcy8fWk/P4abQspLoOFgW+HX/DQplAgJz/5Cq0XAT+kUFiM6w/TlXbs84bllZYnvB/zIryX65h5RX2hQE7auI8+PzziXR/yCh4XIpBTRln4THrGjHdjLp6E5dEm0icJ5JRFGalADieWpOR9/CXTLdUVBsc7SeBIQ1TA9fWSwJnGfwCpwDtnoGlaV1s2q62+mgTz7ezDh3f5cGmlRlx1pTtVGsQpEv6uk1YObRawUmhKp00nquP62baGzjAsBHI5qsT1ho4XFOYdLxDCICZ+oYTTCNprhG/vNEJbEGp5cDepgXPIj7ZdxUIF4kFDkxASvCCSIA4mBx1ysASt2ebYEfJypKJ/xmUw+asUm9/E/QzL54HyzlNc93keFZ/ZbuIjNXzkiDCLveTRquKoRV4gjXA0uuySSwxVAS1ISH48YicdYJ8QmGKe+Lyh08hQwUmF1Kp1K3egNNrUu+pqq0ronGgaIRy4sNlLIvqGlpRdGL9YC9OxNYrA/6O28yDfbuYxAj8OW4sW/WFaWe7H5eGYxbyELuzX115Ofv6jbYV4aXknJyC1oN9+/c31EsICAmT4XHAvnjMfffRx1ilqu+22tQVquCD1c5Q2I4yzXT1TAj/afQinu65dzjVtBR8DNGhtpGm85KKLTJtlifRfPH/zjQU7W506d7Ks3oEHs5PQKeonafvou3eKyjUnWZRhwhAG5GGO+l0SNEIjRwz3zbTf9lpYEGkUrWz4oeImc3Tk1Ve5sySwtzjicC3YFjsoYr6CpvxkLU5bSrvfVFF/t9Euy3r/t55g6D4uUcdp0txfpV0x+ArKx0TNN4TtjtrtmSSBn4ikjB19RvOF4M7iECLS7ED5n/D3VyIcvWJnr4rqP+ZmzNskDXBF1bGilJPyYkUZqbSdKQdyc6Bw6TJ3GaXeCQWM7bdf0pHGFxA7Z0gyNSGI+2ib0MJh2zVVNohoPxF8ENrRGqMp4EOO3VRIoXCby1Elrnc9lYVA5u0EFy363YpcTVpYtlKLHFUWa/h9HfRzkYQ2BAZPSU4j3PN5fLoaNTZVmPHZ/tTKyZ4UH/z+W1E7fvm5iBcxLxF08pUR99NJIYj9WtjeuE6EybvvvMsus70fp/1d27kQ/alZUza8si++oE8f08CTFp6EdZAuyUnHl2GFFf/n+Yn5RS6nEbQKe+61pztbmlpgrZ5+6mn3vbSVXrvg+WxlaRxLtF9t8/d9vfF5eJ0FTmtphqdr8QfqBNHvSlBxecBLeacyQsZTd0jUETs5sRBkUQH0G1Hmkpwnw7Yx32OnKJuf//veqrI5Wjxvfd3Ys8+dN9dOKYsdgVCjw2K4fv1ds5oaJVnC6YldnXgsvCNckgNP2OYkp6h4Tvr0aPfQ+LDFCS36g3m6eKHqxzFT/KyZk5DuXzF8mBa4D8uu9FHLRzqflmiK58hW9q5iG18ShGWy+yF3LzOX495/v/2v7RJx7OkQmT6hBX9YZkyjtdN1kRYx2MpCvu0cM+acNxCaBjamEO0ASSSliuMAC7VUIC/iZ8qLiptXaUkpB/4sDlQZIKrMyhFsx92kLVxtPWNPW2PTTaXhGiHb0xttux0hF0B7Pl44QhBggO1hoMW2kqkBgiCavNkvzDZnnumPTTdHjr+vryABu+xs2qf7FGBhyOAhJriHgtLtsjElsMS2EtwnTpjgVlt1NRPyCcTAVvSWxVvjpAnrxdGSbZfLZDbzyiuvmsa1zjZ1pB1bw0waEKDmzJ5jWrHnZs2yOjCX4CM8Rji3NYRniqYNwuRkrAIKYIf8mpxmcF558IFJ7nPZFW8u05E7ZU6wurTgbeR4Bjbv1KmPasv8JfeVBCQc0tCQQmD8Tps21erALAH77ZiXOM3GZWCDjYMK/aUNYT/32aeJmVCgLSYM9LvvvmNmH5go4DBDHv6wZ8bcBJMPBGpP8BQtIeOGGcz+crQaJ0c7HPTY0secBQF19NWjtBvwvTmO0AbMYxZ8sMAQL16UrTgmAzfd+P/snQecFtXV/6/GWFL/xpg3aqKg2BuIoogKgg1QEQRFFERRBAtYwQ42VFSsICiCFTtgATU2rFFji1HzJhZMYok9b2JLot7/73uW83h3dp7dZ5fddZE5n8/uzDNz67l3Zs4995zfuaIGTzAZgp/f17hNEw8Zg58t/zNro7eBI4LlF7JjxoYZZx2OK2ue+fh3lef63/76N/X9VqWOZmKDIPWYBHi2fLfbYXsTREHroB3siHwt85JbNU86dNhczjvzbIw7b9vFgj688cb88KxMQhhPdlFuEa/S8vCTYEsWbSqmNen8v17OX8w3ngXCKa/WajUJ6F+agIwjE05dwDLCW54JQnVjEjFJZkTpnFl22WXMjArkkDtk899XpkhrtFkjXCTs5t///vnwxhtvyHzlbXMQQ4PdqdNW9rwQuvyWm2+Sc9UfbGeKZ4z5+O5779q4ESCFBQNmZktrkYDNeDp/EeQxm0rHYu6dcwLmXIwjZkxoq7EdHSEnMp5tfBmYR7fdNlvz5TXb1SJADPBjOBDhSOXPfAf5KPDsIdQyNpj/sAChTuqgvcwLnJxwNmMBza4BPh5LfX8p4+uGGlvwoBGCmcsgSmyuxRELuE1lzsM48/shjevsWTNtoc1i9rprrws79ehu85P677prrnwmtghAnjmxA0Fbt9XzjoB+s+bI2QqAwyLovPPOs7FrpTZitsKiAjMX7M4JYY4dOmmz29NednFsOAeYb9hGN5S3mFhhHtKSqbY2YhJYn/5jn04gI0xpfFfN+85uID5avHtaGrEbSHAeFCRZqqTdmMAhW7DozxIBdPiGs7hpaqqN/01dd1o+DquMP9+qlkS8Y9/UeLBDj6kn70/ep/VtZ23PTEvqb7W2aBI2O4nJUYJGbr36uNl1PWBRW7/x4GHDo4S7UlquS3sWJcyXrnGS/V3tZgU/0no9uV70UQJK1AvPL0U5Pto59/KI9FnysvPupWklRMQPP/wwats7wqOUJOzE6dOmR2kz08uWLuVlbWWQ0dsCHyGZmNixtn+0Wy+RGkl26dnTwvYSQtqJcknPkbZA5eqoZMy8LL1MjTdeT3pM51NtZXqf07z1OZcQHi88/3wbHwnOUaYecf78+fUpolpa7f5ELV6qXavvD8Yz7RfjxHxgDjn/s2Uyx9I83NdLMJus9NvnTLmxoCyfr6TJztFSQWVOvPxsmwiBrmiHZXI1/LL3o7YS4J2gSXPnPXPM+wufKyGZ1FSSrEjTAA4wn/fs1y8SMr0+5O9y3k+CQq3xTNSnrErS8rzz7aoPVdJG+r9H375RC/eKipZSKY4+ZlSccO55Nsc9k9clv5K41579/XKjH9PveSWFp3yTeV9UtNPcbCcef3wU2ELuPb8op+x47KjR/rN0VHTZuEWHDlEKpdK17InzJ3u9vr/L8d/Laax6vLxyR4EQxA7t20cpGKslSfld7UYz/RDqT5QVRDxg//2jlGRRZpZx5q0zY8cOm5feu7U1xfnXXM91bW1pyL1mMV+ptgrQD1Zm5ZwRfJWKpgpTFexDl0lWrtiKslJ28wQvO/vbr1d69HpTW9Q8xwtsjSHu5VHeCt7LzruXlkGf0RKi+U21F6zusW19829/rWZuQN4sL8uV4fV4W7yfOEbWRbTbNfaeFlOHjz78yFazqd0v5ZKeI22BytVRyZh5WayQyzmNpDyorUzvs/ehvkc0KbdJq3yqNpfQeGOr3Upa0YZSnpNTfctiPNN+MU48W8wh53+2TPiY5uE+Goly5HOm3FhQls9X0tTXgcfLz7YJ23405fihNCZ5P8qVKYHcwpqfI813dt6Thznm/U3Nf8qVx/WWroWtre0t/R7zub4wsmjWHQ6W91M52NjG7LvDslZapj7oJbjR2tpI/1MfibrKv+ee39iuGshTE+Q0DaX82HXXXnUV0eD7DYG5Tfl2tmD0MKnMI3ZI66JykLTY4/NXjtKxKJem0ut5/Pe8jVmPl1nuyI4jDtJZSvmdvdccv7EeYHcbc9TNOmymufkPgRD0Dvc9+EDpvVuuHek8ru2ZKZe/JVyvuQfUElqlNjA5CWfLH0IpgvjiSvQfqD9IWr7crbvm5s3nn30eJingw5ISyBYXwixlO2FRA/EGvFhWiFxc+NCc/cSc5zOZ7zQn8e4BD72+W6XN2cZFqS7s+y+bPCW032xTmSx9Yug/KZSrNHMWtn6DDTcIjwtZCmER84IUSvdmmXZdNmWKzYUf//gnYR8557JgykLAAuWKiR5wtWCUf2/J71l5QJNiAvi/f/xfw6PHLPIp4XxjpvejH/3YQtxPlZklgdZwPD9J2N3AnwJpS/j6crC7oPnMmjlLDvbftB3fJ0xD5s6da86+RBnFUR/I1h4yTSNyKb4SDmdK+fDjNZl6/vCHPzBs8MnqK2ZuYEaDlw3UJ3Czt8hUMwuzu9feA/QerqlfYx6Dtc/z4zzDnO6uuXPCD5b7gb3DMC+EzjxjXIkfmF5ibjr25DEGfQviF47LKYztaEGDumKqUh7gXH+iEI5YtG+48UaWP+XBfLXtcvUbEzLKPPKoo2VC8/MS31AEYZJ41KhjzAQOs8oll1zCeHy6nKfzvkXZufdLRah8RzDJeZC0S2quQMwhonnuJ8dvBFdotsbYxwL4WQAQUmjZ1K8hb3ysEP0Dez/l/4oyc0x58PJLL1s9ExVlVppek4NSiFu+PykkrTu+Uz5mgpj8EZeBNmK6Ol4+SgBE3C+zXwRdIKMxdZoghQNmga+//po3zY7Au6bzFAz5vH6iuAAK+kuZltTVvnLzhgqpL+0/5jQARmD2yDsY4AZw0K8W5CmmyTy3Kfx1Jc81z8y5Aoh4TXwByQ+UNswfgbdmHNPyqjHj2/qhB7egggMFBwoOFBwoONAkHMAsqfWqq0bMv/SBj9ppivLriVddeWXcbJP2ZhK45upr2H22q3fcfntrByYpmOyxzS4fiyj8fruO+cH4s86KCmQThWVv1ygT8xW2rDdcb3279tC8eSXzDMw7uIdJpPwTbMt+4N57WzrMmagfknBspjCcXzpxkpl1KaCKmaopvkZUVNmIqYPTxx9/bHnpm7cd06cu22xjpoLyt4lrt2ljZiv7DBgQBU9qWQcPHBQfuP+BKJ+QOPygYVECVdxmq62iduPsGv2GFHHWjmkbr79uRlTwI+tDz5262335ktQwX8njGYkloMQrpk61fP4PEwbnh4LGxM5bb20moYIJjRKIIv30NivCtY2d560PDxgjCZyW1ctzHjBPWv3611GLpSgfkTjswKGWLuVbn916Gw8F/RrHnHSyjSk8wiyIfFnzlezcE/Sw9ZPr23XtauaD8BMaPGjfKKHPTHvyzIx8LORHFQf038vyMA7wI6W88Unvp/zP8oB0Xo9QyuKBQ4ZYVsz4BAkd5VdjcxpzTsY3JUx75sucEh5jWgn17dPHfsuXK7bdcEO7puBdUX48dq4gTTXMV5zfdfWzkvbVNm9oQF7/aTPPE8Rz4TzIew4rfa4xz2y70UY2p+GhhPGYV55V+i3/q7m8boLVAY4VrM6aknCi+i4QmnCcExpKzyoK16JEsic2J45ybUYr3dRzp1zdLeG6Qyg2R1vK8bo529Ac/SzqaF4OsKO0nDSzwE6iLcUcCYdxIHFn336b7UigdeV+P0W1RDMnu327jqkC2kqclQkOB22jSI4PzXuoBows99ysKD3H7IyImGxng8AzVPCVKZk501JVWlICbr315lsKfvaymXRg1iXh2UABHHYXp3YnTNCybZdAYdo4zLLQMAMogPO7E07w8+UsDpTpoYcdqkBXp4Tlll3OtOFoXFdeZWWL7eHpOaZtBKb0jy//0bS5DrObpvXzPJ75vbqOKwusADMtHEHZ3ZAAZvynzYSITyMBNxYPfJ4QWwEIV+InZAntL8T8WXW1VcOl2kWAz//RbkseeZk+9xzelusOSctOtNPpp56miKZr1bozjzY6hZZ9TrEM2PlwqnR88uaBl8HRIZg593mdQtJmYUYdXvaVP79iWnbysZuUhYKeI3Sqb6CTazq8kg+qq5+VtK+2eVNX/6ta8c3/7HOIeWalzzXPMcEAARWgHHYcsuWlz/U3tTb/WZML5WxPgInMsakIBISOwsv+NgiP90qpkrSHK/DO0Qtwq2srly1fcJBTuklbsY6JnF6vzzlez81BXg9Y4hcKMSSP2MbaSkg4BGFZlKiSca6kP2xfHnnkkeZ9Xkn6hUmT5bWPT1O2IW8OL0wfirwtlwNSPpUat846GVhcbYV/+WUVtCrzjXgFmCumPhHYAj/3bJXiha1z0H/yYGTJ81+VJU3oAujaLw2J6cOPPhQSz7P2LGGyQrovc+BgEX6IOHyogqWx3Q/VBbubbTsCo5TlJXhe+o65G3V+JZhSbMCzcKYl5mROHG40vVwOZjdNw3kez7gOjCg8TCnlRzpWarTwqsrD2HoZ9eKBxqY+PHC+eZtpH0HHXlB4e8w3MDP7akF/qrV9QeOy1/iN8qvD5h3CDKFATZYZJohq0FhBnE5R4DgidWfJx6IuaNm6xsf5j6CYNw+8njyIW2mva0DSejvLwctmoaBBJgNtyok5mZLzmwBztUHoVtI+ngWEewikLYIkOpXtv54dQURYMucVP7LP4RdS6FX6XJN/38H7yjzqMplP/T8zPc2WN1/K45ZATS6UWzRFaUCakvr16/et2Fljkzh9+vSKulZp2r0EF1gJEcAEmKCU9hAsHg9UQ0lbTeHYY49taPaK86X1APt32YLImdkCNtbHGe3UokSVjnMlfUID9bBeYmh1mppSXqfj05RtyJvDTd3Povzm5wDasi+++NxgK6l9yIEHhFuksdp6y07mL4QWGAeti/VOQyA6WIHAgNLF0R84VyHdhD59+9ri/GTFQLhLttqjRo8WDGg/s5+VyUK48/Y7wpNPPmW7asCTDtpnoAlu2IuyUztSgbIGDxoU+uy2m0XoXeVXq8i+/I+Ca73YBAU+8EBsQnv031MCxI8tGi6/d5EDIbCxHQWPef2M602jz3WnbNvRyB9+xBFh6AEHml07+Vsr0FSbNmuGs848y9pDVN2DDhwaZD5gMLlA4c5/fX5gV+oZwe3+Tn1ht6B1q9bh8BEjZM99n2Bfq9pIOfAJW3PsrNl5QMh68sknqilq8ngGTOoTTzxpWsI3BJvqlPKDgFd/0T0EU6BfaQtaxK21Q9FNUKDDhh5kMUM8L8dKecDihEUR/cnyQOZIWkh9prgjD5oNNHOANjrfHtI8gkcPPnC/7SqwEwBveD8CiTpPfKiaN98IV9m596D6Rhky8wgnnXCioGFfEPRs+/BPabpfe/UVxSB4ScLb4HCQxg5b6JR8LIA1Jg/z6dxzzg3ZgHbZ8XlcsMlOKf/ZwcnygHReD5DJCOGHCt71Re2+MD+BCTxSC5HP9Tx1797Di7XjWnKMP0JxGIReYukRgIGQvO839xpP4S1lDBs+XDsMk4KQ7cKfpPC6a+5d1cpxfi8nwIBa+ynfg7rah7N+uXnDuGX7jyMwwvGtN99iEJ9z58y1vvxOslb2OQT6tj7P9cZt21rQOgIuQtny2E1pCbSEVo3fqDCaqEXdu3c3h8158+aFHj16hNF6od53333CCX7IMMCPUkjxt7RSvVRYxKcpGiRe0McosuGJegFjuoADA2YZaJAR8p3Q7k3WywmHhQvkRY4pBJjZs/WA8kKB6TitHH/88cIb3twcKNgao3xwhInChzPH85oIfCSoa4CcCdi2I0+nTp3CHXfcEXYW/vcJclAhiiimJQQDISrfID2UK8gh6SAcdeSQg5YFMxoCijyoF4ugqqzfu2sSgGlM2lGjRll7s30iMiB54QP5KM+JFxO88fLat28fdpLTYV99qOAd0QqdaDu41lk+9O7dO1ysDxACF3xDEKa/1wlFhDoZozlz5tiYHCcnHvjilM1HPyAwx8cKiYQt2nv1AmvXrp1hNbMtlbYX3sM/AqfgbEXUQ47Uw4sJxxjak50T7H6wxX26sK8JwgMRIIp54by+XA4b8IedGMo666yzbHx8nGj7NGGno70GyQdNHHOFaIyk5cMHtvFwvajoA5jSjBfjcaqcvpivzDF4z4vRxyk7frSNNOk4w6d0XqW7GJRzraKYsijhI8icAds85RNacgRX6jKvcmFcg28LP/dUFEPmIAszxpGF6f7CGIfoF3Oa8OTk5ZlivtM+QsBTJppEQsOzCOM5YX44r0nLs8n4sDV/vuIKUE7e+PBc3iMnNcYQAZ6olfQj5Q/RbLN8BY2k3By2ThT/vtMcQOuJ2QBIQczpbTptFe6f96C9exHSyxHPPxo2Jz5fvNO+L0HYUXEom+eF39x3h13ei2znuykA2lLy5RFpyZ9S3rXa2u4Oyik6FQsMX2TTf+r332ldfk56FC3eZr9OXsw2eI5r4xfpszzzMrLH2vjhaRuDB2mbK+EBdad887aw08Y7h3t18cDzpEe+Bbw7eV9VQtmxYEHEtyNv/OozPlkepPUg0zCHucbuEXMb/jEO7DZkyeslfV67PD28I9Dhf/WXh+2f5q+tn5W2L2/eeFuy/ffr5Y7Zsvhd6XPN9z4LGpItr1y9zXZdA97kpI9vnDRpUpRgFGWjZvUpXHXUxzqeccYZ8YgjjrBrbdu2jRJmzRhfgpddk7AYJSzGoUOHRgmh1doq4SRKIDNcZL147Z7MP+KVciC6RM4kEhYNe1Z2hFHR9wy/WQ+xOYQ8KWN/CZGG5SzB3fCIJVhEveiiXuhRZhPWNgl6UZPfyt5eDkgSyOPtt98eceCh7RKM7N6YMWOihLmolanVJWE3KmiJ1UGCNG22T3oxRGmErRwtKCL1pCSBNWbLI41W4GkyO9dHzo5ZPuiFG7t06WL3JDhFhQSPEtbi+PHjo4TyqAiF1l7upaSXQI186f3DDjss7i2HKXinxU689dZbY157O3fuHCUMRi0IqtWjxUf0OvPmRLdu3YznaZ0pr2m781NCvI17dpxokxYE1k8Js5E2S5iPlAMpwEuUAGr46nrwohYMcYacaPrI4QS+aRsv4ihDvyCvL29O+jjDt7x5ZQXonwTkyFyUPWLUIiFqsWm3Uj7po2FpuAGPpRGzNJQrQdieFaEyRHmsW/vspv5Jux6lkTKscp4jLSrtOaDdjDt5e/XqZckllEeZD9m585p562OStiFvfGgvDlaUqQWv4chm+QMPs3ylwnJz2BpT/FtsOCDtWFxHcxr85kWNFuW2NxavCx40FieLcgoOxNjk5iu+usAOC6cRViUQGjoJzhaymlUbJGHJQlejRdxHW5JoYtGykRcNIho+J6IeWtRPOdEAseQaD7S9aNPR/knwNi0DGg+0tGhlaANbKjhJsGpiewcNIistrrG9hkaftBJiLYSzt09CmGmUsWHPrq7Jr0WFaeaBCEIbDSSW5/V25/VJQr71kTTZcrmG9r5cedzPoywf0LTAczTrjAHtQiOMFljCp2ls88pBS5PNl6ZDC8WOAryToGfRE/Pai1YHTbqHJPcy4LNT3pzwe+kx5TUaXTTSzJEbFD6durPjRBuZE9jSsbtBhEe0067xlwAa7hZsG9oW5gp27ECQMT+4xk4JZjRoBfLGL22bn5ebV36fNrHDw5xhVwK7eXwEUj4xp10DRHtdQ+jtJS07QbQVrbgTfWA8wNBeTc8HadCa+JxPtW7puedPj2kb8saHPqAtR7NC5Et2I7LPbB5f0zqK88WbAzwLN+qdmn1XLgpcWZTb3lj8LXjQWJwsyik4IKfe5mCCVj81qkHQYfuccNVsyZAGe2rMGjA9QXBmixuvZoRvBA9pc0vlIFAhXAqeyq6xpQMh9CGQ4sWOCQnX0/o5T38jSFA/QhTEPbdjTtNxDwEGx0Tac6PwMhFYKZ/8KWFmgyCEsOV987QsCrJ9wl4PuzOvz/viZdZWXrZuz5PlA4sYzHUwI/A+wzvMPjCHGSszFG9jWiamLdl8Xocf2faE2IaS9tPMjPL67+mz9Xi/8+YEefy+50+PCNsI5hDbcG5GlI4T97JtxGwEMySI/rJoyyO2OHFUxm5TuwomOGTHL83nfUMYpty8eeXpvU3UgVkVpiLkz6NK20velF+cp7+5z5avOwmz/Z7ON9J6H9J5QL688cHs5Q2ZD1EeZi+1PbOUkVJaT4pekKYpzr/7HMAZDOdOgnEtarQot72xeF3woLE4WZRTcEBIS03NBLRmCH/aNjfNI0IAAg6aTbThaC8R/EiDMIwm0+2H0e4hLPLhR8iRCUypuWgD0Z5rq9w0mwgWaLBlCmNg9lMVPAKbWMpGQEMbjcYO+yjOEeixu8Ymlzp2kwMQmmNsdREWuE46hA3SYm88YcIECxyDPTJaXzSVaJ5pGw55aFYPOOAAE/AQ4J6Q1zH2fPSXvpEWj2bqS/tEfxHKEI7RhuI8gU20a5URGLPlcQ3N5RQFW4CXEG2Ev9j4ZvmA3TfaTLTZ7AwwHmhX0eajnac8+kG9MsEIJ510kpXJ4iebD+EM/jtdffXVJughlGOXjCCatvcqAf8zvjIJMZSctB60z6Snz9k5gQ0z9xgHR9fBBjDlNXnQHMNfbLZBoNlVAWeA9/Nx4hzBHV6h1cVfAS0y7T7kkENsjM5RcAF8BhhrjqSnzcxf0uBjQBuYr9nxcz5wzI5zOq+yEeOwhcVenjnGWFJfyie044wn48pclglLILgCPBssZySZ35idO5BczEcnFqX0mZ0iysDem4UuduYsABgbngnmOppttPQy8Srxmh0rnwfYr3sbsuNDW2UaZG2gHniPvX6WP3l8Zbx9DrPoxCaehSnBwgoqOPBtcgCfGL4BebuWDWkXzzk7SpRZCfGOI31txK4Ci+tyZfKc872rayeMdzt/lJVHbqPMvUpt0/PKKa4VHCg4UBkHmsXRs1xTEC4wRUCg9m16BAC2w1InBdKl2+hpebzAEBARiD28Nxo+8qSCY5on75z8UNYJIE1LOxHqcbDw9qLtdNOZNK33Lb2fnuf1iZeeO3OkZh2Um1deyre0bj/P8oH0aEJ5UfPHyxh+82L3cOG8zLMv6Gy+9EOAgMsuBmYjbg5Srr3eLo559aT56upbWhbnaFq9D+RNxwkhlYUB5lLZDy0f4LpCoPMBpLzURCRv/LxN6TiXm1cI/ywaEIgZ87w55OX5kXIR4FM++736Hhl35gK8ytPQVzI+fLDZ7YKvONaxWKJc2Z7bfC33zKZt9XHGMRiBnIVpQQUHUg58JCXCz6QcaEpivvPHszhDzu9LLrFk6D+gMiSs2toF1B6oMIQJ59nt1mXb8Mmnn5jJ4BlnnlkjK2gYe+3ZPzz7++dr3PMLCqIUltc36C/aodphxx1CRykjUlJAm3DGaaeHOXffVeN9l6YDdeOll14MP/rhj8L3lvqeRYFM75869hRDplnh5yuEK6XAQCFAlNMj5ICefgPSPMV5wYGCAwvJAX2YCyo40CAOSAAz51scdfVBa1AZTZ1JC4943nnnRWnRzYG3qeurtHycI7UgjTIrqjRLi0snWDFz7sUhVouzKA25OVPXt6E40eLIXFDBgSwHpFgoRXbM3mvM30T59GibjVXuhx98UIooSpkXTDg/aofInK55L2VJi/949JFHxnXkMF+OpHyI227T2QAKZHoYRxx6aG7SLTp0sGiVuTcXXNylZ8/4lhz0IS0WFlytOgBkILM0+7G9nO1x0IcklEePgGkXin8FBwoONCoHmtx8ZSHXDEX2FswBtMVAPEKpdrglNVlPi9mEYxdOe9EKtwTC9AkTGbTNiyq1atWqZOLDLkJtu0y19ZG87LQU9N3lwAMyb2JX6NVXXg0nnnRi+L7MJSbLHPHzz78Ibdu1DTvLMR/M8Ndee107nD8wk6jJMjd74rdPmJ8ImNzMtysunxrab7apRZkEl/mf//cPi2457uyzbKc0W8+X2g38jcz5XpDjPdE62fWaNXOWIhpuEB5/9LFwxFFHhp/KVGTypZNDD/ndLPm9JcON198QiCRKECGC1BBhFFzogTKt/Je03ePPHh82VRvuF9Y2AYYOlblXHuHTtLmwzSF2hGbNmhkUxj0cKAjdQfsOqpFl4sWXhGHCpM7iRqcJecbAUD/huOPNZ2WgQAXyiPdLXYSGnSBJ23brGgZm2oO5I4TpTSfBVbopJTzcRo79YLnXZRpTV/3F/YIDBQdyONCoIn5RWMGBggMFBwoOFBxIOIDGeMh++9mViwT/CeToyMNGRAV/sWtdttkmKshLFLZ93EZQtMDQHjf62Dh92nS7v97aVXCxEmxja0GAKox9lHAf+/fbw+4PFFzovYJWzavnVO3e3HLzzfEqweRutkl7S7Pm6mtYGdOmXhF3XAA/u8+AASVN+Tlnnx0nClIXrfaA/ntZHTIJiX167WbnfbUzdMnFFxsMadsFUKZ2I/OPPt5/333VrspXI3beeusa1+HFjGuvMw34+uusWy1P9oeCHkX6QHtkHpe9bb/Rpss0L/eeX5RCIG6tHcT2bdsZlK9f96NM9qICzMSNN9gg0m6nrTt1ilqo+M/iWHCg4EAjcqBZ0Fdy1gJ1XkLzirNZloCkw0GzMYhgPeUIRzns1SGcPhdGowlai5dVrr6mut6QtuMMWQmhRaFvUEPqqaSOcmnQelNnbUQa2ghxXimVS1tJnZXW0Vjpyj0njVV+fcvB9h7CPhefBvwkClq8OYATsGteD1PEQSBHicbowU+23mab8JBgaJdbdjnzTwE5a+VVVpZ/QhV8rnMPP6PllvuBRdRco80aYbgif56hwFifffa5zbe8enCyBx0EB/TZt99m/krslhG9r9+ee5gW3P0+vJ5l5ecEER78J3IIh9hpw6Ea3xX8oLbYoqP5mPz73/+x+3n/XhSyFaHFUwItaoxstYlKmtJpp5xqjtlHKPIo75nTFbgsj3imTpYT/ryHHzI78NNPPS0vWUXXTlPAsnMFXtBrt14WwTKbCR+tiZdOsh2D2wUM4ATK1Ztv/s1/FseCAwUHGpEDLVYoH6mXt5tGsOWIkwxEhM0UGrGhvACpxRE9smWACc6Hg+1WiIiGoFVA77//vh0r+UcUSSAHeaFz/DYobXsl9YOgkUaeLJcHYQsEGbDBofrWU67cuq7DU4jxUNCb3OQ4JIHmARLImXKmIsomyCvAQoIcAwoKiB8gmIDUAqQfBCpNly5V2PT9+/evASVYW51WQDP+83lI1E+QdloCESKcsOY4mnWS0MIcgacTzjuvBi9bQnuLNjQPB9Zbb32F+Z5n705psy18+fpCTXr2NqPz5QAAQABJREFUmarFv9TDFkq9XGu+jl+bUzr3pZCyZKAGYcJxvKL7AimLsJpXzzrrrBsIrf5LmUgBgfqV0n35ZRWEKwtHoBgxCcFx8auvvoG3pR7Qhp59bkEb9XvFX6xYciYX0Gi15uI0z19Kq7VaLfxjgVKA6952vmeECIdoA3T+RReao+UBQw8MSy+ztMxD+tt1HMJT4v33yb8+0aJllTBF0YxffLHqu+LleFp45vVxLVsO14jXsK7QwE4SihMLDb6x2XJIt6pQuVJ0JxYxhCwvqOBAwYEm4IAe3BZJ8+bNixKmrG2CcMuNXrmwDcfRrhxJOKsRSZLtPIUyL5el2nUihircuV0jciFRFhcVkj1iRU0lIigRLJuLUp6Wq5OosW3atImC/yslkTY/Cj7QfhNZ1qNZckGLiSibZovQSiRY8uvjFKWti4JktDwt7R/ObzhXQkTfJGLtt02/VXTZc/ScOqWOZoVzmHNl8T0ePmJk3HC99ePggYPMKRxH5y033yJiKoJzo3Z84ji9S7SYi4LvtHSkxbGx+w47xkMPPjgS/RfzFUxJML3o2GFzM4vps1tvc3iU4Bmz9VAWJjHUde0115gjcqtf/zpepCi21HffvffaoJwyZmykHO0SxkH77BP3HTjQ6h429CA7P3bU6DhP0abn612yuSLXnnnGuPigIuxS1iN6t1+o94g02NUGeNIlE+OUyZPtGk6ZHTbdNB5z1FFWL6Y40F577Bnnzplr5/zj2XbzFcxUVl+tVXxeUX9TOuP00+MVU6dGnFNlL2+30nIwA8Lkh/tQuXJm3jrT2nLzTTeZuQ5pvZzHHn0s9tplF3umMQHCCRXCub+fIlcXVHCg4EDTcIDVdJMSLyNF14zCY47byHZQWN1RWsso+LM4bdo08+rmPvZ7CshTEpiwO0Qol/YtCrs7KoBNRCgjJDqCFeHChaEcL5ZtH+G9p0+fHqX9jAqyEmWWYn1SZFATxkCGmC+kCAhhjbKECx1d+BQOdCQcuXDKS8K/hxwnDDphwylTGOQWOp2w5HvssUcEdYSXKMgRJ598spXPP2kIo6DdLFQ5gt1OO+1k9+m/C+ogTtAPBRiKM2fOtD/CxNMHwrdn28RHrEePHpafPtIGPjgI/PQdop+ElHdK2851ylfwIGubYAItGfwQRnccqI+QcKLtmuAYLVz6KaecEoVXbraJ3k5QAxBw6S9jiFBeST2MLXUTql3a3Wo2jIyNdkXsHnNDWmDjAbyAdwig0oxV4yltYy5kSVFfDREke12QfXaJ8lOhnOvMAwW+qZaFsWK+peR11jWn67oPkkF2zuf1GUGF+TVu3LgonPR4tgQYFgzCV4/ayrfrPCeMq7DFo/DuozDJrck8HzxzBx10UJQJT2QBJe2/8fOyyy4zfvMM8CxQD8gpB0vwgdfaTbDnUbtVNs+xTX355Zej4gpY/SlP/BzB6uWXXvKfETtht2lFgOqg54G5U9DiywHmbkrMOxQddRECbN7c4TplcOQ5ccrWQ14EdogjNtQyJ7S8noejC8rpNc6121P2nqeVhjnK7MR/2hEBlsWEt413jQu3npBFh9/3a+nxGj3rqT2336OulCcNLYc+p21Ky/lA72Ge3ZRm3nJrRJgvqOBAwYGm4UCTC+U0G03exIkT7YUoDOyIgInAoy0x6xVCBYIdLwDZsdk1F8r5geDpAiPCCUIKLyR5f0eZmJhGE80mL08EF4RlmRpEBGsIoZk6IAR5oJ74GAgP3a4hKCpIjAmY5IVcKOccOD3qRyupQDVcitdee21Jk4/wQ/6UEFZpJ4RgiQCOFlYRPe3a4YcfHtHMCuM5yt7SXozaQjU+0Ke8Nske0cphgSAMaBN6WCjIRMPKRIufvqi56G3n46WNFnOyUhCfkqYV4ZOXskyCIjsSEEI5pCBEJQEWAY6FgALB2EKC+/TBNeW11cNHkj7y8VEQHFt4kN8JIZExgr8+J1gkKfCOfTwVkMc+TClP0Zr5WHg5HBEcFRSndEm+AVFmNlGRY23cs0I5CeEBAqkTmnUWaFlK66xrTtd1P2/O5/X58ssvj2MWaPlZhMA/SFvudlSAKtsZgMf0geeM54CFJAsv6mGOPSgtn/Dko0x7omxvTYhn3CkHYYFFm4L5RAXbMv4h5M/XYkkY7vbcstOjgFxWZ94/NOOfJk5nWUezwjksj2vFtebmwO+lhFhnzTWj8MObpWoW6Gi1G0IsatkVWFhqrHJYdPNdLqjgQMGBpuNAs9iUE8SH6H0ExCHM/NqCslpFNnHuYOPQSn6szUrHg+qQlnKxD15NNm+Ui+OQlwvcHA4pEKHftQgwx0AcE0mPE4sHbCGaIgFQpA00yKxs/V5neh2bY5xBsaPFJlkmE+ntGufYJ9JGt+0joA1OP9KYWtsIRkR/2spWD/7ktYmgStg8E3KddPTJ0+EUSxuyPPS2e/nYyhPsB95L6ArYKBIsqGfPnhbpMm14WpafY4vvAV7SQDy11QM8lzQyFjFSiwYLmpPWAxwedvxEF5WgaLcojyinjCGOXz5XPJ/XJ6SGsLHsQvnTLoTZw6cOoATogffAqTHuWWI8cPj1e1o4WMTLyZMnZ5Pa/PWLdc3puu47P/1IuXl9xnnNgwURufTuu+/2JpSOjCeBk3Cmk3BuEV3JQ7+x+Ye/jMGaa65pY68Fg40B/MKWFJ4zt5iPRCwlqi72pvCMiLlayATtdIS99soPpoLt+Hvvvhd+oPlbjgrnsHKcKa43JweY5zfqHebvmaaum2eQb0VDiPdrL0WaXlhqrHJatW5tvlYL254if8GBggPlOdAsQrnWFKUWcJ7+5gZCIcIE0QAR3pw8HU44XEdggvx6evRzz4vgSph7T4/AgpCBEEZ4cMjrwvmPyITSplodXpYfvYy0HSAB4Iiq7f4gsxIrL/2Xpk3L8TTgviKYI3BKi2ltSdOVa5M03lYEaaXhDWussUbo3LmztUOaci++2jEtN72BgxQOg+YAJWF5+vTp6e3SuHDRx4Y8slkspXMecqFcPSx+ZB5jTrragQgyzynl5wSnSwRGmciU5T/pUp7ym/pYhLEA4w/nYBw8ZQplgilpIMbKI7D6HKq6E4JMnKyfXre01VaOTKZMWPd0fvQ++pHrnKe//VqaJ3u/rjnveZnHjA9E21nc+jkLnLRc+MNvhGv4ASEMS8Nv5/4PQZ3FpEyQTFinHMjnlnasbCHLNRZ92kGxBYMvhLifEgsA7mnru3Q562hWOIeVWFOcfIscAIkF504EzOai2harzdWGxqiHBXxBBQcKDjQtB5o8eBDaWFArblcQBgQjINM4RzgG2lBmK4ZOcsghhxhsFcIf9xGoEZ75QxBBOFBkxqDtMxPOZEZhZch21oQwNKbkQQBBU4rwqK170/RxLpth00SfcMIJdg2NMQIlml+0GTJ7MC2htu+D7MBNq0s70G7/+c9/NgGaNqKRlhlFkK112FeBG2QuYNru7DDJ5CLIft408vSBdiKY0D9gukDMIL9MAoJMEkww555MS0yzmW2TLyTQbhKOHNQQ3wmgHpnt1AgXj3DqbZeJgvELviGwUd4b0vAjmKENZcdBtspBtuzWRpmumEacsZM5SADtADQa6kGTCqKJtkUDwqts22uth7pk7mMhn9HozhI6BzsTCMsQ44vgx/ihdQVdBw0xgisaW/rBtU033dR4up4QA9Bu0zd2PtJdCj4c8BeesHjgHnOmb9++gTki+/0gU6PAPEBbBg9oP+M8fPhw44FMaQyWjPH2XQHaySKKOucJvq22OU1ba7ufN+fRROf1ecSIEdYm2gJvZP9PU2wRs/fee5uGH/7QV5lY2T3yoFVnDoKkA6KO7PktIAt1s3tAXeRnDJhz7M4wL9CKA4kp/wQri+vMNxYtEOgM7EiBZMPYQywGNmm/ie28sNhkzN5/7/1wm8Z56LBhxkv46/PVMhX/Cg4UHGg2DrDg9p3hZqu0TEUoF1DC/T8FbfJdujJJG/2yKyD825OtgHbxjeKdhvKBHcaGkCs46svzb5M3DelnkafxObCENGvfqLEbv/yKS0Rjy4cbPG8e1iwhQLu2M3uvtt8IMpSX5qUOzAsQkjFjgcCGRaCrqx6EEoRFCIEQgQbtah7V9SLkAaRe2pJH2TZhzoFZBYISMF5OCNOYMGDa0hBCw0kbUlMKL4eFAlMEvoDv69pYoO544fACy8vn+TnKmdQWWmiyWZTJOTfI/rmaMO19rYtndd1P66VfLEbYJUnHP03zbZ7XNefTtrEAkn136RLjAd9r4z39Z3zyiA8OW/mUwziy4LjqqqtssZSaJXGfsZLdf6mYvGfUFp4Swkdp8ZylWbfORHIPvfv0zt4qfhccKDjQxBzgOyM0mXClvhMujD7z9DNBSDDhnb+/I2jbPqZs6NBhs3CUFuO8Mw/WYhqTwl69dgsnjx1jcI9nnHa6KTZGHj4ynCqs9ddefy3sIYXNlxL4MT28Ytp0KdreDqOPGaWF+/Kha7ftpGj5rcwt24cTTz7JdtOIVqpATwYvKVSYsL2UB+xcHD/62NzyWOxDvK8UeMp2czFVXHnlVYL8A8LeA/cJ+w8ZYsqyusqgHKK5/ve//7F289vp1ltuCQowZcqO739/aVM4bbX1VkHINyaTwDfMRbt27WaxL4j4yvf4mKOO1jt4CcN7J9rpSy++ZDjy66+/nr6pP9Iu5+PhBCnwSHul+COEKlNqzLxttn1PwYp/++13wjnnnhOeeup3NXhDVNmCFjMOIJQXVH8OSJMdJQQbbFb9c9c/hwS4KLvnKM1+KTOIGNJ6GsJG6WILPAFdRguJOHjwYEO9wem1oJbDAWmPonahzCkYp08nnI2Z5ym8pN/LOyrAiDmRpvcK57CUG8V5wYHm5wCwiUA3ptCLtALYR65LOWVoS8AvAgEJPSswAe4NO3Co/ebfeULPevNvVehORCvlPr+BieTZf2oBWhVRTnfu3sPygR5DOo9WCuQlCC4QTqOXCQ0Lqq08S6B/QDtSlgIr2aVDhg+336/LmR+qpIweO+5UiuJqmfTvxhtuMKjN22bP9ksWBRY4S+AnpZiIU+VwT9333H23ofYQ8RUC5pI+QSCE0fcDEtjkEwVMgWMxPIKA56Sc3Xv3sXK0UxuvF/CCFk5WTh5vLGPxb7HhQJObr3xX1zizZ88OmMW41ryp+4m5D6Y2rumgPswT0FCgOW/JRJAhzG0wf6HNaR9acrsXl7bpbWcmZAS5YseCHRFI6EG2w+CRF+vixy4a4880T1PCdpcAJQUVHCg48O1w4E4F3FtiiSXDtCumhu49upcawTUnfJOIknr3XXMDAZ7aASbQrp2ZgwqpJiwvk7X/KHrpKr9axbKwYwr95z//DhJYwymKrOqBh9Jy2Y2Dlvr+UqVd1tHyxSLo0aEys3PTw9rKswL0bwntDKZE2dTl1+sq4/HHHjet99tvv2Umdp06dbLiLp00KSwjM5WdBbrg1Gf33cP81+eH1qu3tnb7dY7suh4sc0KInUqvV3jvVj58c2ovk0vh44frZL6JxlyoXmZGyG7BKQraRDmURxm8h/N442UVx8WDA9Vn+eLR50bpJS+x5hLIaTDoIGyB4fjnxIPc0gVybyuC+PoKbV0I5M6RlnNkTJhb/KUmMpixVCqQe2+yTm2Fc5hz5rtzxBwC21z+ECT8nCOU/k7vk6+l5G0p7Uh51Zi8s4HQvxdkgtJRfiVdu3UNT8t3Bp+ocuTfkhdeqIoSOtgc778K11x9TcC8o+8e/WpkPfTgQ+Sf9GcTLN0RnETvvfduOGLk4WHsyWPC7vLn6S1ABOi88yeY6eU0+Xhtt23X8M7bb9t1/1euPL/PESf1/fYdHO64/Y5w9jnjQ6tWrdLboVwZLDgmTb7U0l5x+VQ7Yt76hvzeAFxITQH5tq6+xuolgbtaBWV+4LME4bPj5O/TN998yy5R7vmKRL2mfLgQ1m+68SZPWidv6jNnKTSdW9nnMPu7KLsqAq7zDH7UlyelgVzIk0IoX0gGFtkLDhQcKDiwOHFg3OmnhzbaAeHvogsuDL123qX0W1EvS+fcv19O85527/57hZaSN23HuePPKbWRtuJr5G1uyX1I+f6knOSzv5mTaLE//ujjkg/StKlXlJ2qn/zrE7u38sor2bGnUMlYmN9ww/Xh1VdeLWm10wImX36Z4Hk3tkupgP2LX/yPwdR+9tmntnBDG4zAg732gw8/FA486CBz9jz3nHPT4kK58tJEOPwvs8zSZhueXvfzvDJwhn/lz68YQAA+ZvMefECa8NdN6YAD+rt/f9ezN/gIGhqEL48TQj/UaasqrTzn+G9drkUJ9V6gRQpUCW/SOZt97rLjX9dzWJ/nsii75nsuyxN+Nxa1GEfPxupQUU7BgYIDBQcKDjQdBz6SkzfOgBBmDZgsOe73L1daKfxdqFoQWsFfyDn5XSE3QcsJNnNpORW3hLxfS0D0dvxUQtr/yfkfos2ralfyL0Jl8t8ttQ8p31cWNCxmJz4O/EZIxrFx6LCDrC+7y4zwtVdfC/MeftjMUPYbtK+QpB4Mf1B8DoTCLTffImyw4QaG424Z9O9iaXUnCPVshmBU0bg7HT5iZLht9qzwsJCWcBRH8zpJiFqnCZmsnRCYVlnlV+GOuXPCYCF1PSxUp4uFUrbjTjvZwmHO3XcZn8cLSUzBlcI1QruqrTyv808yf9xJjqFDDjggHHLYYWEnxR/55JNPA+W1kra8tjJOlunIYTKXQZuPQDpS+fcasHcYd9aZ5rQ6fdoV4TIhoeF4CiGw/0uLM9CkIBY3Z8hEZ7Ic3umHk4KmhaW+t1R4VA6dmP5t2m6TsN3224ULBWYAnSwQiOtnXB+eeOrJsILQqs4VetbRC5CtHhUq2L4DB5m2Hzx6FlV5vPG6anvusuNf13OIiWKlz2VRds33XJYn/HZTLR+vhh4LobyhnCvyFRwoOFBwoOBAwYEWyAEEuAOHHBDarNkmjBk71tBLjjriSBPCOwkOGFtmkEOwr+63xx7hDzJZ2VgB6Y4/8YSSTwndQtAfesCB4VYJ4E4gjBwuAffVV1+RWcruhj4y78F5YaAE8DXXWtPMR0B9mnjppLCW/J122n4HQ3m6RLbbxxx9lAm6nbbaOjz7zNOmMV9SduHlyht5xOFWLegr50+YEC4T+hhoLedooYBQCarM2muvE44edUw4a9yZuW0Cmex0E6inhM0kRD8gONghMs1ZcsnvhcsljBOfZJwWE/ffe58WHh0NBnFJmfQBW4w53lsyPTnh+OPCQ/PmBWzNjxecLgI2duGHDD/Y+k/fttMiAXOhURK6MdVcRSYxdy4wsaHeK6dfae1ngdJ+0/bWL4R9NPcI5Vtp0cMiIOUNMScKWrw4UAjli9d4F70tOFBwoOBAwYGCAxVzAHOeFIK34oyZhGjTgdjFh+Xjjz8OK2lXpaURsVOIv1BffPFsP8A7B062Ep8c+ItJS0vnTbaPxe+m4UAhlDcNX4tSCw4UHCg4UHCg4EDBgYIDBQcKDlTMgcLRs2JWFQkLDhQcKDhQcKDgQMGBggMFBwoONA0HCqG8afhalFpwoOBAwYGCAwUHCg4UHCg4UHCgYg4UQnnFrCoSFhwoOFBwoOBAwYGGc+C/CklfG1Z4w0tuupxvC8UFW+vGog/lhIp9+UsvvWQOqPUpF4zyLL322msWtCd7vbl/YxuepX8sQPV57733srca/Pt1IcN4uQ0uRBkbwv+0vm+D7+Xm4sL2Je3Xt31eCOXf9ggU9RccKDhQcOA7zIHHH388rLXWWuEAQdmlBJ4zzn4DBgwICGqVUmMKOJXW2VjpDj/88HD00Uc3VnFNVg7QijgrQncoIuidd97ZKHX99a9/DXfdVQWJeP7554c//vGPFZdLxNAjjzzS4Bs9EwscIhG/LFjHxqZnnnmmbJGnnnqqOWcCLfiXv/wl8Pvkk082xBbP9HshsUyZMsV+/va3vw1XXnml32rwEQhJ+stxYam+/E/ro29bCcWHKN3NQf7Mp3MxnaML05fmaH+96tCKtaCCAwUHCg4UHCg40GQc6N+/f1R01yihrFTHeeedF5dddtk4c+bM0rW6Tp588sl41lln1ZWsxd5/5JFH4vbbb99i2+cNGz9+fNRiyn82ylGCVezdu3ejlJUW0q1bt/jYY4+llxrl/MILL4z9+vWLV1xxRRQGealMaanjwQcfHLXrYX/c+PWvfx2FnmJHCYuRPy1CS/dJowVZfPHFFzldKGL+PPzwwwtVRmNk7tKlS9RiozGKqrWMcs98U8zRWhvSTDeXqpcEXyQuOFBwoOBAwYHFngOnjBlrsHZnnDnONIZ1MYRw47sJixmN1gThTQMXhyayrbCxgciDnn/++XCLwrmzNY/2fEvhNqNVRRuKpnHcuHFBwlBYYYUVAlEdJYwpnPt74frrrzeNXQdhQe8n/OkbFOgGDR4Qc6THrOD4448Pm2++efiNsKU322wz09xL2ArDhg2z37Xdp8xs29D8nyC8ajSlErQsKM2NN95o4ebfUOChSy+91LT/tGno0KHWRvr71ltV4dbpJ2lOE342ZR0jbOsTFWiGbXi0oIRs59o5CjZD+Hfyoon1Mrp37279mT17dvjd734XdtlllyCBN8yaNcvydOrUyTTdY4VRnk1zsQLbAEmItvMyBcOhD1dddVWYr3DzBMDZe++9gwSegJkEYzNVQXXatWsXhg8fXoO3BGCCd9SHFnNnRQGFL+m40U92RObOnRs6d+5cGmvyna7osHPmzAl/+tOfDHbx2WefDbfeemuQkGvaeXiBNl0LuCBB1ObPo48+Gj766CPjB5CD5IXQpF977bU2HswR2pLXtrw5A18pl/rZyQAffISw2A9TkKF77rnH+k4f4AG/mTvvv/9+gL/rrbeewSh+qiBaQBsuvfTSpjk/6qijbD5Y4/SvV69exhvGAzMmxpuxZb4zD+HbuuuuG7RwCzfffLP1Px1bL4cxuU8BkMjfo0ePMHr0aDMvSseZvqflMwbwmvlFfTwLTz31lF1jvp577rlhd2Gw8yyh9adceEFf33zzTevTDgqsxLxz8ueW39ln7lfCaD9D2O/MJwI2MXcnC2OeHTGeF+rJo+xztrZw7v2Zb9OmjbWHuchz5XOUqKneP3Des/Xm1dOirzWT8F9UU3Cg4EDBgYID3wEO/PnPf46tpBnkb+6cuRX1aOTIkVEf+Si86yiBKkp4irfddlvcYost7Pj111/HNddc07SNEgJMgy6Bz7TKaONuv/32KLvmqA9ulHBeqpNyn3jiiSjBIg4cODBKgItoTqHrrrsuduzY0c67du0aJ06cGCU4RQlNUQJJRAOnD3yd98u1TUJP1EIjojldZ511ohYPVpYEXasb7S3l+31uSnAqacq1IIkPPvhg1KIhUpYEliihJ0pItXZfcsklccyYMVHCUoTnEmwi2kEJ9lHmJKZ5lUlEJN0vf/nLqIWO/SkqaXzuueeiFiY10qDdRcMJScgy3kuQt90H+olmGI022ljXlEuwNZ6X463MGGxctJCIEpKtbPKn48ZFCftRgrvd558WXVaHBMy4xhprRC0KohYw8aKLLrI2aKFhaSUkxnvvvTdK6Lax46IE0aiFhN2Hj/Ca+aSAP1aHgheZVjqvbdk5QyHOd+rv27evlev/FO3U+L3TTjvZvOW6ghnFY489NmpxaMkkPMdTTjklPvDAA9YnLXwi/GA36NVXX7U07BIxThBzRQK/adR5JpjrWrBY37VYqjFujK0T7Zg0aZLxaMUVV7TLaOHTucD4Z8tnrimQUpS5TZRtdon/zAkJqZFdnBkzZsQ+ffpYmbSH50SCedRCNsKHlHjOGOO8eYEmn10Rdhguv/xy2zHQ4sXq5fnIo3LPWfrM+1wkfzpHfS5l682rp6VfK2zKW/SSqeGNY4WqSZ5bAFoSTcxSSOY0ESv4coR2Cy0DIZn543dDqLY6GlJeXp7mqCOv3kqu1cb/SvLnpWms/jJnmDsFFRwoxwE0VkSB7Lbddoo+2KlcshrX0XBJCA8Sjk0LiHbXSUKnadFk4qIQ7asECWmKPjnPtMxo59Ac/uIXv/DkpaNMXyzE+mqrrRauvvrq8JBCuv/0pz+1+/poBwneAW0uGky0kGjtJMgoCuTaVo8759V2v1zbKAutO/WhGfSy0PKjhUYzjN2rFhRhgw02sDalfUALCy/QGu6zzz6mBUTDS1o0j5QDP9hN0IIlyATItI0SmsImm2xiGmY0uvCRfkoYN802fSEPfUQLnaZBMythMqDFRbNL+9CuE6ae/DfddJPxp8RgndBPqBxvuS9B33hAeRC7A9lxQxtN9EonL5fj6quvbm0g+iZ8xIadeQCddNJJYTvNNQL6LLVU1eY+86F9+6qomM5T+sq8QVuKNrZ169bW9mzbsnMG58FXXnnF+I62WosCqxdN+Nlnn207B2iXJfTbOTcJqX7mmWcaz7ApZ+cGbTAa6OnTp4dDFDGVHQfq3nXXXa285ZdfPrz77rvm3MqY0GeZYtkYwzeZctmOBO3PjhtjkxJzhHnMGELZ9JSRVz7zCB6j9Xf+w1PmDDbizn/KZKzQlvOth5fMnTzKmxfUg8+I10cdaO+1eC37fSn3nKV1epvTa5z7deZEWm823aLwO5/Li0LLF8M2PvP0M6Fr5y5hXb1sjz/2uNBXIY7H66HmoXG6U1uIPXbcKUy5dHI4Uk5FBw4ZEt7RSwfSit3CAl+lcL/77btvOF3bVCmxTXW8XthZwjlnsEIoD9pnYLj2mmvC/oMHq+4+4RV9SO++6+7QVi+YDu03DefoBUbY4Y3Wr/oAZcvhd14dV06bHtZfZ92w9ZadbEuQF9SJ2trcf/B+4YXnf29H+nzQgQeGgXrRjTj0UNu+zCu/XB2zZs4K6ykc81YdtwzHjT429Om1W5ipbVIIZAHavebqa4R99CF0IrRzO4V0pu7ztL33nF5QPXfqbr8vvvDC8MjDj4QtN98ibLDueuHxxx63bDfqY7p9127h+eeeCxdMON/S7tKjZ3hZ24Z5/P+Tttk76kV+sj488JHyTjvllLDJxm3DH+W8RJ+pnzDUnPfSB1haIW+iHRGkGZN0Hlyt7ejuO+wYjpZjFPl3791H8+GIsJs+EGwJp/1gcUVYbvhKHVO1pU26G2ZUbTHSt3L9pF8FLV4cQEAYr+dh6rRp1UKy18YFX8BjkoHJBkIW5TB3+eOjz5EPM4TSgA84ggDmC5hSYB5CHsoiLYSgjxAETVN7EEalObfflCHNpAnNnDtxnv7mevo7e79c27L5vHzMHxBGMHuhnQjsOLt6Hc6Lvfbay0wVMFFAgEZQQ8CBEPQxtUkJMw2eXQSPsTKbcOGN8qUFLSlJvB7yZtPwLsdUAnMF7xc8k4bUBHQWQrynsnymTBYCebylnrROfmfHjWss5rIOvdl8pINoEyYwf//7300RxMImJcxbMDdxgqfwjzyYtCD8pnPJ03HMzhmEWwQ55hhCqTu1Yh6BiRSLJgRszFKgtM0I2vDfibmNYA//mLfwHyUM7eO4sb4nCN8sukCSQZDnN+9u8jhlx83nDPfT+sulZzyz5Xva9JhXlt/HHArTFhx+MdfJI/LnzQvMtKQhN5MzngNMi3BQ1U6CmZ0wD+F5Sj4f03HjHZA3F8mXdx2ep/Wm5S8y52JqQYsQB/bVFi3bxmx5si22+mqt4rChB1kP5ml7intTtV0E6UGOO2obcXttM0nQjRLS46ijj7Z7bCGPPGyEnfu/U8eOjRJMo15ofinqgYwSyKIEPCuPG/rQxAH994oSyCzd5trakrBr5/Nffz2edMIJdp73L68O0m2jLVDaLuHRtrrYjr5eW2nQpRMn2b37tIX5qfqthUnsss02ti1sCTL/ytWxdps2cefuPSz19dfNsDKvnD7dfs+49jrjFW2QMGzXJGBHLXAsnTQpdm2kthxJw9YkJKHXxqDdRhvHt7TtDp195pl2hBekhe9QHv/h4a233GL3Jdwbn/kxfdp06x9HyqDvenHHzTZpH+E3Y+D0G20vkiY1JaBfbFO++IcX7Z5sgC25Fgp2TPtBufBdCwGbJyTQ4s7yzVrghFdbP63A4l/BgTIcYIu7VatWUVpYS8H2O9v/d999d5Q2Lu65555RWsl4wQUXRGmzzZQDUwBItspmsuGmKTiF6uMdZZNt9yWM2pa87LKjBB27hrkHdRx44IFRQlqUsGXmEWzxs72Nc6m06lF2qGZOg0kF5hPl7mN2k20b70W27zF7kHBo/WNrHcJBUEJ5HDJkSFTIdjO9kD2xmbKw5Y5TICYKkBYpUTbUds4/8uAQS9m0W7a9cRu96zDdwWSDPknoMzMK6qXdEiStL+SHpxJWzHyG39k0mBNQP6YhbPlrYWDfEcyIpD219pAPEw/uM3Y77rij8RMTiyxvJUBFabTjqFGjonYGzAxCi4ka40aZ0ixH2chzGnmf0m54Bg+002FthZ/8UZd2D6IWJ/ab7xdmFBKsIuVTF3MKUxPaLftvM+eQ4GdzCj6Va1venGEuMBclNNfpNMpYYvqz7777VktLu7RAtP7xjzmLaRHpofvvv7/EX0ynMGXRboj1g7FlXstm3b6z2XGzAvSPPtFv7XSU+E252fTZ8jGjOe6446J8O8yMLOW/jxtH2sFYcJ85QpswXcGkBfMbJ8Eh2jzChAfKzgueO8ZCduRmRsO8Y7z5zZjx/GPq5PPBy80+Z1z3Z55nNp2LPke1S1CaS9l6vdxF6ciqq6BFiAODB+1rwhJCOYTAjUD2gT5qe+sFy/nTv3u61KPRx4yyawh1CIXcP2D//e3h5kXvxEfGyzp3wYuTe1dMnWp5Ls/YgZFXK3/LvkWHDiaUI5ROOPc8e6F6uemxXB2kufD886O0+laXtOTxbdlN3rzgIz5FL0zaTR+gk2R/yW8ExyzVVsc6+nC7UI79JmVst21XKwIhnUUA1+AZJO21nXONBRB0+IiRlubNv1UJ4BdJkGARRBrK5mVDXyAWFlxHoIVq4z/3U6Gc39BVshmlDBfKt9aHkj8EaSdpuGPrVVeL2r3wSyWhPSuUe4K0H9hDUod2Cfy2CQHeJy7W1s9SpuKk4MBCckDas8ifk8yyTEnA0Qnb4pT4ja14Sgj5aZ70XkPPs22rrRyQOKC0rbQJ4SRtK+coT1LiHZZH9AdhNb3Pe8C/BXl5uJZNQzm0i3rTxT227ymV418lvCUvyp20DPrKAiytM60v7zzbpjQNvGRMUDCllPInvZ6e580Zxixtr+AXTUhGUPY/bK5Jg919lrLIKtQhbXXpXY29u3Y6StloP7xI50jppk6y45beyzvPpq+r/Lwy/Bp83VeLDo74eNBvOfz67dxjOi+YW9TPNSe+jdiyO8ELOX77z9Ix7zkrx6N0vCggr95SwYvISZObr4gPtjWjCWNbeRz9TxOydJ6my6ZN06XnpEvz1edemjYtI1t39p63/duoO2/7hW1P6IUXqjz2OW+9emsORqAeQJiNjJJpyjoyE5GAZ6YND9x/v93j3w3aortIXvk/+9kK4bprri3Zm7/y51csDdvAKfE7tQ/EG36AtmO/+vqrslva5eqgXLaizpdJyJpCIsBE5qYbb0qrq3b+TZ9fqHadH7XVkSZmi/PnP19RW7WvGEoD9+TYoi3d1cNts2eFh7WN3LZtuzRL2fMhwl/uI8/1F1/8Q675j2esjf+eptxRCwYzKfmPbP4xHXD7vhdku9pRKBVdu3UNT2sbHKQKCH5WSn/7698saWvZODqxpUsZb771pl8K5fqZPhOL4nOVtj993tO+1PW8p2nTMsiXvVdiaHFSgwNyejMUDr+BLSo2w25LzHVsi1Pit9uU+nVpqKvl8esLc8y2rbaysAWH0rbSJkwV0rZynqJYkEdOihxqEDwAKSW9z3sAe+DaKJuGcmgX9abvCbfF97JSnvs1jpXwlrzZcaOv0qgH7SSkxdV6nm1TmhheMiaYkKSU8ie9np7nzRnGLO0ziC9yqKz2h8kRaXg/Zmn99devdok6MDWC/9JCB2nvS74FJKT98D+dI2kB2XFL7+WdZ9PXVX5eGX4NRBPtkgQJ5jZemJTQ99oonRfMLernmhN2+NiyO4GmgylVlvKes3I8SseLcvLqzZbf0n83uVB+v6B72shekL/+/fYonfN7nCB6/N65488pnWfvHTFiZOne3v33Cr123qX0+1I5yngZtd1raN3ZdjWk7my7Djvk0FKbs+XXxpO8yfTJv6qiiK288kpB25yWBEcZpw8+eN9OO8mJ4y+yD7vzrrnhDDmo8HI/47TTzekEweGuOXPN7vHXq/5atm8fBZktWD4X8N9/r6ocbMywN5bZjNmzez0IubPkCLPMArs7t2P3+7XV4Wn4uFwu2zVexBecP8Ev1zh6n1daaeVq9yqpI83wyaef2MLCP5JL6OW5736DjSdjZOvXc+eeafJaz8fJtn9j2UFipz5//hu5acvxPzdx5mJPzfmPP/o4/EN2iSskLzpp6e06LzJo2tR8279McdV+4qy3xBJLBucrN1lkIVwCdZZStp/MNX/+ss9tfeZ2Q56rRfGZvuiCygWSlO/FecGB7wIHsD/OBpH6LvSrrj7wbcbReFGhVq1aGdQkjqjYvY8ZM6baYrIx+gHUIYJ7QdU5UOXKXP1ao/7aUh/1B+Q8Ai2jAfi3nOcgVogIhnvL6xz6qTx9+/bra+fZe8tqBXv4kUfYveW02v5aQiTOgNDyEga7C1MTqu1eQ+vOtgtnj/rWnW0X/Tn6mKOtzdnya+OJZZCgBCEwgSoA1mgHOQquLYeIXSQso2V+7JFHw6p7D7BdCDze0Y7jZDPgxJOkjb4gDJDTCo4yh8lDHC0eAvjIIw4PmwpJYDshFnTrsm244vKphrDQo2fPMPHiS8L9998X9huyf+BhRfj8vTCFqc/bQjloLPrtuWe4Vw5KCHV7Ci3AqbY6WN3+W44gkOzZwiXSTuw7cJBnVV+rTukzdN9995owvWuvqvqr7oZa+0EdFORlyAYvfCGUkQOFEuDEvb79+gWEyZ7qN2OtHHbb85WOC657u9ECTJaD5K49d9ZqvWqtW0q7oN0XnH9BLv/T+j1Peo3zH//4R2GCxm7Pvv3CyEMPC7PvuD3IxMc0+4MG72vJwWaeI9SCY6SNWuVXVcgF2fZny+U+Gi1QMZ6QZoSFDbz6neYV5GNcrp8saPz5zj639ZnbDXmuFsVnmvdVQQUHFmcOuAJhceLBotpnHJYLamYOSAgoaBHhgNBXzOkSW99j5Oix0/Y7mM0zdoZO2GF3loMFzobYDe8p3Fm34xokxxl+XzZlSjx21GhzMMThCOfBa+REAYFti9MmdZw1rsphkTS79OwZhx80LOIQ2XvXXnHXnXe2cnEyxDm0TevVLf2Q/faL68jJSQKeN8mcmmqrA2fGjh02r2YLjw07fcGhknbTnsEDB8U+u/WOOLvi8JJSXf2YLSxeythwvfXN2RX7+UmXTDS7PezjqUOoJ+boePppp5lNOw6f8Jh8QpaJzz7zjNmg8xuHyYfmzTPeuaMm7WGMhO5idoJCbLG81IVtdx7/vQ+3C2O3tRxhaB/lQtit77fvYCvjKNkjYgd/jnCKqf+A/YdE7VhYX3B+/fCDD4w/3MO3ADtTbCTPOP10S8/4MbYQbcGW3vuBvR62f/B1rz32jNjw02Z3gsWJiPHL66cVWPwrONCEHMBmGMcyCP+HlkTYEWM7+10g8M/9W9FU/UnHMq8OfHfwVeLdlbXRzkufdw077sam1DaastNvrteFM6qUGtb+rJ+ApymOBQfq4sASJGjmdUBRXTNwADxxbOvctpEqMTVAswku68orr1yyS660OUA6yYnG7Onc5KPSvA1JR3sXRQ1DuXYvLP8bwsP65mEXiF2O1PavXBnl+lkufXG94EB9OYBtq9AaAtEEgRoESlHoGbabU9+ymiI9phhgUgOzt6jTlClT7JuA7XNTUDqWQl2pUQX+MELNsaiumDUQAZKIo/UhooHuIQx9dvwai4CglUNh6NKli+0CP3D/A9pR3s5w+r0OKX3MHHTO3XfZN/diYZ0PU/RPzDoLKjhQHw4UQnl9uFWkLThQcKDgQMGBZuUA4cFxYssT5Jq1IUVlC82BusaSRRdh3gXH2OC6EOiF9NHg/GnGeTK9JaQ97b7n7rsFQHCj4fNjqpcl4k3cpjghmAOCr37eOeeEc+QsWlDBgfpwoMltyuvTmCJtwYGCAwUHCg58tzhA4Jdx48ZZ1EqibuLg9ZKCThFIhEAfOP+RhsA4MlezKIf41iCcsZEr7O0grOeAFlQQahY0BodzYUtbMJoOHTpYBMks19gRHDt2rAUQUph202YLq9k07kSLBF2DwDAE5QHdgTYRuVGh5i3IC34nRDREQy+MbAtMQ/30hYiVaEFZKCCwEbjmxBNPtMUDZdC2LMmkIShkuAXDQWiT2VgQLrNF/ySYj7CerT30W/jk1XhCncK8tiA0hyrIF1E5ieRJ25+Xfw87W7SX4DUEeiFIz+zZs223FGc9drVwoBe0X4AX2fqITErQGzT+pFGYeAt2I7NA01YTaTRL2TEg0ihlMHZE1GQ3lYBPLKiyY5mWxc4czoTwk/5ApAcxDE05QjFBlQgMQyRSeCd8a3NCZ0eY3WDqwH+K+YRPDFE5GVvh3dsuC/fS8WQXua75QzuuUhA24dfbWB07enQ4XeN3twIU7bDjjjV2a1KnRdDJmMsEV1svg8pCuQUVHCjHgSZHXylXcXG94EDBgYIDBQe++xwgvDZRKwl7T2hywqYjHAuzOlx77bVmLoWpAgIhJgzXXXedRbMk6h9ClWtNCVdOORCCJdER999/fzNzyOMiJnoISkRqVLAhOavfb/kp52VFy0XbOX/+/KAgLxZinKibmHAQHp424uRGfgREYUybSQSCPNEmu3btakec7TH5wslatuXWXvqEmV+WgG9DeMf8BqEXtCngAWkP8HOEaEfoRKhGUE15goCJMzb8QRML1C1CH+hIRG6kjZh+TBQaGdEiaTdaXsqifSwGZK9t/M+rD4g//lhQsBAiFD2CMsJ8NoKw9ys7BsDf0TbqoQ3wGEGayKTZsfQyOBI5k4UVfXAIvY4dOwbC10OUBTQfi7ebb77Z+EVkUaJssihCAEY4h++MGYsfBZkJwhkPwta2MrLjmW27Jcr5x4JAAZrCS1pkLPW9pQKLE4TyQ4cfXCP1EqG69hzQAhZMBRUcqA8HFkmhnBC6PISNSeBw8vLKo+w9tsa4Vhtl89SWtq572MehRRKofl1JF8n7jdU/PiZoaBaG+BDwQW2KOZa2i485H6pK5lKaryWfO+/q20Y5qQY521bL1tCyGpqvWuVN9ANh4X8lpCxu5PjJirpp6EoIf2hF0VYj9KGFRtjZYIMNTABTlEMTvsB4hhD0ILTZjktMGrS44D+Xe2+TB1QkBFfqQtuOgNlfqFByHDVhFm0vyFQIsQh0hFnnPaKIhtYeNL9oXdG+IkCj6Ucj7OS+NQjbqwvnH600mttybaI9pGXBMGjQIGsPfUJA30qwtdRfjifw0cnPyUff0PwiBNIH2uq8ZSHiWm7s8tl1yKuPcr0vLFbQxLNAUMCfsn3JGwPKQOuOVp7w8/QFQTo7lt4Pjnn3vS3cpy3sEiDkw1f6R79ZHBGzAn5zTt85p39cR1jnXc6OSXY889pOXSnxDeC9hF8T8gbzZAstFo7VghK+YmdeG/1EPHhTC6eCCg7UhwPfPOX1ydWEad96860gxIkgdIigyI6hbx+CslQXtMA+f+C+bwLf1NWcj7SVVxcpcmV49ZVXc5P5PbYbecmgCZh+xbTctF6X58lNVI+LCKzHHHW0tW3H7bbXdtjLobc+LgqLHoROEhQaPYw9eUzg4yJEFMMQZ3sPAf4QreaPOuLIUvq5c+aEHaWtGrBn/1KQGZqiyJhB0SStnPPPmxD23muAQSJSz2679gpjpDVqJ63USy++ZC1HIyRUDxunrDBVj65Z0mz/gFZsKAEHOWTw4IZmD0JbCf00317T+NZ3jtWnUrRgB8mZ6g5t2dc2l+pT5redNuVdti3+3GSv8xs8e0WpDfMeeLB0u7aySolyThB495AJgAe8yknS4Ev+XDe4AGU8VaYUPDeLIyHoQhz93PmAkIp5AoQwh2YVyFbMDZxY0KSESQlC2H777WewrpRZTmnhwhMLYLT1CHUEsUG4xkwkS2ihKQsNO0LynYIZhRRC3cwmEKbT9lA32lw01miXEfB5r/GeTNN5Pdn2+PX0mMcTYENdAy90Eis75SXn6W/KQ7uMFh7i3VoXVjb5hcJiQV0wcVGY9RJ/+fallDcG3M+2oa6xLHffy2GnBZOdbbfdttQWv5e2J3vO4oJ0eeOZ1/bsQorFIMI/CpT1tWBkwc+4/kDXEPpZJKZ5vo5fV+v7P5WvnfhfUMGBenFAk7bFEVBsww4cau0CVg74vYaSXkSlshpahucDKg7Iu3JESOBRRx9d7naDrkvQiPsPHmx5PTwzYebHn3WWXTti5OHx9FNPtXMJebFr5y6leghXr5dGTNMT6l2e4aU0ftJ2o41KkHlA7D315JPV8m3XtavBMX6wIGyubP9KMIpeRkOOef1rSDnkAaaqQ/v2Dc1u+RSQxqAPF6qQCjJfdOGF8ZKLL64g5aKTpBzv6npuThkz1qA2056WKytNk3c+oP9e1aA189LU91pjvUMUUyDuM2BAfatf5NPLjCJKQIqzBEsqW+AozW2UoBgl3EZpUKMW9nHIkCFRWs7YTVCcQH/KrCTKPCVKu2tHrt8kiFR93KKE9iitdZRQbvlk8hBlZhClfbfyU4ZJ+LI6ZD8chSpSCqPOe5HyJSBbcpnTRAlvUTbk9pv0ilhsaaRdj8ccc4z9aUEdFY/B2i4b9CihPcqEIj6p96U0s1Ea2NiqVasoM5cooT9K6E+bEyXgWztlmhJllx6lwY3S9lu/OI4ePTpK423XszwBlo86hC4SFYwmylwl0m5pxaPMOSyEvXYFIvNs0003tTYCc6gdiCg7fssnwTK3vueeey7K5MPKlFY4SlCOslmPMh2KiuQYJaBH+KyFTak/2TGQX4CNn8x/ohZUxgd4kzeWKcQg/YZnQtmJso2PI0aMsH45H2RrH2XaE3v37h1l5hJlN27zSWZOBj8Ij+EH80hmKcbLO+64o8RL4DSz45ltO/NHQn+UmVOpf5zAE4fhBEoYuFy+q9KUxyfF8x22287S09/11l7H4GS9AOBltbjzn8Wx4EBFHGgW9JUbpUW45+57wuhjR1uQm7pWDR4A59LLpgThQQfCwW+40YbmzKGXh2lRiFDZa7fdwmQFmvn88y9C23ZtwxraXjrvnHNDmzXbWPCTW2QXd/vs28LR2oY7SsF62B5EC4O2oVev3UL7Tau2R3HGOOfs8aFP390VkXGmguhsGu6/977QdbtuZjvIvV59eodTx4wNPXr2CN+XxuIL1XnIYYeGa6++Jnz8j49DW2ms2U598sknwm69e4fnn30uHDXqmLCkVutssXqdehmFy2W3uFOP7kHY1OFIacG37bqtsQTtTNqfrbbe2qKePv/c84EAMYNkVwcRbRJnmqOkLXpEoWpHHXNMeFw2dpwLazrcpe3gVtJwCFs7nKRIXGl6LRq0zbpGGHbwcCvL/7VXSPkZN95gW7B33n5H6L17n2r5JDiZSccHH7wfZmg80cxLcLdARF4G232M14q/WDE8+vAj0i6sH06Qlp2tw6ef+p2FoSc40c033WxjRLAatF2/f/731j+CD7326mvh8y8+N7vBGbItZZsWuzy9oE3j8fbb72hL9ZNwgDTNk2Q/yZb4qQu0j2y1dt5q67CzHKBeeulF48+X0mzo5Wk7DQQK+uc//08mBP8rB65nwulyniJMPfagaGxHa1tSWOwWUAhPe6KZvqHonD9S4J4/ywFpuWWXC5dcOsm2Ub0/Qw86qDSnr5x+Zfjn//1DGvc/WqAlvcSN/y/K9Og2OV0RXIiIjnoypRmcF7bXdvJX0gC+887fw7izzgyXai5/pj78+Mc/CfsMGmj2rg9Lg/h3baGilUFD8/ijj4X2mp/v/v1dc+7yuYct6Msvv2Rb/djdMjduvOFGiwB62RVTa0BLoo1+5JFHjBf7aM4su+wy1eYpUV0nasuYuUyU0oHSDmKS9dvHHg/7HzBEc/d2s4ElWNHugqwbvP9+tsNCUKyl1Fbnz7ayvT1ZQat4bnpLi0298LybUBZ2lA0sdIac09BGUb6EiXC0tJhD9tvfAmy10fxInwnGljp9ntAutpcnyNZXQpTtHl0ycVLp2a6tn7tqF+jxxx4NzBFsVs+W6cHmstvVwrvamG+3w/Zh1NHH2DuEOcRYOKHN9flFsCzh9lfLy3yhvZifvasdLGBFr9G8LqgmB3j/pSHSeU5wWITfXHeTDc+JJhpzBTTPpGFHFRtgHPOcJEybthtzBTfj4B5a8BkzZphzpqfNHimfct1kJns/+xtNPOYpaMfJx5zGTho7dKc52q3EeRBzDDfL8Xt5xyxP0JDDF+rgG4A2uBLi3YzGuFKifOqB5/zxG15i/43G3ik7Bn49e6xrLOEddTCWfAey5PWQptLxyCsjHU8v0+cP32jmWcpT5hQ+DvhCQPCf/nsbsuPjdWLvf6neQ7zXCyo4UB8ONIv5yvizzg4PPnC/vQQrbdz8N+aHc+VhzYd6rF5sK674i/DBBx+GSySIIaDxAJ10wokBwfXksWPC+RMmBLaYP/vsU9nrrRLO1AeWbUqES7YTh8vjf+SIEeHQww4LBwtXdu+9+pspCu3BO/qf+iCssgo2cFV22xMnXyoTjstL91b99aomZPbZvW+Q1kZbfG+a4LnueusKF3XPcJ2cTPoJH5WtuJH6KFDev7/4dzhE9aZ1dtyyowmEbeXUxAf+Jgm4Ttn+4FyCILP6GquXBHJP60cipn715VcmIIOf2lNbfbNnzbaFTFcJPvUh+qsgNXIg+muNbLyozjt/Qvj0k09NyKqRQBd46fNR+utf/homTZkcHpMAOe/BeWGCFkosEpZZZlmZbNxRGqPxGt8+EujoH4usG66/wSK3rrfeelaHj/nF+oCtLmcb7PomSsjhBY7ge7V4fpsWXem26qca/wOGHhh6a2v6ZC0IMBFBaNxgww3C3Dl3BsyKNt9ic4s2ygv1umuuDeuvv4EJp2DNQj/96U/0Af+ZfSRW+PkK4S9agFysecfi4lX9pf25cEHYdD4698gBaITGnjYwPz/+6GPx6xMTvlnAsGh6++23whFHHVmysdxEdrPMJRau//n3f0wgZcEzSX3WDogtJBHe2RofMXJkeO65Z8NuWoxi35jOPRaSOIEdKWG8c5cu4Wp9+C+eeImNB4ufLI3VYm0/OcntvOsu4enfPVVjnhIllsXS1ltvE0bJplM7Vvbc2IJH8/Kdd9424fPM8WebSQZ24U4pf+bOmVt6buZKOEWI2kDmCsx1toKdfvjDH9mi5beP/1bvigf8co1n/BaZbKXzhOimUy6dbDalR2rx/bPlq+MC19bPfQbsFdZR6GvMXlaQkxpOZv/65790Xn3MsXXmHcJ7g496Sun8evSRh2vkJfItC7EThMzh0VHT/MX5NxxIBXKu8s5hTDBpyQrk3GcuQT4mD+v5GjZsmF3jH0IXz5I0tSbA+g1MQ6SFria8+730SPkufKXXy50zR2iztwdnQml9S8kxHwFdBPMQ0lZCWZ5ga41JBTyhrkqpPgI5ZdJv+sE3lHpQhoEOkwrkpMuOAdfyqK6xhB8srvMEcsrzeuozHtl2ZMfTy/Txylvk8OzjUMxiCoL/aRuy40MazBNRSpxx5jh+FlRwoF4caBah/DAJE5vJu5oQ5udoU4AAAEAASURBVJVS61atpSk7JkyScLxJ+03sJbbe+usFhLsfaTULPS6nHRyGoK232SYoEqIJIWho+dimNP/1+aY15OHHAWRVCfapsLLcclUvSR7ULbboaFrIf0tIgvyel7fsgo/Brrv1MuHwwQcfUJj46k6i5MHB5B/SjKV1Er58ueWqnGFWloMOApxTXn/8XrkjL81dJFhhXw71l0YIQYGy8NavDw2R9vkiCYNdZLuXR/DmMgm1aJHZIcijpZdZ2nYa4PH2O+4gQfShwAJr7bXX0uLkUC2wTskdI7SNP1kwrltrocVHgBe5jzkvTDT8fJxZdK3RZg37QCz/s+XNGcnbQjocjPYQssPf5EgJssLVV15ldsbYNg+Qxz42zHPunBPQYP8/aWVoGwIsOy9OPsb0eSO9lHn5/nIlYK7erNEf8tDW4YccbFrfzz773CC0llBbIRcosOlHIIUQAiGvh/GiLdA2nZnLD5WgxRBeWezx8WDusIgccuAB1ebecvpYwHM+2Dh5YQvK3PgfXWMHISW0OB9qgUt5oEhst/0ONeYpiwg+QOwWUN4KP1vBNNH/88v/UXlV2iKcnvhoMUaOMsAHKTveXjcQeGuvs44FgJl9+23GM7/njlnde/bQAvMpv1zjGUdDn50n5hNR4usKpbyV9PNP2iVx8nHKjjn9LUcs9tP5lc3LOK4l+1NohRWqxrxcWcX1heMAUIupsItQju24zC+qOeUBFYhzaVMHJiPoEQokJxbuvNuAQaRtixKBjkLbF0fCMbhz584Vd31ZKaZQBvJNKKjgQH050CxC+eD9BoebBEmFhqIS4uX11dffaNHy8pAG54tnn3nWbkdpIdq0WTP3QcABY+VVVpaTSAzztW0JkR+oo5S4BsldJr1cdU33eMi++krbeqqLtONkNtGqdSvTkEeVHfQMfimtNRoRiO3Juuq0hAv+5fWHurw8T+v1++/dpBVWCPTQtVtXgw/78sv/hiUlkCGUQWl6yoIfTpjusAVv1xb0HyEUSvN9qX5Dq/xqFWk0p0gTe6X9hg+YXKT05X+r0rJTgLkK2nWQRliE4KCbvqy8fwh3z0oLDFEmJjBo3Z18bKp+13Ro8nR+RDhs27ZdGHnYCDONYYeCvlP3fVpE0R4cYlkMQD+WMI8mG1rABmtH1e9v5gMmEtn+kIayTjju+HC8NKII0NS1tIRetPh8gJkXK620srTSVZBu5MF0BaJv9P85mdRA5MUEC/MOvPcpg10FyPmQnXvwsURqrqfza6lgDvLDhx99aM8OAv9TTz2pOms+G6Uy1L7SuReo45cL0Ae4h2Yd+ulPa/LHn5t1JJA/KFO0XwpTGeezVFNumfXv7bfeNicqfjMO2WeCHabsPGFOVuPrgrlaST8N7eJfVQ5sNk4L8mb7y/NBe1M+0sYhg/erNr9odJqXxdczzzxdusauVkHNwwEUNiCB8JeiefDez2p8m6NFvI+9PfXVXDdH+4o6ynOgPgs4zAwLKjjQUA58b6yooZmbIh+2vdjWYufbrt0mBh2FKcDUy6cKxupV07hjKoIWGtvWM4W/+rEEMOz30BhfJQ/5f8u8gS1813zNlVb06ad/Z7bGZ595VsCuGy/0nYRHC7HFeYXK/0K2zNjjLv39pc104RZBOSFg3aUteGxusfW99ZZbw7vvvRte0NZ+O2nwr5ddIm3B1ITdgJtvvEnlvych4Wl9CJaTJrJbGKc2ep1ocigXCKdHZHeNUIjtOsIewsWpY08p9WfosIPCtCumyU79SdtCQ2OJjexUmZm89977ppHmw8MLHq3/4UceaYL4e7If3HnnXYx3afqf/OTHplHHDIOdAzS3kxXkAoEQ+3ZeJkBXoUFN88GXmeo3iyrawN8vflFln8ii6KADh5oNNR8d7JR/85t7TAh55+137Do22ceNPjbcIS0n5jZz7rzDxgj73WlTr7D+EYzh77KTvuXmm8IfXvhD2HfwYNnn/sbGnHQzNOaY1QA7BtIBAv/yMlVgLiBYuQYaHwLGB35gnvHGG3/RmN1s/MeOFHxbBNj/auHQXxo0Qj+fIFvyZ9QPzAuukdmHxMFSIBMWce+L17/SPLhR5jXMA8xJvD99ZbKE8AfPpk+bZvVivymHWNOQYi7ztrarmQ+9tLPyoFBG7rnn7oCfwIeat9huP6N7mNzQr1dkrvUHmaEAu3W5bNB/K+xkoMCw5UYIniMTHHaLfiCN+RWyFfe5xzPyhz+8YDbZt902W6gurwWE4BvlJ/CJzHROFLQbz0N72WxzXFamRKOlRcQGen9t5RN8JJ2nbCPfIGxkTIkIvMFuEDjKaKXBHkZAhZ+g7/CMrNaqVZiq9i6jnRLGMuUPWnmeGxaP8IhnDVQCBGyIMrBbx96axV/3Ht0tzdJLfz/s0X/Pas/EiSefZLzzeYKmGjt0TOTgMbxbYokltdtT9fzX1c9dhDAkp1v5gzxv7wEWQfNfqz7m9OkfH//DTMTGnHSyFrxVfKTtT/z2idL8AkmHd8lHH35Umi+/0jPN3Lhs8hTtJjxnz12nrTqFnwsWsKDvHgcwrWPhxnue89TUobbeguzBc5kqIrLp61NeNq//xn4axBDmpBN201n7dhaWBFPKXvc838YREzm+83kmI03RHuzX8SXiWcWMFsHcx5Ox4P2X+ilU0gaURezgpWXVli9bL2nxT2muRR27y8xLV6RhU5/OHdqDGSiLXPw/0sUv9xpCDeVtQ+rKy5OOe979Jr+mh2+RJr1koiZ6rX0Qk6OECEsDsgh/DSXKSknaNftJOyBNYjum/+pTZyX9Scv2cz0YfhqlWZXc+XXpd1Od0HfaC3qG91uQb3H6tOk1xoS0Wd7ltQvkhUrS5eX1a6lnP9d8jCiXPxBk0jrgV30prz+UCT84Ov/1IbGi9TGxI9fpo4To0pxM6/a2M2dk329zFVQBOYpGCclp0lK/fO5Vu5n5oUVu1AKh2lX67c8FN+ozT3fp2TPKhr3ss5Tlj/Ob+iS0VGsHP/SyL4tUkPdMZOeJXuTWFhCQslRXP6mbNjEmPm7ZMmg/bc/jYzq/svn8N+2ljdS1uJEWS7GL0DHkkF6t64zLZpu0jyMOPbTG+6JawgU//FnKu/dtX3vzb29GOYlHOUdHLW7jwL33rvG8lWujFCCGGgWfyhHvWMEExz379Ss99+XS1nZ95GGHRS32S0m0yI5rrbFG6befyCdloZGsQLhJv0tedkOOUmzEnbv3qIHS1JCyKs0Dysqgffax5MzdF//woqGZ0ScJxvHYUaMrLcrSgeIiJYs9B5RVCXm9nlZO47FN69X950If+S6ByJNHoODx3G7VccuoXeQohVvUznMUnG8JtYhvhhQw9t7k3QhaXrl3aF4dedcawtu8chp67aQTTvhWUbLQaBZUcKDBHHheUFrAJ0IIJ3yYTjvllNJD2+CCF/OM0qbEbbfpbHCefOSFarNQAh0fWYTCxiAEzE5bdIzaaWmM4hapMhqTj4tUxxeysYcdckhcRxCGCFdOCIdrt2kTFSHRL5U9NgXcbNnK6nmDxULnrbeOMgUs5ZR5XFTMh9Lvuk5qg/JkUa4dGCtie0FDCuWrruJy72s3NHbfYcdqQjkJGYMsIawtLLxsXVCo2Trr+p0HnVpXnoW5r93WklDu5TS0Twjj54wf78Us1JHnqLGIBQaKNKCVHxJ0qQvUKA9410Eoc/oK3vPwESOjnPDjwcOGGxwk984ad2a1Z5pFw/XXzeDWIkvfNnTtUk2uii8q+E5zYGOZ4Tix7TRseBX6gbSbpa0+v18cK+cAzqoPPDRPkIzzbQt5Ye0UgQ5sLPpcjqw4YOO3sLhRY/JxUeYdEKmYG4EwgXNxXfTzn69oZk2Yc50oSFftOpij9XrrrW8mdxIOakBfYhpIHZgQ/OAHP5Tvw1NmfgfKFQRULmaOBH078aQTLTpmCpWJuVw5mNC99tlbpnNTcyE/QRTCQTOF4mTLfupll+dC2d4uRKklZTK1rky9nDDpwiRNMSHMJA3zsjXXWsvMWmgvyFugTjmU5+uvv2ZZ7xNiC31yiFYc13HghuBFp05bhY022sh+80+STzUo1c06bBYuufiSarDAmBViIgY6E6Z3WcIhXTtB4dzx58hUYQlDjjpO5m5fffW1BZR76aUqeFnMCFPY1mxdu8oU7F/qJ8hYA/cdJNPIyaGHfHeWAW5VyEsOXYypw+VTLjNo1xd+/4KZR4BOtOpqqxrq1qEjDjN/L3ydHG4Ufn1PZmPPPfesmeRhssa358pp06uNCWZr5dr4yEMPm28ZpnP4D+E7tXrr1a28vffZJ3TccstqEMdt1lrTWOWQycAie5/uuON2g0U+9fTTwqyZM22O4qiPCeRtQvHCFJO2YMoH4fOFmaCXdaBMU4EezsLtSjAuzel+e/Qzszeglb+nMcJUk/nAmEPpXNlUfhOAL6RQyxsJRhrH+Ndk9guvMOnFXDGFpMWM5niNNd9uTFgPF1pQu03aC1Gun8HgUg+mvvAORDLMWYAVXkkmm7R1TfGIcyegbreRiSpmh5i9vPnmm9V4+sGHH5SeB3ymmJs83/AOlDroIjlCAxO88sorqe2v6/3yA4M7nSyIYeaO9wm4XMw9qQeTnlGjR5tJkffvh+pbOn9SOOQxp5widLhHq9VN/ykH6Npvk5b8Nisv6v5ucQC7eF50/IFCUdDCc8DsxysQeha+pspLwPeBMcYXoaDFjwP4pFw5fZrgSGeFh/WxrpSGKgbA9TOuN7tgEKKA13TKwsGCz6/tc0NR2nLLTiW4WRfIgb8ljgEwla1btwr3SUDPQmXWBhMKQkY5yM/ZErKy7eF9RmyDPChbYC9B/HLCiXyYhMihQw4IrVu1Ntz7ccK5xtcnhWfNQnnKnCcXopVyEchPPP4ECYC3muDgdWWhVIlEnIUFRoi7+MKLwhGCuy1HRDFGMDtCsKKzZs4y5/IsvGxtddG/39x9TwkSVprWEhQqAngKXQwvEa6Bdj1d/lbw5T9CL0NA+7H8nhyAIYUbBc4WSqFTEcayY1JbG8+/ENSbLwxO8sqrr5b/2ZXWxlMEPcs5sTVSmFnnlUMm58EiI5giVOIrNXnSpRbpG3+CkUccbvEavAwWGCz0vCx8XbLQq88I9jad01/J9wloZaByjyeGxsGHhIOGDzehnLgUKZwvtvZZXky8ZKIE7HaWD6hZafntu5wHSUubeR5BngPaF98YJ4TgIxV/4mBFVQU+lsUXiwMFULI80qibvw3p8YlYRmUR3wPK8hRHZ+JAMF+I95LyDvt5yGGCN9HCi3kyXM84sMX33PMbxc74pk8s6Bw2+QL5BYGoxNzy/uFflj5vKAQcDhmfs7Ru0N5aCnRtixTKmXC82HhJ+EDZaDXw3+tabeFstzCElqElEBomHkBtM9mqtVyb4F1DCCcgX4njBFlQwYGCAwUHUg6AkY9wjFYMp9VKCcEVIYGPOFpu8jtl4WAflcN4t27bhW5dtrXvgKfzIwKBa5CB3MUJOguVyXusHEwoQmNtkJ957SkHZUsQuvQ7heM5MJi/+vWvzHmfhTXIUwi8KXwmTtvuoI6AJlPAshCtOF4To4FAX2j0nPKgVBGM4LXDAt81d645QB9/3PHh7rvvkiZ3tgEaeBkcgSpFU33pxImmzf+PvgNZeFkE0Cxsq9fFLkEWEtbLz/ISLbVDu4IyRb5Jqpd+EdTPKQs3yvUUOhXH7uyY1MUPwBeYvwhvP5Pml/gXBhv72aehLohjbxdHh7IlNgjwyjjV/+a+e/Vc9AvXSqPNouz/s3ceYFIVWRsuFXNaRdwVdSWLKEoSJIpgQoxINgCCAhJERJKABEGCAZUkGMCcALOgiLJrXBUT5gD+Yo67Iiaw/+89M9VU37nd0zOkUfs8z0zfWLfqVN26p074jlda8B398osvDUiBe4FLzvtNhdtlgRuOaZIdci2gAeT+QBkCPn2pUltrMbwkZayQlyPKC7mFWcA5910xWUkYF8dD0hKgTk6MUcpdAQTu7XfdaYsH6gg0LtpwQB4AlSAPB+/+VVdOdufpvZsqqwxjAAANrDsQdVy58mPbjvKUa/37sFTCecg7rEuQ5y3J+niXsBqDnsdiM9omFhMeNhmehJC7Pc/tmfK+hXDIjJ3w2QjoJQW6tsQJ5QraFJrH2e6hBx4UgsT7tvK0nirmv8uUgQzzW0chbYAoUlQi4htXDAYtAnFRCFMP2o/CCK1PNoTADCIG5pmThK5ST6tOskaGxOJDwTxOft3h4ZRtVokH6KPBn0+YwwVoGU7r0NEpoMhWxPIdNvOqF9JTCsnt5DiQ48BfkgMgMUxUMqnrhKSD4JYNeUjV7krug9aWZGGUA9QkCoYDZXUJ4W3Ly62gbr26lmV4hlylmIdDuFncXkhMBqoV54BljUJlgt2fpBiY0OS8JuE9uZ1/Q7Q+lSrluTIkyws2jmvZ0n0kzSJCgaettgSSNtU7NAqfWTYCkbqPhKc4iFZfJr8IEjXzUYvYj4NS9UgZnIfQ4o7XdxAXkNq165i2kEzKIaGVRvOI0IXgBESqJ77JwMvy3Chsq39WHCQs59auXWMLhLBv4WXIb7IGk2UZtC2fZZtnR/mV77Vh1QqhU309+S2MH+Fz2Q73ozCzOplynmt9m+R8bef2r7q/jUOsxAjfoHstlAsSQizZrSEQWlgA4qLlyT/X/3Kc3BLhmMY9BcLFZPVPqx3KRQieInwWNlZYmIEGB9115522cIhC0iJIIwN07dbNTRDsLrknPOFqg4YdtxveM/oYArUNjTNwryxGQSbbUwhwoMpAyDzerTXK07APo7wD6cxTyBd/jN9om8LrqlY9IAVyt6vQ18iEHgeH/Nua31L6jXJeeqlkQNeWOEhEVrC8cJiyWh7fUjjX67QpYedku0167AmTJloGx9D3KZv7MUsulEmOAcjqEHNZUYgMmWSx3Eu+UemIwXBBv/NTTLnprmX1jd8VWiQm2SZNDnf9ZSYD+s/j7oK3jf8iPm2hJiosk+yn98hES1lA3kHUQ4Ga7nzBKmLK/E7WimPkD4fZlBWt1+iE5eS2cxzIcSDHgcI48PLSpZZyHC0geQv4+OH/iU/nbbfeJoi+n21+Rtvm4W17COryrE6dBbW5n8GXtlI2Sfyzf/vtV83Hh1mcBf6fI0dcbL7pw6XlA2Y0hMpE+YDbAP7HUZjQFR8uN1/bdJCf3TTXArPp64OQMW/uPbFQtnyzGjRs5CZNmGg+tAg6i+RGghWBbwga0IY6/8EHHyThM4HTO7VNa+MLWjugPHf9226mEfQQrWiK0QQ+IzjUPr17Wdmfyzf8zE6d7PsI34EiRTHjoVQ7djzNBLAQFpgygDbkD8F7jzJ7WD4L7udbcrcEtrp16xksKQoshK8d5MeLdjaEl0VgS/cs+hUXEHjuIWHpb1xhSBqIZtXzEmsJvERjioaZ7yoLMzSyuMV5CuFG4ReuH2j6+SaxyEOow1c7hBeOQsuG/EArfsP111vxCMnELOBT/u6770gDu0Dj5FDjnYeZ/e9/v5cP+JsWC3DPXXerLwrCIgPLjMvQBI0VlGa0A5mBpHbV5dONtQJBHgEaawLfWCBh8d0HhvdLfac93C6J2fh++zGNlvnG62+QUL6jLWLHjhkt16VlBklLYkCWTX6sEGdwv6BwQ1507tLFXGpwc2mi5Ef8Tb7yyhRIWuAlWbRFYSZZALQ6+RTLYH3ddbPMdx+LBl4MD2oBh6AOob1eKshceEjGaRSR+K372BvGUAjdCwQw7ynvA/DL8+SO5XnXQYkPoVtvvtmgZsmujovZwTUOMesOCsfTzzzD2kCbgKB+UtYbfOZJeEj/hu1braRv6eCQO55+mmWZ98+m7i++8EKJgK7dQsLYuiWxsWTz/2PyLSUtAxMwDv+Y9j5QcMxIDUoyZILTjckSzQRaYyZpBoZ3+B8/YYK9DPgQKTrY0QEfrfjIjdL9ZHEUvJytZDMFERCUA544ZkN8nJ7691MSZOdatkwfTHSigjpuveXWAsEaLCj4YHQ6/Qx3XMvjlN3rVNPS+wAENBFoBvABayCN0Rhhk18gny1WxAjUmFLIrBnSJys/caNHjXTXqu2eeMEby9/yeWGw80xPtO/5555348Zf6g8lf/EHPfH4490BWlX27XeeDebkSW0wqYxQ0A1JcJi4mGgI3HhWQVZFXZSE5ea2cxzIcSDHgUwcINgQbSJzLsQHHiHAY2VjsYzDSA4FCrS6mM/D+TDTMzOdi9Yn07X+HBjlzOHUOa4OuBUiJGN15TzzK0nEftMf9YYoIxo8izWATNZ8O+IILe0e+VmC485nc4y6oNyhbh6PO67cuGOUz32IEwihfCvytMp57SyMlwQOD1BAY7TdUX7xzeM5fCszUbo6ZrqHc/551NfzIHqP77vwuO8zzlFH2h625R190wkAHTh4cHhb7DbvQDim/UWMK77JPCvTWPHX80s7eG9YOEJ832kj5UAEAE+LyBocb6OM2GFAMccgBONdNE5D/uNnvq/cTGjv/LnzSG/tTlFQrKfCeOp5568v7DfapvD6aPv8s+kXCPkRFyz/bkafzfxBOyjH8ywsf5NsI5SXNFIyj8S0KVMNGxO8V7Cbaxx8sOFvA78HhNbokSMTEpoTc2bPNqxb8DF7nNM9IRNIEsdVnZeoXu1Aa16rk05OKMGP4YwectBBCWlQEk0aNUoAPQfOJtjaQC5Jq5MAcxaIoCnXXGO4sxrUicoVKibef//9BNBVkEw+Ccq8bNKkxDnduhnGsfwfExqgdp5/p3fsmFBQQ4G6ykUkoUVEQuapxJdffpmotn9Vw7Y+slmzhLQMsZBCWtUnLhkzJlk2G7RfK+mUY+yAaU2b0pEGaEL+e4maBx9imNnhdZMmTEhUrVIlwfM8NW7YMKGFiN/N/eY4kONAjgM5DuQ4sN4ckMtkotnhTVO+N+tdaAktgG+uYiFKaO3Wv1pAgoJlnqP140DR/DE2yTJh3UO8wz8agCPk54ypisAKTGXRoAWCArzDP5qIKAH7BHltbzZBBL4MVsxbldrKTFDRYCLKw+TGyvYfe/1Dq9jV/rbkb7SuHTp2cJ3P7KTMlg8lM4KxcssU2ISGP/TfRHNCYFCnLp2Tz8l2gzoD3dSmXVuZcBan3DZAWR5nycIA/JKnMHDDH8v95jiQ40COAzkO5DiwPhwAyWPOLTdbduX1KeePcC/f3MOUFfnPSrgjEZyao/XjQIkWymma1hzWwk5y2Adaabfd/mamh6pyvwiDFggYihL3YoaAthHOLAEImJYIGIqjaBAB12Ba9GUQRBINJsKc6OsYLTPPfLemQIAF1y1S2nIQZkhbTt0xs4SBTZiJMCd6wncQ0wsE+srVcvEBS5a6EcCKqdcTx3yd+CUIypM/zv5vv/4mqKEDzRzozTscjwYThYEbnM9RjgM5DuQ4UBwOYEoP55rilBHew7wZIp+E5zbFtrIhmrtK3LP41kSJ+sKDcG6PXpNpH9M97gKbgzbGs1Ggga6RDfG9xIUjJI+Klqkfwus3x3bIt2i+Cb7ltGtzUJRncfwtSr2ycfcIeREtW54IJp+Fx3m3i/qu4ILiCXkH+SVKvIcQbk4ljUqcUI4AicP9888/5xY8/Ihb/uFyt0KR7QTaAF2EfzbU9exu7h5pzvGpxu+QYBmCVogS9jRv7lxprldZ8AZBAcPlJz1ZQY5MljOvnWFlvyhs0Jd07wvP/8cBmXOLggxkTjOfK6CT7r7rLoui/ln3MCHUErB+ZyViuWzSZW70mDEKVnra7qcc/NYXP77IP17QS5XdeAUvHaWASV9XBoNcZeR7NdfgfojyL1+uvFNKdcOhJeCHZywXzie+354IVuIYwnfHdu3d/fIbByWlRvWDrV6dzzjTPSJ+wQsiuNGsE1g0Z/Yc10g88kI7gS7K6uYGDhhggTIEoky95ho3TAL+0UceadBG06dOc+cIJQGCV2XKlEn6sPn65H5zHMhxIMeBonLghuuuN0WEv484m2Nk+WRO8wIW50CQGiSrXRdZFI9q1lxwbSPdEQpUi35g+ZATDLc5COG4rb5H7737XoHHE+A5WrFCIZEUhSD7cZeMdcrMKQzml22OB9mrttBN+ivgX9kSbQ4O7wu35bbplIExPLTJtjfUs7NFGwsb9o4SKrURr/n1xOIG3i1btsy1aXWqART4cxvql+9mdMwVtex0fEN4BGkO5JtNTdGxG8ffjVEnYBcvHTeuQNGg85xy4kmOQOaQiAsk2Va2hJITOY2FP3KM3HElNy1P3h5FpyuRCHNaSfxhSAEQKXXFN1qO+inHMu3I5cNOa3Wa9jL8x0kx64n9KJFmXCu+6OHYfX9dWFeOCXs0WQb7nNeK0HzKfUEaXH7TfgddONB80FMO5u/AG2nIC5yi/vjKa3JJnuPZ4bWck/BtfvFRHs+7Z25i3tx5yXtzGzkO5DiQ40BxOMA8d0STwxNCdUi5vX+/fgkF96ccE4KD7QvKLdGlU2fbJv21BJmU6zb3DjFGUggVqIaUHokDquyf8N8a5txG9Rskr5MwmXjllVcSUjjZsQb1Dku8+uqrtu3bnrw42NicKcA3xLOlNErAm+IQMVrElMVR+zZtLU4r7tz6HFPCHYsLW58yMvGN+Dli1zYHRcduJv5uqPoJnSdx5umnxxYnZWHiww+KH7s2dcqUhNybrWxkHvoujgQrnRJz99CDD8bG8cXduymOlUouIf4AG2HEL9XFN9pHEWdT/d2FFwqFvtnR+/AfD6Ouw21/LSD62ZKP8g3ryjEwPj35a4h890gDnItGYA8aMtigiYB9ip6L8saXreBW1+K4FimoBeGzuQ5EA/6ivvi4xZT5+545PzHPzNxvjgM5DhSbA+Au9zi3p2mQwUAG4g5i/gNtK6S4dPBkA4xSppTcWA0VeGZIV2SURItGspoXhCQ1Unkc0M4tW/a6O6d7d/fss8+5/wkCjxTx4yaMNyxmoPdAwrpcMHJhKnUS+Fxx+eUObOoPP/wgWiUziTMfH6JYIxC8gI8D6g6rI7C2I6XdBcYWy6+f+8NC4tpOYp0wBTha4rBOPZTpkWetFFY01thdVTdgEtEaNlbbybLo0b8ypR+/RFpMrntbGmmgHQcpk+STTzyR8mzqipb3somTCiCPvalvxov/ecH4epQsIFiIgav0qGe7717aSUh1V8lqANyd7w/g+7CK//TzT/peHefuvP0OV2bPMpYRErfSi4YPN16BgiZwBYsxw6UTTSqoaFtqDIVEzJVPx46V/dgWLQQPOE198LOystaw7x2W9Jm6XyARljUTjXiY9p6xOmP6DHec3EtINFROz/ME1KZP4d6ufXuDAQzvnaJETxL2CvCN+3EvJXukBDy3ZMmTjv6mbz3fGI9cs6nHLmMx5C/eCOEYA75Sgq8DVx7eAXuIe8mzguy8dOIEt6VQVx5WoirGHEmgatepLYjBGcYyrPbIXUcedbTt0/bp6g88I3beeReDOuT5dyhXCgmg2iuvDLj4wIy2an2qnjfPkaH38ccWuWZHNjc4Zyso+EdiId4Dxi+JuSZqnNBHoPOFFJVzgI4GYa5t+3bJmMPw+k29XeLcVzY1A/5IzwMqzNL3auGQLTEh4bpTHMoFbhSHa7l7chzIcSCOA+AXk/aaj+xNc26Ku6TIxzKl5B4pYRDh9/gTT1BWxC9T0s+T2IX5jTwSV0lAIrU4iXNILY/QPvvGG11bwcI1kOvfEmEhhym5Mb+DB91fGTp3362ggub2W28VDO/plrjk5ptuMuELhcutd9zugLbFPfJBCWxxAnkcA4DIi6YAj9YJt8vvv/te0LoXGowwmS99OvP33//A4N2yST/Os8CAJq05sL0kq4s+mzqimIqmiX9fcLtXyK3T8/X++x8QNvl37kcJuwieZIck3weZG88Tr8G4Llt2b3eu0qjfdeddrl//8y1vBhDBxGqBcT1Nbqa4iOKSCSGoT5egN2vmTJV5lAlgdiLyL0zHTgKc4RcNcyzMRoy82FyIEMyADSbuq66+j//3fx8VaA/XsCBodWrrFIGcR+Fy4lO4P/XvfxW4F/elOL5xLxlNP/30E2V47W9Y3RwL+cZ43FxjN+RvdIwBe8hCqnHjJgbtyGKGvjv+hBPcvcp70ku4+uQ+4dhpHdobiASLXVxhcUGp36CBFk95IieJCn/95VfBYA50shYlYRl5r8ZLEB875hJLSPQ/jce9995HLkR5iZemKpEY+V+iRBkI+ig/GS8kYqL/xowarXd5dsrl9HlIjDUWrSvkHlwSKCeUl4ReKEIdGHTZBFQUoci0l26q56StQO5EjgM5DvwpOABOM0lliF+BSOZDkNv6kkfoiqJpffbZp8r0+Y1pRJs1a2aCAR9fhKzdJFAuX7FcKdurSIjoLc31qJQU8XKzSUHCiqZSR5Pnk6khmIbEvWg4H5JW/i35nFMHYp3wTSY2574HH1AOiItMy+wzNob3x20vevSxAinAo3UCiWufffcxIXOqtLQ8C+G47N57K8vks1mnH0ejDY4zvLlm6hTDbE6XfpzvQ4g89vHHKwvwNYp6FrbP9wdxYFFUMye5Cc0oCYiOOuZoLTBesFtZbGDxJsMlVmxQ0eIomo6dPvMW7sZNmphg7O/jmwpF2xOHpObvIbHTTYrXIp6Avo3eu+TJJQX6zN9LRsx142cP81kPx+M5PbpvlrFL/UL+RscYKe/xTChfobwE5b1daVk9sBaR9Ohz5ZMBiAJBmD4DLIIMnR+8/55ZbsAuR6j3RH8wzqAmhzfRwneJbR+gGDfe0Vq1a6nPXxRf85D04O9hh9V3e+21lwI/14Fa2E36x7jdZZc8nH/BTLv69RuYhpxMpQseedhflva3JCHM5YTytN1UtBPZRFFjooojJvLNFVEv/3nLOief9riqlYhjfMx50eKI1TGBPhuK0vVRYeUTIU5ipmxpY/Q5fUj90XaVBCIxxcYi+M07g4uCJ8YywYKY9gsjj0iUTfS9j+bHpMwHOB1xjvEI0Rdh3dLd81c5fos0xiREQxtNgDxpyO+47XZrPnwN0bNwbcE9AOIcKc094ZoRnas8z/01/OLe982335iLCtcTsO81ZEDs/rjqR0O9QGi9V1knLxoy1BKmocVl/IRIWEDdoqn1qdR3kyDy4gt5QiLPWqvskp4euO9+112aQdqJJr3zWV0cwa2MhdukQUcAxP0F7eLXX61DfqD9YTtJauKJjNAvvZSaAjyaohy3R5LGMQbJqhm+e1WrpiKVZUo/TjbPMH0782702b5e/Ia8hz8hXxcverwA6hl9APoZ/er7Iw7VDMvCmt/y+PrLz7+Yljt8VlgHv53/6tkugl2YYp593JUg+Fy5chUlbPrNkuvgVsR8bOfCQnSAOtK/zC3huOvauUtKCncxIoUXuIim49tee5VNGT/babEY8u3NZW9slrEb5W90jCFkJ6+JtBeXKIW0mSDu+UimUKz0jPtLxl4inldO8pg+f3npS7bPWMCNKSS+YQ3z4RX9M8Xh8JIUVJYQnY6F4nvvvWvX4lIURZizOSXSz7gu4VVQEmirkaKSUBFfB4uO7Xuem3L1NYYecmW+757vUH9dSfrlo91TfmB8MDCFxRGrY5AESOcapZEjRrj7ZQ4jlfSmJHwGSSX91ZdfyZw8R1qRnSyK/c0337AJXsmK3BHSMt2jD9rpQqZhNYmf5LPPPONAe8H8epYmJ16A//3vB9dWpulPPllpvqJ8FJnI6L/eMmf9KiGKDKwXq61oRrrKh5APDyme8S886eSTzWRJ//ft09e98cYyrYwPs2fgT4lpyWsXQh5hth0mv8ez5au5vpSpjzKVzYQOmg3mWfwhs6EN3ed8MC68YIAJI4zFLl3PSn70sqnPhr4GJKAVMimi+SgKMQG3EFoR8QwDB1xo/Y7vIWmpmVw9kXa7ndJ3d9C49PEUZPXtpnFFinb/wffX+98VisTv2uUs9738h+sKMxgz9N///nfTCPprwl/8kL1/bs9zupsvMYJVbaEhhaQkZm5A//6WwrpKlSpuP2mKSDtOrAaao78y8V6B+sRcAq9579FkPvjAg24nZc97SOdZ0OJTzLUzpk93Q4UG9bX8gmdMm+6YjzBro53rc24vpeaeJ7/Wk42l6VJyM7edrKyCpCHHbaa5/Jvnyb+Z9POk5OZjrQRrDiEaNxXq41PEfyVXF1w4ysmH+DcJhucqxT0Cr0/JjS8t8yaIX6BlbbHFliqzqVKyv2GIMXUOrZsc97gq4Ou9vTSI99x9j6WwV0Cn/eKugbaXNs/Vua1k2sf/FeEHxKymTZva2GHhwCJg5oxr3SuvvGx8Ov2M082txteplzT+CKFXTb7SYT3Ap/pfS/5l6cyrH3Jw1unH8eWF7/CNNPBDLhpqWsjw2Q0bNXTbS2OqBH8paeJJQ4+biudra7mqMDfiasK8Dr/QfuOn/KUULQit9Af8f3npy+LPXeLn6w4ttJL6uUcfXWhC4GeffuaaNjvCUHbQvP+uuW6u8XR783dHg/uwcn5ss83WSUGORQo+7/Qj6eUZe6DhfKdvNQo0Fk1Pa+F33733mR/+Mj33C6W8//abb5Np77fddhvzd557z1zTtpJXxI+75+SW4VO4gwDEXBfeu88+e9u7H+XbHrJg7KOFH3PDwoULLOX8t3LxwaVrxLDhNh7Jpon7BehDm2rsMi+yeAz52659B0PB82OsQoUK5s5UrVo19d1L7gnBOtfTHMocSQwCeU/gOd935v391AYyqtP3Tz/9lAnRaLTh3VnSYN955x0W98A7NFjjjfgMXE+YCw6rX98sG9fPuk5y1U/uBY3/bbbWQk3jifeJ+eAEfWtBzEOLjmvvtfL/Jzah6gFV3WOPPioXoU9N5ji3d293s+SbBQsWmJ867iygzfCuYT1hUQafea9LBOkjWOJIfngJQQVZvaThSBx84EEJaS9KXD3DCmUTRV21cuXwluR2pujs5EUbYYMspjOvvdZK9ig2gupKSHhOCMM0Ual8hYQ0PHZe8IuWBZQdsnvqY2nH/fXsgCCgl8eO+396WS0bq9+Xr11CQR+Jcvvua4gwZDCtWK58QsmUDIGG68jSqhfT35LxF3SDurVrZ7ymKCfT9VFhZSxcsCDRt3fvwi5Lnt/QfS6tceKszp2tfN+XyYdt4g19dBPXzphRrKcqcCihRZ+hDNWoXt0Qish8K81fSnnsH3fMsSnHuC+bPujQtl1CFgW7Vx/UBO9uHIXR/NfNmmV1Wfb6Mst4K81K8hYtPhNa1Nq+As8SrVu1sm0tlGweA9koRxuGAyBSgVySLdFP9EMc0S/6yNspfvnG8CtNWgEkLC4K+1wKmIR8pZPzY1z54THK5XnMV6B3FUZ8A2X1SbmM+3gu481TWCeORRG7/HXwIJwX/JikXvyFaGDcEy037tm+7OhvyFfORVHPpM2P3mL7IaqZYB8ty/b6oO3QnyGv2A/Lgyfsg3oGX9MR/IkbdyEP092bjm+MMc5Rrh+fUb6VlLEbHQvp2spx3gn+oNtuudXQUOAxMoNgTu14+E8CfLhr/ZGtrMe7FBLP41vhKXwXGHO+v/x5/1vSEOayjxhcjyUETv0LFyx0gwYPMt+2woraast1fmK4LhD5jEYBUxorGh9FTtRsGHF+nrC+wwhrNFZEatdQFC8aC8waFcpXsBXaaQrEwXyIFoZVNSuxC6TtvPOOO803aub11xm+pY+IJosowShhBDABDdlEUZMdk8hjDYKUpkcj6jHZhPXHxKl0986jALRVlLeP+EYzXK58Ocu86THUK8k8ROCTrzNR3PsrYhpCixNGRuP79cjDD7kdtt/BVaxY0TUTbi6EhpFIdrQPBx98sHvkoYdde2Ug3XEnabQUnERWsod136n5Wn1/Pfei6Smle0Py/nocQ/upB9iqln1/jrYsWPCIRVqDMMPxLaV9gsC0naTVN+ZHfAHvmz9fWqYvTDN1tDSqaOvXrv3djRxxsWnX6UM0EbfcdLP77vvvXI1DargG0uyE91F+iC7wycqVxsdoH9FfYYT4oXUPddfIghOOAQJbIOrxtfxHBd9mfnX4jWLOjfYnWgVcTL6QmRhCe/Pll1+4UUJk+E4m7qnXTHGXjBtrmlvGDX3Pqh5L0RppiDp16iSN3XjrV7QKmP3Q8HEenPzZwsDHBI1mWZOSyhon7PzHrb0E2wwbPswtXbo0pf0ejQgNgkegOPKYo91t0jLVlmZr1Q+rND6aJe8hmAwNUPgMa4z+oeXgnXj08UU2VjEZ8w4TYIV25Y3Xl7kfFLTTp29fe+fCfcqgHfwJCs9M1vCV9xztIRq339XXe5TZwxJfYbIcOniIuUJ0OK2jNDdPuKZNj7D3DD6v/HilvSOh9QItLUkzvNYdVwZv1vZt8L8+mp99fBOhChUruB132DEFqQhNjdeyoCH6tzSUEOMM1wHMtyBw5Gj9OYB/ducuZ2Vd0I7SxKcj5kBPjLOQcJ8IkbA4F5aFP7RMe+EtGbcpn7/wmelu4DuHpREXn5C8T3R4LKwTx6OoXP5axmKIVObr4dsdReSKlhv3bF929NeX7Y9HUc+wHsWRfwYWZ95dNOLp2hN3f/QYMgN/nthGo+oJnoT7/nj0Fx7FjTvfTs/D6H3s+zZFz/HdjJ7z5flro33gj/MbXht9/oYeu5nqEdaJ7TA5EsmI+IbVqlPbrOwnyhoeJebfkLLpD3+9/275feQUtOX4s/MNCceOR5jz1/rfkogwlyf5+BpupF9MfU8sftzdJgEzW8I83V7mL4RCYIsg4U6aqcJHkRMoE0acD5KwEEZYY0ZZvfonGxCz5dOIyQjhDgGIbYQrfFD7S5A7vGlTc+EguIUJF0EnjIh+QJHk0QjgbKKoh8os01Mm1+6C6gmFcgTwaHR2NEIcd48QBSCM+H5YkD8Emmyrj0cvmWeAngKqKKwzUdwQz41GRu9Xbj8z3zdWkIUXyO3i4B/mX8y2KyW0ItwDjYWg9+knn7q9ypYNrsy8yaIBM9YIwVr9JJeWKCG8zpJ5mxeYhUpITOiltSjjuZxjMjIEmmBiBzEBmMhTWrWyZzz1r3+7A6od4Nq2bZcs09/3i3wuQ3SBeyXkp+ujaIT444sWFRgDYV1JVHWFzMc77riDCZDR/lwkk1q0z8/q1tVQAJjMMK0eVv8w+/hLe5Ls+4YNG7matWqZDzUfTyYyFq4evQDop1ZyHUJgrN+gvrlRnS9/1vnylf1YEyNCIQvI8uXLmSAcbT9tYIyECBQ7y0fw5ZeXupM1kQL5Fd4DDBkfTv8MaVIowohFcsfTT7OP4bixY+WWdJIi27c194AFgss67viWZu7EZB/u+/v97xOLJWDLzQDCFYGyQNLgvWSCxXSKcD546BBzKZE2xsz5hzc93BY6pUpt7SpWqpgMIPLl8nFt0LBB0r0FSK/ffy8YT4E5Gp7w4Q6JerMQi34I/TXAsPW/cIDfNTcWgvBytGE4wAJrb7kG/JmJxQDufH9VYm7p0bOHO/Gkk7KKD9kUfPorjLsNzUcUbHfNvcd1losryjICrjcmsdABxnLvIsgmBEM3yvdd35h1K0rZqV+cotxZhGv7yI8ah//W8gHNlvBfAi4HH2U0UdDixYtNODxSmrN7779PWvNHUyLO8Vnzq0+0qksEZbXDDtsrs2YlKwONewVphcGHRZDDLw5/T1aC+Cvix8XHlvP4I4UR0UToU48wApgPtPdzRlhCOAnvYYAA68RHBH9sBAVPcRH10QhxBIjmzY90zaX9Y6KKRnzvsusuFuSIBpWJfJUE/fD51BnCVzMaGY1wUxi1PP54aVVfctfNnGWC/0HKHnrl5VeYz1Zh94bn8XFEeLruhhuMt+E5v00fTb7majd44CDTJPvj/HqEBSbGWyS4g/VLoIgnVsykasY3ESEU/0PwhhHcfvn1F/Pz9vf9V9roEF0An7h0fRQXIR4dA74O/JaT5paVfvuOHS1DbLQ/b7v1tgIR+dS76RFNTXB+QNpdPkQQ4zDse49iwDkvKHr0ArKyemLx9M/9/qlsZlNtAcNCwvs08x4SIBm233/8mdB69jpXUFRjbCErk63G+w4WH/DRRytS7sECEz6DeAFPtJnFIdSixXGuu/qKgLehgwfbOzDl6qvdVHyGg/1p+Vi2vgyejb8nC2VotGCtWHhSR/w3gdbC9/QiZejFnxwhHQ04Cy+0jPfeO19WgxWmmccnNiQC9vAv9YQVgnc6SmE0vz+Hny4Cdqcunf2hlF9iCipXqZyi4dz1b7ua1i/lwtxOjgM5DqTlAEoarNr8+W9/2otzJ0o0B7DOoCzalBRq6wt7bkkcX1sWVukNcb6zPmJ3SZMbNcelK5tIdDSFpJZHO9a3V28TJqpWTY0ix6E/jDin870pmgjrSpUqp2in0Xzx5yncJrA33Mf0EUZEE0kOhRHAhUVRv6LgFaCbPvzgQ7t3raK4PcVF1B8oQTOsf3m52oQoAJ1OPyMl4htNIoL+QwqYulMayn8ILiiuzvv+c98CkdG4rMAjIpFDCiOTWeBgeSC4CHcWglzmKKCtZcuWyVvC64m+5s8TGlqEJTHWDrEwQXDluZ6439eBlTSBsHfJ3SlK9I2yfbmFso4wjsAZjhLa0ho1aipI+GpXrnw5w8RNqD7yZ0veR6KDEF3gDbn1pOujdBHi4RiI1oF9AluOOfZYWWVS+xNoMYKb/DhbKxQCCEsKZjcmCD9JyK8upe//p+Ak3Egg+cbJlWVNUtvLMd+XWFNee/U1C2RCaK9UuYohR2A1QqjEHSZsP9YeCJeXEIEi7FcE/fCeyUqmEj5jbX5/0tdAY6HJR9MNtBeTMi5YBEv2koZ5tFKEs3AK97eKaKNZMIKCQTnMAywM+UADp8fik6Cw2jKJ0j6sNyTHwqrEWGXhzqKdBfH5Crwk2NgTbWKhznWe5mpeQnMepTCan3MEh12tcTVEAYiUY65YOs4iB8I9DDxk3FTgNfyE/vv9f2XlqGnbuX85DuQ4kONAjgM5DmTiQIlDX8FUjR8vGK9A1KDJw8Xgvvn3umP18Z2jKFoicjHno8kNI86BmsJH10dYtzq1lUX9wwAvHOBT/u6778icvsA++JjoEf7BzX1fwhtZq4gKJuHBSRJC8VklQr+hPuTz589LiQDGrI/GO10UdTtFspfdu6y0j6Ol/V1mbcIHuHKVKoYfG42o79y5syGR+PqDInGWfJRxNQEFoLRcOebJHMRHH/ceXDqIOsfUjlCC1hUYozD6vaxMOWhdt1OSDFwAuBe/V1BUZkkDjt8XSBJoJ9CqklELf+46yp6H5pF7/7lfOcuCBs7ou++86zpIEwyF1yPs4V6CZQMtIxBk9OGXX3xp7hlgmyLcIdDMvHamCXm7/m03/T5rkdlEQiO081wiwdnfbffdzPpg0fsaF6sljC5SRi+OVz+4ujT2eRri+xVB/4X8shHmWMT99utv7nrFBGDtIKPpz7/8bAIT9+EXjs+8RxfAFQFBOK6PEMwUCJuMECcOARebbbZeFwXO2MGH7Se5SXEOgRl/9e4yv9LX4XgcfvEILbpeSkFRAMmA8fCf/zxvWMre0oO2GIQb3/f4TONv/uqrr1h/fyCNMT7kaKnhFZHzz0tTW6tmLeP9G0KvQTAEu3hr1Refe/plqLTLZFfz7cf6Qj+jbb5Rlgx4iA84QibaZsx7QFO9K4Qdf09bRdkr8NF8+HnGdttvZ7EMaPBBfCCpxoD+F2jsbGn916VLF/eYFlP4WoNX3URWrCckPPt9IunJeAjxXHzm6cPaio8Ab5kELBynnox7LFnwB8sQi6oLBw2S4H+7ubmc2qa1ZfljnILYQxQ/vqQI51dpMUHkf3NlhMN6wEID61pPufZgjWrR8rik3zAWDx/Nz7PaKoEI7+vtsnbggsSii8XXOfI1x71IqeOVIOM9d911s8yvH6sDPH1EGLn16h1mVjhrYO5fjgM5DuQ4kONAjgPpOCCt3R+KpDlLiSKn8hJOk22IRlgnTxRzIxoRHS1GQmahUdRECVMvj2QSlhGNzo7Wn8hwEEo8+QhiCQqJgRdeaCgVErQToEIMHzbMLktX5zAy2pdX2C/1ketM8rKQ18mDG3iDZ9K+KHFMvvgFkAG4Dh6E5Pnky4reF40oz9RH0bLD54Tb1I/+DCnan5yL9jn3yW0nvM22o30PkoC00TbeGXfpiP7iPM/2FO23aPu5jnp4fsWVH94T9wzK6N+vHz+GegBPw3LC+7kmus+xOIIP1I0/369sh8gKbHMM4jccs3FlUk6fXr2S4yYsy18fjeb3x8PfKF/Dc7QdFKm4ssPrcts5DuQ4kONAjgM5DsCBLfiXTmDPHS/ZHGgjBJRtttlW2v395Vu7s6WTJuo4R38cDoBFi5/y5KuuUnBqtT9OxdPUFNSTmtLWl+RgPMFjOcEguk6yTKGJT0dMjWjLmx91pEXzp7su7rgEcuEY3+OObdEiBQUg7trcsRwHchzIcSDHgRwH4EBOKP+DjwPcURAASMqRoz8eB/Dz3kruFbgP/RkIH3CEXu8bXxLbRP14Z3CHyYbI/lmU4CHKhA/S2JvfezbPyF2T40COAzkO5DiQ40BOKM+NgRwHchzIcSDHgRwHchzIcSDHgRwHNjMHNgn6ymZu40Z7vPxWFTT67nqVL59UC9xbr0KKebN83C3Qk9vD7WIWV+A2AgaBqFsfAvWCYNQ4CvmPVlJZLeMuK9KxsMzwRupAX20KAjs/Spn4EL22qPugAxG0WBwiEc+G4EvI98LGYsgL3j+PgFKc+ufuyXEgx4EcB3IcyHGgpHCgxAnlX8ucf8H557t6QuEAp3v61GnuAEHJgQn+tDCQawvyjvMKSDOUjuOFhfyIkpL460DqIOPnMcrA2bFde0sO5Jn9yco8tATuuXryZKd0za6tkCIQ5k4RPvSpp7QyFBOlg3XHHn20I8EPhMBCpkal/jXTvC8PzOQbr7/B76b9JStlHCFc9DinuyHLxJ3fmMeAD1QQmsEphtvZPDNde6L3gs7ioSSj57LZ9/UC5i+OQv6Puvhid6myV64vhWVSFu4lYFnjv8/vxibGNeMS9weI58OHHmefY1lJi/v8dH122cSJhqLSUUhBHuYv22fw3rQVX957971sbylwHe2DPN99nwPzGUf+vB8TJDIiU2mOchzIcSDHgRwHchz4o3OgxAnlYBOT0n6fvfcxPGHwhkvvXtp8Mxs2bGiYxB7CDci6A6sfZMlh2nVo78gc2Vnwa2AagzFNenVSxXsi+Ax4N6D9+vbr54aNGGGZDoHWq67rDjusnmty+OHuImWe5EPft3cf8z0tq8RCRyghDRCIpLD1xDMunTDe78b+EiwG/nMcAQHYOMBMjrtmYx0Deq9Bg4ZWfLhd2PNYSABZlw11PO00JdLpkM2lsddQL/orHYX8P/6EE9JdVqTjYZmvvvKK4AXvNijHKoIt3BQEjB6Y/kBR+ufDh0ZN1mFrF7UemcbgvYIaPeHEE90jCxcWOdCU9wYc9OKSbx/3e74XNhajY2KCFhVk6c1RjgM5DuQ4kONAjgN/dA6U2hQNIF35wgUL3aDBg0zAKfyZWwhLOm+9QBY/UnWjGWuupCCgjICBTRISMJ99Nj6ug3y2Q4SaUlsVbJ4/z7XUC0Eb4n7wqz2drUyECxY84iZNmOhIF8t94HeHhLb9MyVLQTAHoxqs7neFw3z1lCnJy+5VqvNHlV59mjIsgqF91ZWTVdYWZvK/RJpdsJwh3CPGjBrlwMJGEJ0/b56VRfbF5s2bu4mqR51D67jHhdPdTDjL4GuHBH9IkvPTzz+5M8480/0gV4tJEycZVvYL//mPm6zEJ2Asf/f9d67GITUcuNeex5Tjt+Et6dnJlkjWxhHDhrveffs4kg1Bl44dJ0zt/1gpItm+AABAAElEQVQfvPnGm0oOtEqY3D3deLWlTp1D9ewz3EVDL1LK+1NkwXjEBCbw4xc//rjhd7PYGTZ8mJLfrFVG1oVm1aBfwX32RBAeWNAIk0uWPOmOktUC95TL1J6QdyH/fd/h+jFpwgTDtyeDLNpz0ECObZFXPu0D232YMMPJVEq/Ux+w08Gu/lJ45/TpQI3VYUoUA1519UMONiH5qX8/5S5TSvsjjmhmyWl8ffktjP/3qD8Zk9RvohY1YNAvU1ZKEuOAHY4WHktQ/foNDGd7lnDWU56/5VbKPPqRWTe20rieMm2q1R1MfwIRd955F0tvf+P11xtvO3XqZIsneLv6x9XJMci4RvCH0DLjYjRFSXlYzEbbAO49+OAPyxKFi8pJJ51sSXtwL7ni8sstOPXDDz+wsvw/tOfwaLgsF+Cik9yLJD7R8cs4D9v3kjDA/bvkx6Ivk9+4MUHdeD8vGHihIZzcp3wGn3/+hWVIJWtn+A7xvjylLKFgkzMG4cMhNQ5RoqlrLFvu9sJaZ6G+SHjq4MyTL2HQkCGObLE5ynEgx4EcB3IcyHFgU3AgVcrcSE+cOH6CkoU87m5TZr/i0MmtWkmAe9QSgCBU7rjjDo5MhAi7Rx9zdJGLRADCFYUMgekINIxZEnAQtkmKE0e1lNyEZDm4Gsy+8UZL8+61z/76U5TACM3+uUpQglCF7+z5SjuO4CucZrtMmMlKKDNDguBgCRRHWNZBhPsGsgzMmDbd0DlWrcpzpZk6Y7qSJ83yxdsvyWDuuP0O16//+a6aYPXOk4YfeL3Vq390Zcvu7S6VEEgmywOqHeDatm1nbUopINhpJXeELyWo/ar09AiRO++yc1Ig57I2bdtatkV+28s6QfIVBPZ99/2n9c+2ausee5Q2i0Pp0rubMIfrBNk94UH58uXcmxLcrlWqdRA6DlJ2zuEXDUu6a/AM+vbTTz8Rn/pbAhmOkSwnyjvPf857wjKyt6wsX335lR36XgulcIycorH05ltvWpIYrB8ffPC+IWuQ1bN12zaWBIc+RRgHzo7kM1hooDJ7lnHT1U+4SIWUDf/hJUT9dtbCEp5gRXj6qacNlg+3LSD6jjr6KFuoxD1feNduhp793nvvWgIgFpW//vKrGyBYxRVK9DNDAjpJtRCMS8vihNXps08/c+EY9AI5dUEQpw8QWBHOo2OIRVEvLR44T9+dpv5mzAITSMKf/hrHu++2O0UlCe35d99+Z8m3WEyRep6xGB2/0fbF9WWyUG3EjYlqBx7o/icXM3jAO8p7dt75/VwpLTqWPPmkLWr9O4TvOYsOEhsdJOvaww89aIv6tzQWeG/2FHoRi41bb77FHXjgQeLh25a0LKxDbjvHgRwHchzIcSDHgY3JgU0ilPdR6vRD69Z1aC6LQ2Bvl1dmQbRiZPk7UVk+582d636RgLvjjjsWuUgyS6IJniIhJhNVqlTJTb7maqfELsrI+XqBS7eTQAMhcDVvfqQjKyCCYzriuWifp0trjgBBNkaINOQERHqM8cWPL7YskWQXfXTRYyYEIzxhFdhrr70U2PZryiPQ/u2y8852DHeYl4V7jT88acgx7SMonShrw1tvvuWeeGKx+0UCdzqiLWQjRLOPoIN2NB3RHoT2pS8tld/zN6Y9J+15M2n2Ic+fx6UlRwsNMRYayRJAOnTuJyX6vfffl5IynvgBhHUI4RKK450v3y4I/lH/OXNmm3CKi1NoHSG748mnnOLmz52nbJ9vKOX7x1Z/XIk4l65Mq4NiG3ZXVlUE+JCy4X94fVtZZ8CwJrvkP+VKRUZShGoWN9Rhq1J5Anx4D9u40KDZL1NmT8tm+Yw061hSoCaHN5EgusRtEaSsD9ttF2X4F9cGJaRyLGoYq/QfmUvJ+PmQBNp1/VO6QKm+DuHzM41fCsjEd87HjQmOo+GGWhx3nLtFC+ge0oDjChP3DpG99KbZc8wHHlhE2sAio6kyjO4tFzWy4sLP/fev4q6ZOsWsLVZ47l+OAzkO5DiQ40COA5uAA5tEKO/cpbP5yVbPF7QKa1ci8btcF1KvOlnuEGit+fgiVKH1aqD01p6UOtBv2i/m7t9VjidM3ZivOe4Lx/fcE/ejGYTs3vzymskM3leC5F3SSsYR9xCcV7deXXfbnXe4GdJkR5EsqAfadOr82quvmZkcgXlt/jNw+cD1Ba04tL+SAT35xJPmHoAGdfmHH9rxhIswxY7m+eMufXlp3jWqDxrdnSWke5ceToyTZaBc+XKm6U78rpKiDLa78/7BXzSFWCdq16kdnMlz81mzZm0eH3Wmbbt2bpDcB9BAlq9QwV0rTTLaZU88p1q1A609YKoT2IeLQNWqB7gnENa1yHhWgp4PbOS+vfYqaynNfRlr5bqSjndhO/z2fhIeD1Pq+e4S0KhflDiG5n7rbbY2N55e5/ZMEcB8OfCPZzMe/LFoWewjBBbG//A+BGiCIxc/vsiNHnuJmzz5SneAFk5RSvd8jtN9PPdlub9A1LFS5UpuG2mJV/2wzgKzZu2avPP5Y9B28v/RJnsftB/XhqoHVNX5xLrxp+tZOJQtG+mf/Gf4sq0OEnaxADFWPEXHb9g+rsnE47gxkSxX9fpQ1qKFGlfMMZOvuDL2HerauYs7s3MnV79BfWv3+++958bLJ32gFt0DpPVn4cfiBNpZbito53P0x+cAihKsTMwx4TyTqWXFuSdTeTzXW0YzKW4ylVGcc3ybSgptaJ5m267CeECcFEQf4SZZXGK+8/NpUcrYXHwpSh2zvfbP1JZs27yhryvodL2hn1DE8hBCH7j/AfvIPiOXiwYNG1gJJwod5ZWXXzFhE4ETrTHuBxAvwk1zbrLtm2+6WS4ItdyLL75o/rtff/W1+T0j+N0koR6Ulo8//j8JM0vN1M9NoE48p48xfrmYue+XHyx+0y1PON40mQSbvv12Qbg9hMrlHy53y5cvNxeMXn16u1q1ars9IlkCy5cr7/r17Wu+3mg32UYjjfvBSy++pIngN4cWr9tZXSWor3XthBrz0IMPuvr1DnPHtWzpuvfobvVa9OhjpiH9SZpakGka5QeJsrjguZ3lS47wMlE+vcDcIajQllpyaahUqbIJzGjRQbBAU/2i/HgRjHBv8NunnXG6+dF20kIKDWmUCJbFPQLXH7Te9Mvcu+9xjaVtxPwPRB5l8gHCl51t3DKwlGBJwNIxU/7SaCRB0cFl4tzevZJ+zjwPN5JzunZzZ8rvmP7bTYGONdSGkHf4Kn+ndsB/tMxPqj15bf7QVahYwXWTQI47By4cUUKwxF+aeAImYtrOPuT71Guux44Z43bZ9W9WNu5SSnNvAcXh2MyG/959hWewjc899x0qlCGEXBabEIIgAcv0L/Xk+fvINQg3EBZ3L6iuuEE9+eQT7kz5jc+VxWiErD706VD5wKNxBsmkt8YTiyBca1iE+DE48bLLkol9sDYRE3DXnXfaNdExhKtLP/XROd3Odsce18KdoGBaFl49FEOAyxE+9riCETtQt149qz//6OvhqhMWET5ULKZ5r6Lj17ePYNFlr79mffmWxlZ0LFJm3Jh4VShL9D+ucWvX/q7fJ2RZKa2xXsk10XgM3yHiPqrI0nG+xiyJtj7//HN3kBbq8IBFaIsWx0lDvr9rrAVTc7mQ1apdx02dntmSRr3+inTTnDkWn3KgrHAPyarFopuFMPEF90rxsDkpnBepx+Ua78RcrF79k41VxgFz1Pnn9bP34LIrLrexixWW78LYSy91t9x8c4F7PhEC14D+F9hCngXsN3I/GzhosLnnDZZSgszKbTRvrVjxkb3Dr72xzNjAe3nR4CHuK33XDlFsyscff2xWUdwEifGJ42GPnufKOluwzGf/87y7SnFMN990kztASo29NG+gzBk8dEgKoIHn/8qVKxXDdKWbpPgPTxYvpFgJAqaZs3l/T5dSiPpgOQSR6xtZPZlLiE1CIUTWYdzu6hxaN7ZetJV3bdCFA1XubrKUHqnv6bP2TRo2YrjbYYcdYvth+fIVacujvqCljR410v1Lc+IxxxxjcwnvLW6euBQueGRBxvspA9ngLH1/Zotn4RzM8fHqayx/NWrWNIvgJ5986i7W83oKEY35DNc2xkr79h1sPsad8t133nXXXjvDVapYyU2YNNHhQkcW42lTpspN8hj1/3L7Roy278auqv8oszjXU3nXy8X1s88+Mz7R70OGDrXYnOj4RDmwoSn6XmRT/rPPPGN8gG9Y8odrTNAXxx9/vPUB38ghUmj0H3CBySlx7xptQc4ZqRijPUrvIRnsZneD3ILvkaWYeYM59nd9g3t0725xSHwPCyPGdbvWbVzlKpWtX/31I0dc7O6UYvRmKdxQ/vXTXA/dOHt2UlFI/NRUxfwxX/FeZFIUY529QPFQffueZ94D/jkb/VfaqT8MySUjWVcFniW3N8WGVtsJraLTPkpYyQn55cae5z4Jf3ZOK0kp5X9PUF5hJMG2sEtSzutDkbGOEpDs+myerQGeSPd8mf5Tnuuvo53+GSkX5O9E+wyeSMiNu9R4RHu4pzi8k3tIQpNKbNkc9HWObkdvyIZX/p7C+O+v45d2+3aFdQmvYTub50v4TrlNWkErH94x1qBwDKZcHNmJa8OPGof8hcQ45pgWYuHh5LYmRduWFip5LG4jm/b5+2hLdEz4c7SP+SHKy+i+H59czx/toMyQoveE53LbiYTiZxISVhJyb0qU23ffxKiLRxpbZKHYrOx57NFHE61OPiVZBwkNifL/3C/xycqVdmzSxIkJBVrbdvVqByakJEheK0VD4o7bb09kuufwxo0TRzQ53O4RZG+Cfd5hthvVb2DHJcQmJLzYNuNR0LyJFkcfk5wXGe+C5C2Uh+nKlDLJeC7YVHvGeX36Jg6osn9CoAe2H/4bPXJkonKFigW+S/tXqmR14FotDKw8+hSScGn7N96Qt8+xAf37J+eqdPXiuhrVqyfL1cLByrntllsz8jRTeZRJPRhjsq5aHQ6tVdv47ee1wu4XmIDd//BDD1NckqQQSlTbv2pCiqvkMdqphX5izKhRdqzbWWfZvcxhUtwlFjzySIL+pT79+/Wza+SuafvXzZpl+8wp9PlRzZsnkAkEvmD9wz3jxo61axhny5cvz8gXu3AD/Yu+F9kUy/td65Aa1gZ/vYABrK2yWvtDyXc/03vDxbwDFcuVT3ytbxXfPt6/urVr2xxMX/o5JFlwIRunnHhSol2bNilXyU3W6icFlh2XUs/2tdC0fd5VtumLXj17ptwbt0M9uVaAEnGnN9qxLTe61L8BH7DTTjslS0NbvinJfH21YkxHQCXuueeesadZaXr/WtxW0B5TXmFUVH95tB+hNiBavtd8Z3o2WlM02rjQpHt+GCzIM/x1PNs/I/ps9qN9Bk/QosQRPKI93FMU3qElbiIN7UuylIRuNNFn+DpzPNyOXpeJV9FrC+N/eD3t9u1a3+dHrQH4b1M+vIOPUDgGw3pEt+PaQIr5aJp5xjHH8MWPI3zvocLQS4rC37gx4Z9N+5gforyM7vvxyfX80Q7aHFL0nvBcbtuZhYZYiCgRZAtqzTBpAIdIi4zmSl8uu2z0yFFOgooFCdeVFWKFtGxYKfQxdv37nW8xMFdefoVdI2HINZQllH0orkwsZZSH5quxoF2xgEqoMm09QfwQ4wVXyBOPP8HNkbasa7duroIsPRAoTlvozxPoTYyHjPfko2/RprVr5MInDR/X8wfhtogmmsBriDwNaKKxJvpxx3i/8uqrMvKQe9OV6ecMroGOORYN8mrjZd6RvP/EFP1b1rbffvvVNOvhOR/zwbGjdT90iyzMEKhc1PUm8Yt2YlHGTdQ/N129uHeLAJ2M9woqtXUpa0u6fshUHvfTTyFtt9225qLnx1Vh96PFpl43yDrriaB2YnoOrXuoWf78cTTX++67r0Ei+2P+l7gsEMI87zw/fNB/jRo17VLGENvvyTLo3d8uEroX5QLmgEVhm623se8/dU/HF/9cfrWQcxfKvY54mbO7drV94rgGXXihWUT5ZkO8c7wTfXv3dnVq1rKxiIUyfC9oez9pfjlGXhaf3A8krj4K5j9RWnBiz87t3sPixIin8zkuPPqcbzvPpH+hwtqCBX6t3ByBGObbtJssKjx7ieJ4npFGvlHjRlZOXLvi5g67OP8fKGwnyYp7xaTLwsOGuoYV5DF5GPBuPqngf1C5ID9utIgSqttEd7HgsdH0Q7y3WkS4c3v0sH3+4eJ0juaPobJ6YZnemPSHEso3JiNyZedxgA/IHJmY2iuZzB+RcImYPecmM0P/Eeufq3OOAyWdA/6DFldPAsSBZh067CIJwjc6IGEhXMrw4V8ldylc9bbfbnu5HgyScHqmW7nyY4NHrX5wdbsGVy5cs66+arK5YsWVeaBcSCgPVycWA0CeIjiVL1fegvh5JgsHoDn5oI7URxd3OFzDMlFh93z33bcm9BCUP0Fugp4XuKmQgAv3Q78QxX0PIrg/JNyr/H3h8eh2XJnRa3DJgqJZgKWNdVdrwbK7cnyAKJQu6y0L0j32KCM+v2d+96COnSxhbfnyDy3wGRP+CSeekPLYTPUCUhbXIBZkxBmBdlUYTzOV5x8MGMLJyqfwqwRUXPBCwTDd/SQRrN+ggdxpmtk48TxK9ktkYYkiAVSobPrG1wt3JKh8hfL+kLnHsYObBUSZILltv/0OEq4HuLffeduOF8YXu0j/pOm2wPVxQlHDxYgFLQI5yGonCfSC94hnUQfeCRafe5TZw+KwAAcI34u8sh4319OlL70ol7/FDpfhsWMusVi3HXfYUaAWr1muGJ4/fuIEAzfwdUn3W1hbcBHcQWXffvttBv2LnAERqwc4xOFNm9p+XLuic0eo/MSV9sorrrC4vy5dz7Iywn8gjP2udxK35qe1SCUPTUi49QCTPELzxNRrpph7GQsWFgljx12avBTXWRC9gKVm7tmYlBPKC+EugQusNv8qxMtdOl/L6dvMapLBv7HIT5Zx5bOy96v5uPPRY0yovMTrQ+8IjhLc+JJGb8gHmvG4OUkmPYu3YEz8GYgPOiQTakq23mjbQGvx2jmZQS1+InpNbt/Zh2vXv+2atFi8ke9b7QUdhAg+ni+88J98Le7NBgPLnOMFLRCTKlaqaOwECpWPYbRMXx6J5kD1ItYhSvhD8/EHwQp4TnDzPSwvGk8C3j2tkmYZC0mme7h2t912FzLPVAvqR+DzhHA7X2hV28piCqHd93XCD7o4FFdmtBzqDZXdq2zyFOPzkYceNg3kvv/cV37n3xpmf/KCyAYLJYRxb7XsfFYXuwI/ewLxo9asTPXac8+/y3f+EAn4P9r7wr2F8TRTeb6qLWXtAGoVNCiPyOXPpbtfLiV2j7ew33Dd9XZL+fJ5Y6W4/eKfyy+xK5Bc3uyXf19/nQfHGya+w7f6cvn3/6w8ImjMocL4YhfpH7E7CJa085nnn3M7StPMIgor5Y477mTadr7P/v1BK4+lIu5bQUK/Puf1NQsV5TOvIXCiscfyc/tdefFF/tnhr7csMVd6AiADKqwtvFu8i8RDTZYQTawS0LOLHltksR1YGNBmx7XLv+t+7vDjlOei1YeYP1iARqmlNP8I8cRhANvsy/LXATrBoh2Y6QsuHGBobMTGlZb/++6l11lQ6wk4gkUbcXByd/S3b5TfLTdKqX+iQnGHuPH6G/5ELXJJc1S0UZiO5KvlPlcwSkijtIrMNoW9T5se3p9pG9NcmFY+ei2mpMWLHrfD3owWvWZD7l+kYBaCYUnYRCBhSaIrNakTCLm5CK1QPyXYIbivrXDqPW2KfvHP2pC/BIOTDwDN2Un66Ms/VUmkri3wCFwf5CfqmsiMj2aFj98dt91uGVcLXPwXOuDRdPxihaYfIvx63mkvELAP+WsQKCAEbwiNHprzXXZZ5waFcID2DtpbAdhxZa4rbx0k7pZbbmXB0AQXQygY0H4hHM+UeZ5AZv9BLy+NOoHQXsB4U8H+wM1muof2+jbbA/L/URfKQSPapl0702wSEEfgH4ITSawQlD3heuPJl+fbkzyepkx/nf99TEIFdLosDp54HtYDAtlJZFeq1NYSOq5bVweV7e8HkQzrwRmyTHgi4Lm+XILQyEbRq7gvrq3ci2DHeUAC0EjinoT7SEaeZigvr8y8hdPOO+/krpDl5Ndff7M8HAiT/nxcfVYIfAHhG7QlgncRinEdIXi02oHVTCAkWJ/AS098a1A6ePI88r8c99v+l2zI0NMSnCH6+SUlNQRdDIsI6FdegdFCwfK9BfLgKR1fWIROuHR8sr8ICkVQRKPslQMImr8JIOLnX342QRPQAF8nX74O2Gb4XtAfJAAkOBPiHq/5xfUKot99UkPeY/+OlBcsNYSiDOI9/1GJ6aB0bbGT+f86nn6abeFCghDepl1btXGNCeucYAGYqV1+7sgvzuruF+/UiYUg5Ov7u8Yji0IS1WHBatX61CSPPK+Yh7AiEcRMkkPmIxJUWnn5Y0Eu77aYYA5BON/Y8uBWI0XWkty/WA4wSI486sjYc3/Eg6wKyb6J5ihKe5Xdyz14/wOu5fEt7QPjz7PKBfUDU2QmIm06mVvrZBFB7cuxl1MCnl/l++P+t7qi/sGT5iW6QL6nx8t3bGNSV/nsXaeXr5tMgGgcShKdqA8AgsPmopukbUDwGCrfSyLwiaPYVP2yodsM6gKCE0KHArgMGrFJk8NdfwkzZN6lbRACHguhK+S3CIHMwT0kO2KxiqY2qkm0C//k/+ALixWyKvPBgx/ENoBlz8IFZQYfxwtkZoeXaC1ZAKEdI4lZGSFUEfeBmwXIWK3l6oAgTm4E4FjxZa4r9Kku0trGlWmLdaFfoUH3cxkCFpo35hLQM9DKUUfgcFlQKvDZEl5RH1xKHnzgQYPAxP+XBFSY2NPdg/CK7y4aURA3vICCOwDoUwhzP63+yd1z912mCcVsznUIp2jjaNdHEnYQVOEV5v50PExXZoeOHa2speINz4PPbyxbJjP7ONe0aVMbcVgdQUGBx3Xq1DGUIbIpgwqCQPvNN1+boIywxTGQMbp1O9sShIVaRFxwdtvtb0neUni6etFWXEUQ6igXNyTqCl/w54VXuMFE+wHhMx3vQMNCgFagp0PAps/QlOLDTFkgbUG4R0V5D5LMuEvGum223cb4Qj4TBHDQgbCCkhTOkshpXOBLz9hYoKzFLF7wM4cPr0gxg8sDY4Y5D99kBG7GE0hYCNos8mrWqmnIXWRWJunevLnzDE1tuhb3jMMr5Grz7DPPGlocPCXOiQUg7hpo/ePGJ4kIb7zhBiFBHW7IXHyH3nn7HTd92lRDijtBGud9dOzfS/5l/Y/Fhu8iGnjQtliMPKL2/LDqB3eKxjTffP9e0DbqQ91WfLTC0NyY7/733/9pbrvJLdLC5HA992/SypPJmTHLHMd3GrQf+D537j1CRfvKIJPP6tbVtNTp3hs/j9JXoF6h9R9y0VDTjjMuQNQ7R8grEHVD3oq2Kzp3MC6AXv6f2tVF32tyyCxcsMC9LKXid999b+82QvXNcmOtXv1g16hJYxsjR6kdIEeBKrPmtzXWdzXVr6D43HzTHGnqP7W5nUUq7lIo5WjXjjvtKMud3NXGX2qoLdW0SPK+6VbxDfxvC31U19nwNnDhxSmOl/tK4QwDIcTKFr80Et9cLv89BhIdMF5p1N+T71SYVp6XeJkmKBKAoOklGLGcVkFPPPFkMtX87ZpUmRz5OADJR6ZC4NqAleIYWgVWo3wUSH9+iSa7O9Q5Pv03k0qYchwfLlK/76RVPPfjJzlFED8M4JD4OE1RmntSy4fpz+H8LL28wM1Rr/7yNwPmcfaNszXgvjfTzDhBeBGwd8/dd7uVmjyY9IbJPxKN9P333W8ZKdEkkWGTyd+nCD8L4VLaETDLn/rXvw1666Lhw83X73mZwMjQyMvMpESW1BqH1LAgnxOOa+kaNGpoJi38ylllIpBT/zkSSOD/i/95Qbx+3V4mD98ED/HZZALrLsi83WQODXkF5vUEaSy+EsQh0FJXXna5Gzp8mEzKyyzd+z3z5hZoE9rqSeIvmgJ83cCSxsSEGY+2kwCKj+uIYcOlgehjEIIh39l+RQsF4JfQNHTUhwIfu2G6j7GERmOWhAXgviA00YMGDXIXS9jqrWCZaZpo+Qij7eqpNjFhTdI4bCg4Libra/O1qmgMfJk8Z7qylXpiTCLEMp6BVATyiTpcf/31rocCSbrog3aNfD/hH5PAzJkzrV48h4UBAiGm5aaaxCnnEgWxoZUYp7F5uviNoHyuNI24tgBzSXvQdMzR5HOvNHLD1ee28JGJv7B6+DozJYyX/2LYdoQstOQs0HgeQjlEEqawXxZqght28Qh33cxZNskOUx/PvHamJR8CYhSYyp9++lkfuRr2IaEfIISJSXqv+fDijoBlpqaClYDgDMdbxUqV7J0jAMyErAEDUuYGBBQ+QLwnJBbqqzrHEYFTvCNkG/WEkETQ4PMvvlDgHeYaJvOZM651N2oCh8BD32XXXawcO5D7l+QA1hMf7Js8GLPBPMZ1fJARHrvqfbhL72ulypVtLglvyaZMhCW/SAKbHDM+4xiBinkjJLSOuDCQOMrP2YXdE95flG3KRXhkMfJHIL5ZvEPesrA+dd5YPF2fOvl7GRcI02hpGYPrQ7hZ8q0m0D4TwVfGKOMvbnwy/xJQSFwG5XlivvPxChyj7n6O9tek+w3fC+5jvIfHuI+y6G8/J0fP+7KxMG2leTt8v7PtY57h3Ykoj4DksI0cK0q7uB5iQc+3iXaFC4G8s5n/M9aBVw7donBrpF70Fb9o0+EL9Y3OI5lLL8ZZhPKSRkAKAakkATBRqXwFg9FRJH6ixzndE/JdSkgASXRs38Gqfe/8+YlWJ51s20DkSFubkGCeUBSxQShxDFglII200je4I2lZEgdWPSChFWviyGbNDDIKaCjo9I4dDV4KCCa9ZAlFUCfOOO00g5ZT5j+DtpJGJgGsFJBXl02alFBUrkH3AbEFtFYcUSdFTtspCacJmUAMDqicIHeEiZ6QSTPR4+xz7Dnt27S163guddYqMCF0ATt3bo+eCeDmgBACHmvlxysTCqxJSKhLdD7jzIQyGRo/tAJPXDx8RALILOoLlJfwpBMvvvCitYcH0Gb4x7GWx7awZwLXJU2EwZ0BtQXcHvUDXgjyz1Ckd6Jn9x52zP8TEkJCGKBpeQU/gbQaeOGFCWkq7TYJtAbbxU60TRyToGZlsg2EFaTJwuDMgAHThy4hTGw7Hv2nly2hgKyEJoyEBNmEUAUS0hokJHQnTj311IRiBeycv49yJYDbroRbu46dswWjNGTIEHuuJu6E3FsSWvz42wxmrU+fPgYfpQnHnpE8qY1mGmNTp061umrCMH4+L9gmrdLzeNW0qV1+9NFHJ+677z7blpCf0Mo+IcHcnsvBBg0aJBSpbuNaE4TVQQuFhBakBus3Qbw6X1BfQHH5dizQ2JG2xMrMVA+7IP9fXNs5RZ20cAkvtW3fL/Rl3Tp1bLwxZtu2bm3nhYZh0I6MRfkv2jHeJd6JkKThM0g2jg0cMMDaGR1vvF+Ma33QbDzwDoZzgxAnDPZKk2lC2o6w+JTtw+rWLQDzqIWvvZcpFwY7CqhKQupxeN49cxNCLAiuyG2uDweAlWM+pB9ylOPAX5UDQPkio+Tor8mBPDVVMYT5jXkLKx3MPCRpwP8LbScwTWyXM5PHy7Fp5VlFoplkpYXJh5UNK1E0rUQgR1Nvo0lvrkQHQACyIoIIhOh8Zidp6R8ys4xP/02SkriU46yK8ediNfWPvf4hbUiej1WUPx5KieN+JcqqjohsSyAjbc2P8olitU6a+LFjxljCC1bTmGb22XcfOwfYPitUTO6YsNAkXqGMkFgIoinCMd8RLUyK9KOOOVrm4hdSqoUFgkCJJ55Y7H759ZfkObJLwjOSMGFi9oRJaLlMnvvvX0VWht5u5OhR/lTKbzpeoSUiEdOzCiypqWRAEBoDn1Y+2ibOe/6z7Qm+wSNQGbAwnHTSyf5Uyi8BugRl0Edow0BmeVImU/ZryLoAgkI6rYYwT63/KVDCspNwa6twVuPci9+lJxIQALWGdpnyokgH3ANP0ULAA+6lPmgN6O/BSoZxgTS3aNL8vSNkDcG3HQ077YW81orxQ5lo3PeTuwBlsnr3ZfrxxT3hdqZ6cK2nuLb7c5l+6UtcANCegxLw8f99LPeGpWZp4BwBRV7LQOKSJeqLkOjTOXNmW1Axvn5oZKLjDcsM1pHp6nvmhF+lwQjnBpJTwVcJ/caPsHy/jVblyy++TIF5RGOLyRp/2DjCZE2yijDZBK4TWhTHXZ47VgwOkAztPvm8eutbMYrI3ZLjwB+eA8g+zWQlztFfkwMlUiinK9bIJQDSWsn8A20n/19cSnCEYnBEcfHgo0xWSwihx1M0fT2+hHXr1bVI+hkzplv0L5imiySkgr5BUAREHYhix+GfKGN/DCHPb9tG/j+uJ0tXSOnSn4fXsI059aIhQ2W6ysM2xbSCbyLBQQjo+MKukHsCQvPdd95lt+PriIAWlyIc3ynol59/sQyg8GMNGLsqd5xcIcqVL2euLyARUO+QMNX4CHLO4TP646ofjTdlJVT6AEx/D2WTlp6FQhyvML+RIY2gCiXy8Lclf6Ntoo6QrxeBGyy4IIQ/3IweXfioq12nth1DqA0JnzXK8Og5lFNVQl064lr/TLKg4usKcQyhGvJ1sZ38f3fIL5OgQQRpBERfR39NeA/b4T6ZAnFjuUy+h76+3IcbyqVy96FM3FU8+XvDX7/tr0EQx6WGP8yKmCGh8Dq2w31/L7/p2h7yJ7w+7Bf8rW9TRrWtt9na3KF6aRGGSwpEQBFCOiQTgWWZtZ38fywwDlMgTXfh8VJO3HhT4gr5r75mbiksuNeqnJBwsxmvsTVw4CBzq+GcX+j464jUZ4HD+Ibwubxa7llDFORLG8nwC/n7cFvDDxN3FxYKHrXhv9//1/wS7eLcv/XmAItVFBzM7znKcSDHgRwH/oocKLFCOYETpGPFOR/hQeZ+C2zho8jETZAFaeUvE2C8F8AJbkCQBtD/gvP7u+clVPlU8whKpAlfteoHS19/u9AT0MiQLvx1+bNS3h7SOBJUMF/BOATiIET4tOsITz7lOCDzlLWnAheefupp99ILL5pQ9tGKj6SNX6TgjTmuUYOGJkT7QYWPJAID6c/R5hOkQ8AKiR/wY39cfrAEUSC4UleSBLAwILiGQItvvv5G/q4NpNV+wpWTFhEsUoLOmh3e1AQMLAE+RbjcfEwA59kEZeA3j4CBJgqBGfikqfJjrqTgBQIm0DjjQwWIP9aIyVdOdtdISMFqgP+XT2FP+/r1P1+pmM9xxx1zrDSHVXzz7JdFCpifWCSivPq7tPXdu53tmsoq0VZR10AQUX8SLPi08tE2IVCCjMEfC63y5cor8UFfC5BCe4xWEwxaiCQdBx94UAoiBhaXkSNHupMlFOJb3U6CHoEkBPiBWuCFKytA/25WfRDUblCQzZkaW/jP95LvPansEZAXLlxoQq6HVfP3oSVHu3ya+EW9wvPLFaSEX/j9EiZJ5gGf2X5A45TANIKM6O+TFLzzoRZ8cl9RquA73S3qM3zKWWzJ1cbqzOIC5BMSLrAA4Vr+KOO5554zn3WCwPBtJMjrWC1SWTAw3mhvpnqglfcU13aewXN5PuM4pLBfGAPEd4D8QJwD9WcfOrd3L0v2QrIGLFOt27YJi7HtbhLI0UYjkMPL6HjjvUTjzjjgPIFq4dyA37Gy7bmfBD3WosVxtjBRpkHju38Yi0esQPQNC92O7dq7+7XoPfKIZq6GAoN4B9GMk0iDd7Jt6zb2nPqyWhxz5FFJv060+I0aN/HF5n4L4YC3Rqa7jEVkjjYdBzY0v4vTv3yXosqBuGMbkivZtjuuPXHH4spDERJt14Zsw8Yoi7imzUHwaXM9e3O0t9BniiEljk5o2dJ8N6OpvaMVJT02fsAQ15I6l198qPHRJp1tHEnASx7WBJCShpjySAXry01emL9B+YXVSy+p+Ufj9xoSvs34QOujb77E4blwm2dTBr/S3CVPcV9IXEOZIYVtw5cXf3oJguEl5o/rDyi4zTYpyxPXh/v+uP/lnnT8Ce/Lhle+TP8b1yZ/jmdKgPW7CSWoSEmrThyCAgaT5/0Gfsf8FYckuGd1Gzyhr6J9ns3NtJn7ov2dzb3proFPctOwNPK+j9Ndm+54tm2P9ks4BsNtnkNbo+MxfL4y7SXwqQwpOt70YTReh2PNX09dOM/c4Ik4gigRE0BsRyaKvm/htfQ1KZuj7194zV9xmxicKBGTQspy4mHiiL4idkCBswniaRRYbv1HTEvVKlXsj21iUvg2+GP8CgwgpUhiGbQ4t5TvxAWRDp3riM8hHqlDu/a2T8wC79xLL76UOPaooxNSjCSkaLBzpNWWQsRiZriXWAhhKCefI8STZD2GX3SRxbQQKyMkEUvfzT3EGixXKnXiZ9jvdMYZ9n5rcWvxUMzN4dyeLDzLDdpW4+CDE7Vr1ExMHD8+oSyNFiclZY/FD/FM4n6UtdHGOe9FSNRDSVLCQ4VuU4aPCQkvLk7/cr+QMCweqnWrVhbfk+5Y+Kz1bTfzInFjUX6EzyDFPHFK9evWSx5WAH+Cel6k2KIxo0bZ8bhxywk/Jogh45tU0gl5ifeKd8+PCaHUJBrUOywhV96NXn0pQBKCRV6v5zAPMOanTZla7HJ4xwUeEns/chTly8U29vyGPMhqrkQRA10pli3YsCgVY5IhmJFgyXFjx9oEv7k+mPJNTTDQNichyBCIyQQSJ7xszrqt77OlHU3IQpASyCd/dwtaXd+yc/dvHg4ITSnRuGHDxNDBgzdZBRSPkJCFo8jP48MulzFbXBf55j/xDQSltzo5L6jYN5MFkrIGWmA8gfpxhDBOQD+LJxQrCO+URTA/CycEa6XRtvNHH3lkYvTIkYkrLrs8UaVixdg+INiYbwhEoHrlChVN8GYfgZ9gUr9AQHAm6B46T8HanONbAiFQsU9Ab5SE3mPnZIm1IHu5DiYeXbjQgue5RxZDu4WPPAsKjvFdglAW8dz1JVlOE3Vr17ZiWOhepSBsFpIsAHgeC2JZtRIV9itnIAnh8+AhfGHezJYuGTOmwEK2uP3LAov+k6XZBMHTOnSwRVf0WFzd1qfd9BG8efihh+OKtmP0JUIqix5PgBC0ETgAwArczziKG7cAGHCeeYUxUOuQGr6IEvvLuGEsfCIwBBaunuABi9iNTcgo6ysvoeyE7yyoi0PIivTvkiefjL0dZR/lR5UAsRev58FSharSN/EFYL1Ok3/3ljJNF4UwkS9e8qRhmuKisIMC4TYX+YQZm+v5PFeTpevRs4dVQUJ5EiZsc9ZpQz2bzGANBEvo3SIolz73vssb6jm5cjYdB3B7mS1c2QoVK2yyh5L4Ixr7kc3DNedawgt82v+KJA2vGzZUcG277GwwhqMVlA6cGOmpCWiXFjiZ6h4eNT3iCMs8mI5XxOusWfObk2BmWTYJBj9QcTS33HarxQRdc9VVFhxNrMTdciUjoB+XNmA0iSWKEtfhogThBnXMsceYWyOBvARDQ4see9RgUolRIGkIRDyR/bq8e32QtM9kaCfz//ny2RXilBs8ZIi5SvnEQOH5iwQLeq1gUsGRBn51m623KTAfkwGUctau/d3ACS4eNdKNGTVaLm//EoZ7G4ONvUuuiOXkupik/DZqkSgM62lJDHbfDq7jvapYqaKAAR42yFn6CRc9XOl+++1Xy3R4gWBFQyKgnwQzpUptJRevFW76tTMsluk6uYVWkd8/uPHA5ULF7V/iNmSpsBgcguNff+11i+WIHgvrldwuZru5H/dW+HPD9dc5kvnEERj3ZH/U4ix5mrED9PH2O+TBHQLdHDduwfLeaqtSboDcZ5EDfHIhXxCuOUJSMxhiAuEBaZAi0vXuea5BFAM1XLFiJXepoJAh4JFvveVmi6HChZXxgGso/Csl2GdgoyvpehJVTZs21d61unXrGi47sTKvv/6aQS3fecft7lPGmMbhFXL57S9oYYAOaCPQxYwFQBBwcZUwbsm8OHfAAdUszib6vofZbIllmzF9hgX0fygseLKcAqUcjue+/c5z5yp2ijZMn3mtAVN89eVXbtCQwQYBTAyRFtz6pudloNWC0twf77z9Dks0dv2NN5ibJ9lAf9A55gDGLfkKgKX++z/+7lmckWe4EZOQDKhrXKH32KOMm3T5ZfauMaeQPI7xyDsFVjzvQg/1DUAbEPF7l4weY2NnuKCTNwblzUIbo+RilonP8/oE+4DOsjkF8mI2e4PfBrY1fOQvHcLIBn/oJiqQYMpQIN9Ej809ZiNyACFmUwrkvinFmSvwZf+rCuTwjdiH2yUw5y1qVutDdZfFDhDXUr5c+aRA7nnMbyiksqjho8cfAj7JXhAGlimG45NPP7FYH4Qbf4+0mq6FBAXiWzxOM8dIchYtK3ym3yY2BiIAmXwP3Xv0NIGQRD4kICG3QnFppD7MCKvUlXkpjih/luKjQNq6ULko3n7n7QKXEddD3g2wqefMvtGS4vA+vK98HKt+XGVZT1E8RGmVAu/btWlryEE83y8kwus8UtRrEnwhEjZdrZgi0pLfenNevFF4PXFMYMb3Oe88BWa/6GTpSGZdJHeEF8jDe3xfcSzaJ3H96wVe8g2IeYrj+K/lHuH+8Jg0/yljhfNQcdqNII0wSRzSiy+8YIs6ymIM+vFI3SFVKYWob8hbaZVjxy0xL8TBfPfdt4oVW2zJiMKC5H5jMVzDFcD/hUAdLh071hZOCIgsEM9WTE24WCD+ikQ9b77xpi2uGA8rBPSA4N9HcTVl9Z6QU+XA6gfZWCFeDN6cdsbp7owzz7BjX3/9lS1eAbYgSRCLNARyCJQ6EhltrYUi7wngD0pb62bfNEe5RvLei7j3PWzTxWoLGUBJ9EV8Hu9ydDwvUb6YYxXj8/7771lGcZSGnXU9iwsWevzBA+gSLQhpJ+ATZyi264nFj9vChTg8YtZG6J0jUy99giKgUeNGSqB1abJKmXjGnDVHyZlYsJBwaf68uQakcOJJeZlZSWR0qBY1cl+ydhyumL3HFz2WLBtUHJJP3aHFwsaiEieUb6yGbsxyCXyTu0jKIwiu21zExLIpn0/CHPlgWnNBV2Ei3RhE4GVRiBfP16uw+9aXX0V5VmF1SXe+qO1PV05Rj8v/0oKDs71vfcZAUfmItrSoVJT6EVyLdstTUXnh7/sz/ZI+fIYEURJBoXVFu1kUQrtVScoT/k5r38GCaD+SoHHF5KtMaBg3RoJBPsmcblkcER48Mb8h3JDJM1qWvyb8RRArryyHBFd/9+137pwe3U0IuVwawwYNG4WXFrrtNbz+wpGjRlmCO7+f7hdQgcuVnOxnBSCjMY+SzOaWnRTYUuiNN5YlFyVYALt0PSsJiRreu9NOOyrZ0t0GTBAeD7dX/bDKdssqYzPC5yNa0BDUj6YX4XH+vHnh5Sac9TmvrzLWjrTjG6N/S5few8qmL+VcbwuWvffO00aGxwi4DseKr2hR2819gDjQ/z55zQ3XXW/FIYj6Z1ytMRhHpfcobYsN6gqRnZIg8+i4Jakhlh1SsqOFJbsqPPdEP+8gbTsQsWSKBDoWYlFT77B6NqbJAusJlKgT1f9YdgCtqFW7jmlryWyKcqB9h44W2K/4KgnFXQ14As017yiwwwi7csNy5QVGwKJt1MiLk3DE/hnhL9lGaSvJF7GEQYW97+VkvQGVDQ02iwUSMcWNZ4TlXXbZ1QA85C5iPCQD+DHS8kNbyoJRRmnut9s+b3FLynu/0OV63nm+D4BEkEQQSwOyB2Np99LrEoMVxjOSQoL0dYfAPqDo+AYogUUBVisSHU66/HK7jn9Y3gCQWL06FektecEG2ChxQjkYwvIrdPWU+XCGTC3yybIslKwOQUM4WSsdUDP4GPSSWWGYYMz89WBWK/jKyZ/QOtDzB9PTUVrhKMmPk8+RXS/f1eR9vJQ8D02KJ8oCjYHU8Z5AdogSEG+nnHiSZRH15zApnZoPA+eP+d+4Mvy5DfULqknXzp03VHEZy5Evo/H8oQcetFVvm1anSuPzfsZ7inMSpBolicr6Vuql+AJ33/z5hd6Tqb8KvVkXFOVZ2ZQXd01R2x9XRnGPjRk92o2WKT0bQlPTVprP9959L5vLU67xYwmNUTaEW5YChFI+eoXdV9T6Xa+P6/vv5Y1nsMyLwovC6vJHPc9Ct/e5vUxTiICDEAVtueVWDnjMqIKCc2t/X6vr8gQaXM8WSzjh78qrr3IvL33ZAbF6SqtTDJf/l0DIx7R+nLIMh8ScixaZTLvRsrjOhLr8Ovn7OpzW0VCe0I6Bld9cpnLcEZocvg49x7dDYqLdltyXxs7TNVdfYwJL8pxO9VeOAa9FXXc87541a9ckIUnRgPaWdjOOcHVgPKNBhNj3Ze0owSyWEGj1GJ5NHZKU33buR4hRIKbBCrMwQAA/7/x+ho5EButSpbaWkHNdyjuEiwfuK/0H5JXp64Hbx+/ixYbo34MPri5BdEuV9bMJRQdJ0xt3LK5/aXRR271CSEsszM7s3MmNFZIWvGDeJ2U72a39ePR5ChDIvAAOX2vUqGnt9gLcQdUPjh23CgJWP64xnHE01Qjb4aI+r5/XWFfR14fUOMS24bFfkNmB4B/oWzyX7MOtW7e21O8+zXvzI5vbe8CCi+fxjlWqXCl5N/ciYIKEhYvLx3p30YwzlykgNcVFh5twnfni8y9UTl4/w4O49z28/zyhXeFuTObleffOdwjpceMZYblt+3aWdwWLC/TUU0+lwC5zzI83tj1xjNwV8BJIZVzOENp32mlnczHxboiMTygTz7BOkM0bnkCUzQIHwmrCnIZVCkQu9pE9NyWVOKGclQgQgPto1dxDqc3xR9xaq0I6GtPCD//7wZLh4Eu4++67mSnDX4+vMdvlK5S3X8/IGjVrGvwfmNuk3UZjwQD194EJ3qxZc/vg4lcE5fktN7DBxT4dB354lIBWC7U4nG+pxUNozvP3pCvDn99Qv5vSt5oPXAPBP0JMGvgwbgw6TubrUqXyXpxsyqdejZo0zubStP2V1c26qCjPyrbM6HVFbX/0/vXZP1GLzmwJF4ZKlatke3nKdfDR4+KnnEizgzaHlOx+Qk1zWcrhotZvguAbwc9ncX73XXe7ovAi5cF/op1VUoigqYMf+HLiFgC8Z4OGDQxCkoWMJz5qaBTBdEcrCJ4/H2c0d/yR2OzMTmfavI7/LInEBlx4ob/dtLotWh6X3GfjkYcfkok/71i0LFwvvv3mW6Xd/ipFMdO6TRvTMjJfQ+0kHPDh9mOHuiFQQUJRMZM22j7oDvnjAoOrAEprK9pyb6GZP39eMj03/qfA20LAwiqY082SjyqmboQ/6HyZ29HwR6m7vnVYYSbr28T3CjM5WmLouWefi17uFsulBHM/UHIkl2NRA7FgwboDKZjTCVHD3Ixwn6HOE8dPMEEMARDhGv7jUoBfricsnWgo4QMJ6eAp/dhY8+kj8k1f8uQSf6kdL07/Ut9+Whzg64w/+ZChQ60N0WPR/i1Ou1GEAZH8+eefWbTALxKG9/rHXhbHMGjghW5btdWPR9w+n376aY2F12Xx/cESodHYvrIcYHHATxoXCMZ63LjFteHQuoe6K+X7/Pbb75grF23whGyAJvwqwQ6jtT+zUyfrL/oRyFo0v1Eyd17BujLmjz/xBFet2oFJLH+0tiw0W53a2tpQu86hKX7sJymfR716h5mMwjuQ9x0pZS5ot95yq2Iz5MbzyqvmU457yBl6Fz+QRr6DfLwZX4zpFR/mWavC912BrvJ1v9XeaXgCj/Enx+caGNm48Uy7OnXubOMbLT7uJwi/uAIyZllAMhbIxQKh8X/uubxtkhgeL95hTemodxcrDlDEnbTIwiXtfMl1ED70vEeZeMa4R7GLGxma/YVKxIjcgoaf9+9LtfnCQYNsMdJMCxiUBiw4IGVRN3chtuHBxqAtJCjmLS02Run5ZfLSYqYYNHhQVtnalA7eAjEI6gHHWrBS7hl1ENtMjI8ILxrfcaVgdzjbh9fjJ4iWe7ZMNiGhVSdREIOC+oCjHN43euQorcT2k+npanf/gw/YBMGxETL3QGQpHCBtBGaT7bbdzvDOyYY5bsJ4d+Zpp7sGjRoafjL+dgQ5HCCz0VvvvGMfBsGvOQJ5wD0HF50y8PNDm4g/GOYUBifmGQY4iXFoB/5UDNZnn37GXTpxgvzgXpR593tppN9yp2tVjCUBAZyX7VKVUbNmLfk7HWsY1oc3amwvK2ZQAiIqaNAp8tw+KmiJSLQEYVqbIu0Pq/btZTZq2qyZJu7xyrwpPHW9INSPRQs44ExWLGZ4WdAe4v/GRw5M81Ia3GRkPE3mtAFqHy8dGk/8JMGMpi2CunMPP/iQG3bxCPlhzrKFyzAFQc28dqZ9HE5t09o0Oe+Kb3wMevfpY9p3cNbxJQW3/m2t+j3hX5apPCa45cs/tImOl2+KAmFYLBEUxcp65513MT7ygfL9hbYKbVJYB/+88BefS/oVPtAG/Gqjz4K3YVlMxpl4i59heH1h7ff1wWqEKwFapxo1a5h5bZZ4WvvQOvYhGTf+Urs0rHNDfVRuu/U2V6tObeH5P6d+P8J10gdigvqe8cFESXIm+r9Dx442RqorSA0BbJoCv9DOheOpnpL+XCEzHx81+mWKgplI6sQEyQcfP1E+9uB6I6gcK60h72n/CwZIc3O4LFhX2cJ3yZInTWjp1bu3EyyXveMIKbz7TLQVpO2688477B1DS4IAeJ6CiMDb592jP3bZdRcb+9nUL09QiR/vuDv8qA8x7g5CzjDf4+Za9N8//14X8gLB5q9GjG0EWj4fCGxeuKW/Mc0Xh3hfGT8hrVy5skD8COZlrkMgKQqtknnduy5QZ/IjFCemoCjPjLuWuRYexfEJ4YaMzZubfP9G+zO6X5R6xvUvcxcLABbYnuKO+XOb85cxg+AYjUGIaxdzHYrDOOUcbUAIx9LDPJoNwRMf1EzZPraCe8lZgeDPs6LnOO/vpf64gfh3gPv49kXJa51/07tMHSE/HsL33d8/Xv7cZBRHqP1oxQqLLyGYE4obz74+1AXNd5SfdmOaf7ThO1nBCVj2hIUV3vBeeR5xzj+H7ShffHvC8UzZtM/PZVgnOOZdaChnU1B2I2I9a8LqHGf9MKlKtkVivlq7Zq1pDdA+sNK8Vx9FBIxmEh6KQqz4MdnwG0cI7GgxzlGSm6iJ7pRTW1nn9JSwyv1o3H+UX5FPQ0/q9fETJrqx8ofEBQfiZSWQ5kAltUHAYQDQwZjLZivYAB8yFgf+xUU78qpWrY0luAyUew3aGa5lJXyvBEVWdP651G/vvfdxRDDbs/SSH33MOg0Mdet2ztkyCbdyI5Q4h+h/Aj4P0oqbhQGDEloqM85bb71pwSkkQwIdYGcJO7xM7Tt2MB810rjTJviDoH2qTGiYGx9+6EErI+4fq200RAS9VJMG/bzefawub+pZTARHSAj84IP37aO4+qfVlkgGzRTCMH0+Y9p0W5AMFapBT5nKWXlH14+0LVN51IsXH//X99571zQALMh+/eVXN2DgQLdCE8g0LYJCiqtDeJ5teIOrE31Tvnw51eEtDhd4VrQs+j4Tb6PXsyDL1H57qP7RnwTXsIBEQ0M/v/zyUkua1E3uXFC0zmXU11xzihaAmAJx62Ciw1L12aefmbDrx6cGqPvm22+kPRho/c74j44nFgW8A5jRd99tnX8frkxMlufr+Px58xVoVd8EfQRtFnV3qT8QuD/99BPz36tdu7bVl38sXFev/sk+DCyy50iTitZ6lFxWk7T9dwAAQABJREFU2D7q6KNskdBY0f4sAulbNCCM02zrl2m8o9X8nz6G22oRjgaM9NeH6P2I8iJZ4b/Qhv9wMXf5bZofJ2hmy5aoQM59cQHdKDCKKpBTlhdG2EYY2hwCOc9mHkjHp5IgkFNH36fRekb3uTZbiutfhKhQIKesuGPZPmNjXseYiRMg49qF0Oy/63F1opxsBXLuD4XNUCDnHN9T/6zoufBenhe+A3ECOdfzXvDnBXKO+fEQvu/+fr4jzeRGg6LwsPqHuSMUSOspbjz7trCQiOOnvzfulzaEAjnXYGE1H3iNpZD8czgW5YtvTzieKdsf5x7mmE0tkPPcTSKUE8VNRCsa3aISTDpBJhu0b1B7ae0QDMnqR0ruohAC9xhpvPqqPulo9CVjDPZIySUKCIHcw6BEIzxWMGAIDN7HjDTsCAxojr2gzuoRje/++1dx10ydkgLZR6SzgPnl1/aQAhXyNCMMijxzXXmD7iqt6HheeEzEP/64usBzqcecObNNoMLfivs9MQj5oAE1hB/ZoscWmZXiSC1k7r3/vuRLjJCOBqmpBBsPF9ZWCwUlcTGzKdHk9997nwmwmHhYlNykjKVo+X3b/TPDX7Tpu+S/JI0lMJIxkoUEVgCsDpiLCdTA3MrLz8vBogu4rary93tUEc8c+7+P/s+ykOZds06bwrM4n6k8riGjJH1Wpsye0hr/YOOGPoHwJw1NsRyL1iHqmsQ1wqA1zT7bjO1GcouCos+KKysTb6PXF9Z+e6j+8S4wMUEIqAi5ID1UE6wcvrdQtM7AVvlryu6TZ/rmOj+GwvEJ78uW3dsmfxZomHExI+OX6ccTvr+MJYhAIU9c88/9/ikYsKk2wa3VwpTnHqoYDkzYLB7xl1937zoNCGUQFEWgEQsNgnmw+JAdlvvgD+4OEH6NjCksBcwZ2daPezP1CdajKEV5ET2f289xIMeBHAf+Khy4TcqQVq1Odf+QouciKQCROXJUfA6sk+KKX0ahd3bu0tl8P0mfnQ0RrBA61ZwsjShCIlBGDRRJD6YtgQV+VRNej7mBP08IgkQf55km8o5iBofC+wjIgVhxzZB5HWERf6qQCGJS1ifzLcelA4EtfBbXYjLxfrEIJAin0M4SkhGWKAMtNUEuiwSZRBAFgTWektpgMSC5rZM/SZuMT3v4XCKIWZh0l8Yx3YuAaadGjZomoD2BMCkT07Py2fKacpBjxstvduDAQeaeQz0QVhG6Fz++yI0ee4mbLCxVFh1Q185dLFgGjWceTwt6P9F3B8lVZ6lW0BDtKLNnGVvtU0+0zFtvs7Uj+KrXuT2Ti5X9q+4vn8wnbSGCZv57af/RouOjBq3N7yPbyf+XqbyQfwjmvl4vyyUDov5hUAzHonX4//auAk6LqnsfEFHKwgIF6Q5pSemSBhEQpJHu7pCUbkRAEQmVVAkFDMJPERQFpUvA5O+nCH6KOv/nOXBfZ1/e3X0XdmHFc/ixM3P7PjPvzLnnnqD3B27T+SlHjpw6Turc0eCFakeh+grVVlTYBpePbP6UPLv7x3FRLYrPK4mGOZkyZY4wHqZHNebEeObJaJP4e+Fvwf98UtcwQMQR/7Jlyy7+54k6mnQz5sjdKy6gP9v9me7wUCXmT99v05VNlSp1xLpg3B35ceW5/9qV4XEJ/M72hnrcJKjQUL853PGxblT3hPnsk88PFxQRxn8JC5YxMgQMAUPg34gA+TAKfygE8uvP/xuxiI053zQUFBsNxVYbZMboiJ4+a6m3SbdNdD9E5f+u2E7mA0BF/GrVqquPTn/5B8EkM3jD7k8/wTb2t8osjX/2WSkI4wcyB5SUFoSEjlJkfz0yzJQGJ74lsUrs+GAVxVb1l/u+lArQIXVE/WW6pTsFxpwGCGTa6UOUDOcH2z9QP6vc4qZO1qsweKBknp4EEJoX1r67VMq8eeMmVcWhQdAf0F+mpfZj1auppJMMPH3IUt2D1sHvgGkvgkAGZNrpaomME8u4fmlFTQMVSsKDdyE4n2+/+1Zxa96ihTycP58aMcyDpT23+qk+QKLaAj1YkDml2gENaykt/T+oK9CVGPWgKclkcAwuWGh0tBwuuMiQHgHDynv0GnYxiAndLy184QVs+9wsdaDiQkbxtVdfUeMNStjTQZpPie7bG95SVQgahtJwguoGpLS4fyvgN3Qs1J2oa0Y9dDJDI0cMB+571BCG48wM6bejyNqj9J/+g+n3mM/FK0uX6Q4Id1oYIIA+W+lTlfPaDT1p3q/8wIVSff8YqE9dpGBBxaQAjiRun/M5oBsqPqd0V0b3WsF9PYEFSHBbUWEb7vypf71ixQrsElz0RkPf/rR/oK4ddR7z4V6vYKAR2F1w1yHUmHn/XRk+D1Phxmv37k91R4T2D9u2bQ08n7///hv8IH+qOt18rrgwpY/ZafB17J4nql5RTY12D8SVTH3pMqVVbxehj9XFG1VLTp06qT6Vqeqy5f0t+jy3aN1Kd082bFiv/ZzBPKjexN8o3ZiR+Jsk402d8gMH9iMYynq5He613n5rgyRAPm1WRmABSRd5fAc0btJY73N042Pbkd0TPtOsf+uttwgNRGnDgYh+qmLFhb3DgnYW/G0YGQKGgCFgCBgCV4PANTH0vJoBurp+Ix2/YYPLj4sjJZJ+naKLEm5KXC9K7Mgw8oPOIw03qMPELfVgcgYRTPe3QUkoVSmcxD+4XvA16zqpneuXBqEMIMDFQDCRqaGOsCNKhjkn/2qWbVLXnWN0KhAsT11szp39+MfPPIcL60Y3dkrqqf7gL+dvz3/OtknBaTTS4JiZzraCyV/efx5czn8djI0/j+f+dmhwuA2um1q0bBmhmN+QJEJG0IW/LWZFhS3zg8sHz5/90rUntwod8R4yPTodvcjGTAk5ny3eU+od8lmJ7vkMfp64kKI02W8gxPExnfp5bDvU74Nl2Dd/Q2RuqavI5+5qKdzxsZ/o7gnLEOPIxs98I0PAEDAEDAFD4GoQ+Mcw5VczyRuxLtVOWkDCTMku/a4axR0CVH+imkx8ieK4DvrbefLkVV37uJu1tWwIGAKGgCFgCBgC1xIBY8qvJdqx2Bcli0ePHA0Y8sVi09aUIWAIGAKGgCFgCBgChsA1RsCY8msMuHVnCBgChoAhYAgYAoaAIWAIBCNw9YqbwS1eo2vqp9LYjjqyoYgeO2iQFhM6fvy4OpmPSR2W3XMpEhz9SlN/NhyiXjbL+4lGevTGEhlRP5tEV4SUlEdG9I9Oot60kSFgCBgChoAhYAgYAoZA/EfgH8mUkyHvhUiAjN5XsVz5yxjp8XDxtxeRLBshwh9DIodD9EJSH2GX6QowJvTmG29IXUTVJDG6IMcUDlEnfMG8+YGiZLifRqAXeokJRQxPzcA6L8K7SSV4hClVvIREcFV3qRIjb5Z9tLRenUGQG0ZZjIqBD9WXpRkChoAhYAgYAoaAIWAIXFsE/pFM+SG4B/wJ4eZr16ktT8L1WTAjzIif1WvUkHUIK0+3e+EQXZ5lyvy3q71w6rAMI4zS+wppLBYDDCAUDtGP9+ixYwJF6fnE+TcPJF46oTtIulDMCzdy9ErxDoIS0Q3fFHjg8BMl6HQhx7D2JEZEpEu8ZYisaWQIGAKGgCFgCBgChoAhEH8RuNx/XzwY68uLFql7NEqGp8AX8iyE8Kbv7xQpbpPqNWvIXPifPn7suIyB1xH6n/4GwUIY7S8d/GBTWk0/3tNRj24CyczSpVzNmrWkQMECKok+fOiwMq70g033b5QmM3LmkSOHI8yeUmt/2ZXwDU3/1myv6mOPBQL2JACj/MXevfLs2HHSo3cv9YNOn+ZHjx2VUydPSmFEM/0D0v2mTZvCB/cYKYeompRof/31N/CcMgru7aaoNPu9995V3+YRBoELBk6iOz5GNeSYSQzms+W99/Xc/Zkxbbq0hT/wdWvXuSTtqxTC1tdv8ESsuJkLNGwnhoAhYAgYAoaAIWAIGAKxhkC8k5RTNeWFBQuU4S1WrLgsQwjX33/7XXr27q2BTV5+6SWpDTUTRpBi4BdKpuvUracMOVFp1ry5Mq8dOnaEiksP6dipk7Tv0EGebNhAg9gshdS4a/duGqCnS8dOMgeBihjIpDvKMniOo8OHDyOQT8SyDA2+8+OdMgqLAQbZ8ROjWf0M/XaOtX/fvirBHz5ihEYqZHAWqsekhM9w+g3/+vTXkr9AAQ2kwiifpxHgpluP7lIAaaFoK3xkM3qnn9asXiPde/UMJH2wfbuGfs+AYEJ+ohu/W+Bv/NjRY/5kOzcEDAFDwBAwBAwBQ8AQiEcIxDumnEFmykFPvFzpMhqkZvu2bRpgh5gxHPZ7774XFnx0F8jgJwyAc//990taMLWvvLJMbkOAHxL9e3/yyScaLTNX7tyalvLulHrkH0bODC7LBQOjJKZ+4AGN6hgofOkkSZJbhXrclNQzkBAZ4ptuSiSUpDtyQVFuhdSbxGiZf/f/d6AfV57Gmt99+50kRUAVRx99+CGiWmaW3JfGzfQRw4bLh0jv1qWrhkpnlE5HDLhz8uRX7tKOhoAhYAgYAoaAIWAIGALxDIG/ucV4MrALCD1fuEhhWbxsqcyePUvSpEmLUOw7dXSM0Mcw6x6OPCdRn/vPP/8IXNOokXlp0qbBkb68j2g5phdD2Phdn+wKXN9z7z0agOXjHTs0jX/YFok638Fl/ZEwtVCIP1QxOQmVFTLmnMtf3l+SGFEKfzn7i5Zm5MQ/LvXBMaVKlVql6a4pRkSkbrijlClTajRL52WGajIfbP9A1VkY6p6hy+nxZdLUKdK6TRtp1aa1JL4lMdRVGrgmhNFQqY9uZAgYAoaAIWAIGAKGgCEQPxGIdzrlZKgHDRgoHTp1lPz5C6gaSHPoYg8eOFDooaRHz57QKZ+rbgh3fPSRZMqUGbrlY2TUmNFq2Miw8+fO/SLU/+7arZu0adVaKletItWrV5cqVasK1T6aQS+bzPC4Z59VXW72t3XLVtXzpj524SJFJE/evNq/v+zGt99WDyh79+wNGHTSA8r/wGi/+sorGsznPx9sl2bNmktleEipVLmKLiAyZc4s9LbSEfreZKSpGvMN9Mkpze8A9Zq3YJD6VOPG8sP3P8idMPjcgjZbtGyF8VbRRUf+Avnl6NGjkjxZcqlf73HVWV+6dIn89r/fZPLUqTLymRGyAWMjkdG/KeFNkiXLRaNVLgLuueeekOHp4+cjaaMyBAwBQ+DfjQCFMBTwcHf2WkUSpptefn9df9y1vlqim1/u1HIe/DYlSnT1LAfb4neN9mAcM3fDY0q0UfPvPse0fkzLc8yk2MCUc+c9crvu4Y4lLu5vuH1bufARiJfBgygppq/te++9NzATqnFQHzsU8YGP7GHnj4/k/wGSueeLwtWhpJkS6gv4z3Q/BZf150V17l5GObNll737vtSXCF9KHCtfJs5jC9tg+o9QtbkZEvVkUFM5f/68Hl2Z/TB4Xb1ypfSGrnoo4guc6jKhaOXyFdxOUE81ofItzRAwBAwBQ+DaIPDdd99B6DRA3octUTV47uI7/dixY9Kvdx/p3rOHlIcwp3vXrpIZnsD2wRkAyy+GAGbWjJkyZ85spGeWotjx/WTXLn3nz4P9lSPG7ejbq7ccOXpE2kMA9HC+/NKnVy91TPAcHCAUK15MbbSeh1BryrSpQjsoRxPGj1dnCufP/6rCKXoGO4U4Hz2795CbE9+sO8dUzezdp68kT5Fc+uN4GI4R6j/xhPyBHeG1a9fKvPkLYCuVXxl7OmGg17CH8+VTNdJTp05L/wH9Zcv7W3QemTJmUicHxGHG9OnCa3ofoyvjX3/9n7R5uo26PU6YMIGMnzhRHRbwWzygbz/5HrxA3rx55KuvvlJ8VvncCHMnuR8wZQyQMmXKQhh2UJ6EwKtps2byB77vU6dMkW1bt0nZcmWx47xdvZPRXo3OG0Y9M1I2bFgvBQsW1HZpQzZ46FCZjP4XAOcc2XPIM6NGSjJ8vykkzIZve6UqlRWLY8ePCe3YWsGtcahFwsoVK7Fz/nvAOQRxL/5IURUAfvnlF8IYKQ0aNFQ3x60x9/fhYW3Tpk1SAc/DwMGDlRd64/XXZeb0GVKxUiU8M0chfDwntFu7DTzL8GHDIHBcLUUgUOQz8TWcX/TBs0Cbu379+6szC/JC/vubFZ7ZjOIhAmAIjeIIAbxsvfRpH/Lwg7vqHvCD8/AjjVE7eEF5kLrHqI4VNgQMAUPAEIg7BOAhzEuXJo0H716BToYNGarnryxb5sGeyoNgSq+bNmmiR+yUap2e3bt7ENp4CIznDR40KFDfnXTp1EnLgWHVJJbP8FA6L1+evB48gWna2NGjXXE9uu+Uy3923Djvs88+07xHS5b0ypR6VM+LFCrk8RqCJa9Lp87az8mvTnpQEfX4fYKtk5br0a2blyNrNu/I4cN6zT8cx+7du7Us546Fh+axT1537thRr8F4e7CH0vPCBQt6YFz1HIInD/E5vCoVK3mQFGsa1EO9alWq6rn/D5hRbRMqpBhnJ50/v8GcN/va8/keLX769GkvU/oMHsuTsLuu+atXrfKWvLxYz+H5zNu7Z4+eD+jXT8vxz4L5CzziRurQrp3m855ERlUrVdbx+/NHDBuml61atND6EOR5iKvirV+3LtA/duC1DLzIaRm4PNZrLDC0vQrlynkQKnrwEudlz5JVy4waOVLLLF2yxONzE9X91YL2J14hEO90yuPhuuWKh/QXpOKr1qxWKfwVN3KpIv2uP4JVcEyIRqklIH0wMgQMAUPAEIgfCCRMcPGz61c/SHTz32odhw8fkupwuUt3vmMQ+4Lkdk15vmH9ekipj6gqJ6/9lOBS2wnkYuyMtGnTSv+BA7ATy+B0bdT+KFiSy7Y92D7VqFZdg9O1bNVKnBcvN1ZwLfiO/Sn8prG8G8/vv/+mLoqpGpoTdli0paIL30KFC0l6nycwSmvTpEkTcHrg5u7a4Rz+D5L4JYsXwxNZD50Sy7j8TRs3qgS/Rs2aAUk0VWFoSxVMrm2mX7jwh86N458/b56qfWTLflFCnCpVKrUpewX2a7S7ilDvjwvaLOu5dHdkxk03JQzstLt0v0MHrXzpz/Zt23Xnn26Qt8FxhaMBgwa508CR8VIqVa4MnC7ePzd/uoEmPfxwPj1yl5/ndNFMD26kAYMGKsbPzZ4tDGqY+ObEqi7ENiK7v1rR/sQrBIwpj8PbwZcSddP9L6er6c6vghNOO9RJNDIEDAFDIDYRoN4x1fD4P7Jz5ikjF6JccD1/uZjkXWnf/v78bcSkb38brOf/788Lbj+6+1AH7n4pgCEDR1uqcWPGKo6uHr2CDR54kZm77bbbXHKURzLZbHfPns/VXW9wYTLug4YMQUC+n2QoVCVo30Q7KUdk6CHJVtWZsbDDcowi8zu27yD79x9Q5o+OEGjnRWKbfroLDgvuvPNOf1KEc9ppcVFAvedQDhUC7T4Usd1MmTJFaMd/QXWUr0+fluHPPCOJEyfWBQm9sDm1VZa97777FF+q6jiiXdrqVavhXrmFVIMt2tXS+nVrZSacVpDmzX0+0Jwfx0BiJCdU1SGlz5A+UIJjJ9GxBIn4zsXCI0mSpKr6s2//Pk2P7v5G9bxGled/tv3lovsdhftb8bfp7yu69v1l/W0E1/OX85+znL9ecJ7/muVim/5ensd2y9aeIWAIGAKGwA2HwCgwOQzSRqpRo6aswW4g6RHoyNK+hXrBpF7Q1YUqhJ4H51V9rBrcwb6hee07dJSZM6brOf+QiXTtR5V3pX37x1WoUGHZseMiIxmTvoPHlTNnrrDmvRQOAZwUlB93R/QoRtq1c6cGzKP3LLq1XbliuTR8slHAvqrII49IFUjRSdQRDmUHpZlBf0YhaB0dDJDhrFW7ToRceiijBLoMYm+MHjVK3n7rLVkMiXWnzp213J2I3zFtxowIddzF7LnPyYuX9NrJAKdPn0Gz6BUsJkTd5x7Qf1/x2vKQ1ZxgKybtcofAb5dGpxDOC5rrhM4VWIa6+lwIkbiAIR6OnATcf7/YTqjFg6uz+9NP1eMZHTwcPHBQduK+MkDhu+9sVo9wbj6ufHTHUqVKySLEaKFEn9G/ST/88L0e/ZHAqSc+YdIk6dCurVBizmCD0d1f7kK0RnBCUvDv1P9bCc670t9wuL+VuOjb/2650veHf95du3WXLt26Knax9ceY8thC0toxBAwBQ+BfgIAGY4M0lcR4CwzGRkoCSSnVG2g4T6InKao1kILzWK/npeBnt4NZqfd4PS1H6SElwDTOI0WVd6V9+8fFwGq/QTpLiknfweNykli2428/eN6MceHwoaoHia5zz507r+dUWaFBI1UYlsO4H7rgQhUSJ5EjY8gYG2dhmEjjzKdgwOh3TuDKeXJRgvfbJfe69NYxGyoQNbAYouqFnyhp3bxpswwZNlRoEFq3Vu0Aw+na8ZfnueuH563gipeGhTPBuFMqTaaLKhtMSwUVERIZP0bYdvVCHSnRrf9EfS3PPyzjytG4cRqMNOlVjUabTtoN/W+pWatWoI6r5z+6zOo1qstkMKxHDh/R4INk8CmB5rNGFRTXlzu6ehxXQng0c/eL6UePHglI/l15t7Cio4aFL74oE+CGmMepWHCSgaejCui4q/c4eotzFKjvk7oGp3H3hEz5NniJS4tFGqW5ZPRpbMrdAhq20pCVRK9tHbGgIl6kqO4v84sh4vfmd9/l6WW/06ie5Sv9DYf7W4mLvv3vlit9f/jnzTHGNhlTHtuIWnuGgCFgCNzACFAVgf/DIUoHwyEn/XNl/e1HlefKhzpG1XdUeeH2HTwu/xiiap/MKaVt06dNU/UKMuQtWl2UVFIqO3vWLPls925JCL3hJk81gQpkHpkG17ekD//zoUwcPwHS/R1apj+8gDiiCgiMEvVy+auvSb78+eSVpctUP5zSXwbRI2P+2quvuiqBI7190FMLJa33oVyDhg1l8+bNGgeDhXhetmxZLe/vZ9KEiWBYE0AC/K5KZbmweRneYmDsKS2aNpNSpR+FdPqsepMpCWkvJfUkGJJi/J8hGOA7gTbpPcYFxKO0/v/O/J+2TVfE9EqzGNG9+2D3pRF2EegZhUx1rToRpf4wlJRPERSQ9ArK0+Ww0/luDxUcqui0hxS5Zs1a6uGEuPSDVxi6KmY/JB7JqNKVMIlqoH369ZVnx46TvvCSkzx5MuFcOFeOmXiQnh33rKrDvANpOOOc0PPZm6+/oe6YCxUurF7XWI5RystXrKB4cqz79+1nsixbskRawnsLPc1xcUbiYol90U3zsxMm6DPz1VcnsOD5RiXvk8B40wvL3Dlz4C3nNKKCF1Q9+W7du2sUcW0Ef0LdX5fHBUNUkvuonmXXBo/Bv4eofkf+elG1H1Wev42Y9O0fl7+N4PNw+w6ud7XX8dIl4tVOyuobAoaAIWAIGALxGQEygjeBCfczCVRPoMtcMpyUgMeFXZDrw2FDyS4l6UynBDaYwXHlYnpkW99hN+B+SMvJwMYWcbxkRB3THNN2udvwDaT4XHw4iXs4bVA1izsU3O24nkQ3mXSBHN2zQf18GsPSxXRc3N/ricGN3Lcx5Tfy3bW5GQKGgCFgCBgChoAhYAj8IxCIqFz2jxiyDdIQMAQMAUPAEDAEDAFDwBC4sRAwpvzGup82G0PAEDAEDAFDwBAwBAyBfyACxpT/A2+aDdkQMAQMAUPgcgRoQBjbhAiSkTZJjxf7vvwy0nzq9dIzRnwlevnYc8k4NK7HSB1653YwJn3RmDI6ov46jThJPKcedWTEe+Lov//9r5Z313Y0BK43AsaUX+87YP0bAoaAIXADI0BPIgiRLo2eaKBeN65kqmfgkSIyYiRIEl0L0rc3mbLYIjJwjRs2CrjLC253+NChMhJuACMj+qleMG9+ZNmxku7mH9xYVJi5snSz1xIuBuOC/OOiN5HFi16W8Qg+9ML8BWF3t/bNN6UOPKVERXQxiZDycvPNN8u6tetk0sSJMv/5eRppNLheT3gleRRRrmvDDzldNtLQcyx8uNMNpZEhEB8QMKY8PtwFG4MhYAgYAjcoAoxqTBdxxUoUlzx58sR4lgzE8uorl7vxY0OU9A7o11/bJFP2CkK8x8SjRnSDoR9sSmq3bd0asmh1X5CZUAU479Fjx4TKipU0//z9DUaFmb9csI9vf97VnFNqTWbX0bIlS6Vs+XLqkrFR4yddcrTHqgiUlCjRTVGW69CunTxWrZr6Ap81c4Y0atRI2rZvBxeDSyPUIyb1n3hCtn/4odx/3/2y+OWX1YtJCwTOGT1yZISydmEIXC8EzE/59ULe+jUEDAFD4F+CABnlRDdd/rl5YcEL8vNP/5Uvv/hSRoF5ZajwzZs2yT5EVzx08BCiSnaSgQMGaHpu+OsmE8pQ88xrjaA1x48dk7fg05qBaxjkhe29hiiYLDdr5kyNepkixW3SGP6+t7y/Rf05V0ZwlTWrV0v3Hj2lTNkyGs2wc9euAR/Z/lvywfbtwmBJDAJTAkF7HLE+mfVvL0WupFrGmNFjpBwYz8ZNmmhkTEbf3AN1GvqUJmP+8qJF8uOPP8qB/ftl6vTpWv/jj3ZAfeRzafP00+ojnO1TajsePq+Tp0iuZZPcmkSmz5qp/raD5/TW+g2B+RMPLkzYhx+zO+Erfu3atfIz3PnRP3eBggXcNHQB8+eff8nQwUM0ImmPnj3VFSN9bmfKnEkY3n7JsmWKF8d9B+5PR/j+Dr5vbHs1gh198823UrpMafWv/RHqvooIpnTtuBu7Ja+iHQbq+R07Gv55Z8iYUYMC+dunv3HixWAvvJeR0amTp3QOzqd00WLFpGP7DlKmXFlp0vSpCNXyIpiPoyxZswYCJNF/O4MIfbF3r+TImdMVsaMhcF0QMEn5dYHdOjUEDAFD4N+NAJmtDevWCRnic+fPyc6PP0bAmDMqwSQjnD59OjmF0O2Vq1TRaJDFEdDl9TVrpG69epIrdy5Z++YbUrtuHbkVUTlZnuWoKkNigJbff/tdeiLYzDEw7jPBBFdAwJZdu3bKw/nyKUPP4DKkpyFpzQjGMJg+gkSVQVso2WXwldOnTmkR+queOnmKDEDgHkZaJDHoToYM6YVh20l/QtecwXbyFyiAoC4nVaXmBYSjp6S2WLHiWmbis+MlXfr08CF9q0xBe47oJzzl3Sl1wcHw9gcPHsQi5GDIOfnnT4acxIWNw6wYmNQO7dsrI62RWBs2UH/kri8eiX2rNq2lNgLxDB40SLLnyCHnkZY69QMyGtLurVu26OKAAXVmz5wl+8GcB983LlJ4HxhyPBHG8Xj9+pImTRo9MhrnAw8+oAGJiFPwvN9DNEky5K596uD379dP2oG55r2JiinfsuV9SfvQQ4HpdO/RQ8PPv4jFGdsLRWyPi40nm1yMGssyD6GNTyFJNzIErjcCxpRf7zsQg/4Z9CAyoyPqPjKoAvUpg3UqqWsZFVEyw8ARJL8RTFR1YpJ3HoEe4pIoGeKL1oWvDtVXdBiEqhOTNH6oafQV1Rhi0t7VliXmTqcztu4pnz8aRpHiyzyvFierf/0QYECZdh3ay8gRI/Du+lWN8zZBSk7JJalTly5SAvq/fmoHBnPhCy/KwQMHLzPmY6CUmy6pOmzftk2luqxb6tFSiBz5nkpUkyRJqtEgGQCGzCipYMGCAampJlz6QynvSUhQly5erIwfJbekjW+9LZS0klKmvFuP/NOkaVNZimiWZFozZcqs6QzJTeJOQbly5aVc6TL6jmXI9qPHjkrWrFnAMHeUocOHaTn3h4FhqPbDIDH3p7ofwXLOI3T95XNy5SM7Hj1yVP6L9yMZZuJKBvY/H3wQoTil0Q8++KAuGL46cUINJhlsJmeunJIte3aVerNeNjDUb218W3ckgu9blapVZRHwaQtpPVV2IqNQ82bUSn/7vI8njp9QRp5S9kSJLi42QrW5B7sVHL+jEcOGyXjolNesVVOebtXaJUc4zp83T7r17BGIsMnM29AP77WRIXC9ETCm/DrfAUYmGwV9tux4ydOgpUO79ggj3DCCQRSZq84IEfw0QvA+jzDJtSCdWbp4SWDkE8aPRwjgsTJi2HB5vE5dlaoEMnEyeOAglRb508jEcku2QtlyKvV5ZvgIaQxdvCGQlNAApiM+fhwTX7J80ZV59FGZM2u2vwnZtHGj5MOHo2C+/Np/ty5dJVf2HBptjQzqRIQFrlu7jiyAhIht0wiLDCK3HDkXtt+1c2c9r1m9umzDR4e0Yf16zWO+/wPCsNRMq1yxom790oiKeFEq0hwfRLYfGQVjQOlZfUjc2N40hComUTLGj+b6deuxnbpScmTNJiWKFpN+ffrqvXEhov190MqfWNGwqFOHjnrvmF/8kaJCPDhW9jFsyFDFmoZL4yB9YloDSJO6d+2mffJDQaL3iGpVqmr+kMGDoS/bTzHetXOXGkjlzJZdSkLSRk8GZIwH9u8vLZo1F2fUtX3bdqlaqbL069tX5iD0Mo3rGkI6R4psTNxW5vPFMXWCxJHbuL2xjc3rVi1a6P2iAVXP7j1UJaAptufJsBgZAuEiwAXdX95fgeJ8xij1pj44Q8VTqsoyOXLk1JDtfL75TDPkOZl3Sp6Z3xLP+lPNmkrRYkX1mu8xthssiCBj+Amk4iTWoypGZBRqgUl1BjLcvfE7oiR/KN6tS6GjTMFHqtSpZOfOjwMS3D//uGhYmi5dOsmO3yfD1dcAU+iIY6RQoHCRwrJ42VKZPXuWXMB749wv5+QEmGAuEDZv3OSKB46s56fI5hRq/g4zSqj/+suTo0eOaFNsM9SuADMpnHn44XyqbsL6jrJmy6r3hCoiDAH/8Y4dl923I4cPywbcq9y5c8vkiZP0nv0BXIg9iWP4C33ffffdl807uH0uIs7/el6OHL445j///EPbCCVwegiYs7wjfkMo6R80ZIhGvKRajb8e3+vcAShUqJB6nXEY/wwd+Hz587tm7GgIXD8E8FAaXWcEPtm1y0uXJo0HplJH0qVTZy97lqzerp07PbxQPDC2Xv68D3v4eGj+G6+/ruVXrljhgbn00qd9yDt18qTmPTtunAfGLjAjfNy8LBkzetiWDKTx5Pm5c7UNMImBdDDAXtvWbfR6yeLFmv/aq696R48e9bB1682YPj1Q1p2ULFbMK1yggF7ipe5NmTTJw4vQGzt6tNbf8/kezTt9+rSXKX0Gr0+v3nq9YP4CzcdHV+dYKH8Br0ihQh5e4pr/VOPGmg/mXa+JA8+JEwx7NK17164emEc9Z9/ELRRFhgE8D3hgvIFfWg8LDK3KcTvKmimTBwZZL5e8fBEPbEG7bD2+smyZB0beA3Ou12BY9YiFjB7B1OqYYfjkQU/SW79unQcXaprm7jfnw3nhw6Z1OA9en/zqpIePqYetYQ8LBs0rVaKE5vGZwIde7z/vFQmGTF7GdOm9QQMH6jX/nDlzxiv7aGm9jmpM2O7XduHFQMuufXOtXs997jm9J2DovRWvLde8LVu2eM/NmaPn9scQiA4B6BN7j5Ys6VUoV87D4lR/syWLF/ewu+QVLVzEa9m8uVenVm0PC0MPQgqva+cuXu4cOb1mTZ7S3xV/M3zP8Jls2+ZpD0IJfRdgIe9hYe5VqVjJw8LYe/utt/WZ3fL++x5/8xXLl/cGDRig7wu+H9/ZvFnzeRwzarTHZ5rvtvJlynrQfw5Mg7+ZBo/X9/r37etBuKDpULHQuvyt8v3WumVL77HKVTwIG3QO/G2TIPX1hgwarOf8gwW49gPpuVesyCMepO1eu6fb6ruc7588OXPp+D/e8XGgDnYtPQhmdP47duzwIBjwIHQJOSdWcvPHgiHQhh8zCAx0jvB8ou/nQCGc8JvC+hDseHw/8Jtz+NBhxRsLfp0/Fkfe43Xr6lj79u7jQbBy2X0bgW8X8/j94Xfpm2++8fLlyetNnTzZ+/STT/Qceusedtq84HkHt8/xQQCjY4BgQ99pb77xho49+DvG8TZv2iwwpRXLV3gQcnkQGgS+V/wmsR7fo3w/8j4ULlhQMXYVG9Z/Qp9Hd21HQ+B6IcDVvtF1RoDMlJ8pJ6PMa74U+VLmOV/+jiDJ1jQyjMePH9fzAg/n88gwkjnlS90RX4pkmsl8kjEkkfHNmyuXB6mrKxY4kmEkkTljv3y58WXLj5OrHyiME35c2T7z4RrMg3qNfkjJ0PK/+6ixDj/MZID5MX7xhRe0fceU86PL/2S+SZMmTNSPb4aH0umCA9vagTHxA0wiU84xkvGFHqJHXEJRKAxYjh/It996SxctZALIAPMF7ihbliwBppwfavbFD7ifyJQzHS7f9KPvxuAWF36m3NXjB5p1HFPOeXBhRQaBRKaE+WTSyRiQ+SazQuL4yBAwn88HF0u8RySXDgM0vXZ/3D2NakxuHmTOSZCMax9cvJH4IcucIaMHF3D6jLl5aqb9MQSuEAG+H/h88+ieTzZFxtdPLOOI7xiSe7fw6N4brow7kjmPjkK916Krw3y+E8ig+plhzuGc7/3rb4cMMNQ3/En6vnTziJARxUXwnCKbvx8zjimycbGr4DZDde//rrBP/33jNd/r/jJOUBGqLd7D4Hn767IO7wv7ICNPYnvu3mvCpT8U9Hz33XeBJLbrBFhMjKyeq4DdCg+7oe7SjobAdUUgztVXMLvA9hFeWIFzvER1a4tH/veXC87z1/OfB9eLSZ6/bFR9B+e58cZm38H7JE5fkaoMX524qOeWPkOGQLF77rlHtwdPwoCIHge4VYcXGCzoBwskzPK/S8EuqCry44//ldaw7KfhDn25kvAC0/JOdzPQME5oiOOneXOfVwMjpvl19/xlfsE27BOP1xdIRFR3kVuceCmqnqDfPdl9992n9/nUJYMptgEpr6rj0CJ/HNRwEib8+5Fs1rw5npE/5aWFL8k2GBuVggqNn7i1nA3bxdzihrRHvTb483keGQauXH5sWY6A+tDZsz9L61atoGd63mVFONL46u6775FDhw7qFrbLrFO3rhp70fsCVWjGjRmrc/Rv/7qywcePYdgGKQ+28V+Xsc+OE25/+4leBPbvPwCdykQBnVe2OwnqNpmzZBFInOSVZX+rkdAgjBR8X909DWdMq1etluFDh6HdZf6hyIRJE1UHk2o2WJjI1zDA81O4v4v49Lvzj/laj8uP3b/5XL2yUBccOtf+55O61H7ib8AR9aNJ7t3Co/+94crxSHWJ6Ciy91p09fhOoO419b8dcQ5JkyVzlxGOiRMnlnvvvTdCGufi5hEhI4qL4DlFNn8/ZhxTZONiV8Fthuo+mW9e7JPt88g585g8eXLxl3GGp6HaCjVvf13W4X1hH9QrJ7E9d+814dKfPv36yiJ8I2jXQ+JYiLWjyOoxnyo79AQzcvQoV9yOhsB1ReBvDiiOhkG940ywMOd/bAkGznk9CkEXXB5dQLnz4LxunbsE8p6EvnXNatUD17NmzAicR5V3pX0Hj+tK+g4eF3WP3VxpxR9Mv1x6uaROlVqKw7dvggQJ5ZezFw0xWZYvEi4W6I2AuoI14CuXBjgVoL9Mw5fFYHRJ1IGmMZOrS91rMiJk6pMnT6F6yVoQfxjgAlJpKV2qlFr7u3Ra5fM/KZgRc2WSJ08G/8Cv6gKBaalSpVJDJ9evK0fPBPwoZc6c2SXBv2x1+fH/flS9wJRBH1D6nmX5lxYulDRp0kb4aLOB49ALf2PdWrxQR+sLfOSIZy4zVI0Mg8AAcEKPCE2hq0oPB/QiEBn9cu4XoV5l0qRJA0WwfSpToOv+EjAn87sS7tjIbIdDNDC75ZbE0Df9PWTx2XOfg45nXs3zY8+P11wwx/xYTQaz7Ch9+osLt++x6CJRR/5h6HhCwi3vbH7HFYvySAOpwUOHKCauIJ+Zm29OLO+8/54u8KinOR6eI/wU2e8ivv7ugn/X4b6LgutFNu/g33zwe8qPnZ0bAobAlSNAbzPq9cW3cAu3tVuxqKIHG/+CMNy6Vs4QiAsE/hY/xEXraJNuiTa/+662fgukAr9Bekvij4ArYfotJd0OZqfe4/X0PDiPFuxdu3fTvCRgiP4Ck0BJLOlOSCto+U2KKu9K+w4eF1fgMe07eFycT89ePXXMHD+ZSxIZbdLbkPySGsPPKpnSR+DvlgaPZI4oBaA7JxLdcZ08eVKt44cMGyrPPf+81K1VW5lGbOEJgyUMHjpUy54584NKVqGbp0x8/SfqC6WeUI9Ro5dadWrLcLSRFcZ9ZJrpnoxECWJLSJBJQyGRnzN3rp4H/mDMHDYlVXRH5ah6jepgGCepsU6GjBngv/Yb9QXL+82ybq4p4It34pTJ8kS9x6VLx06y6vU1KuX4C8ZblJKw/KxZs6ROvbpqQMr2Xd3JkyZDajxZGj35JJj2NGqkyPE6ig4D5v8BTEmDhgzWcNgnjh931dlRoK+tCB7CHQj6AvYTI9VxkVSpcmVZDj+90KOUhFhEOXJjdUemY59es5k2Gga6sClQP8GFCheWdJCW+8u2Qn9QFVE/zJTo/3YpfDRdeE2HH+amTZ5yXcHlWAMw35tkIxbCNHaiO7f74HHhG/hJpj9mR659d2S6Ow91JKbQzZU316+T/jgmwjMYHPr6uefnhvxdxNffXfDvOtx3UXC9K30fuHthR0PAELh6BPhN8e9YhNtiVLsH4bZh5QyB2EQgzplyShX9qhfBg78rZcpAErcD/eTP86cHn7vAAcHpvI4qz99+VH0H5/n7iar9qPJcG7Tmh+GmXsKATj2RkAmjJJSScNLUGdPh+aK7NGn0pAZmeA1R64bC0wgZQRg1qQ9dWvxTUksmrEHDhjIAXjkYYIOqJFRxcS+fsQhwQQv5fmCu+BLr07OXSgoY2OO2226XfPCkQrdVZDZJ9LLxxd4vtI/grdfNmzertJ1W9vSYwvE4ag9vHlSpad+urQasoJ9fqnr0G9BfvXnQRRkJBknqfaF9xw4yAxJneiwphwAcb77+hpSGJ5RGYMrPgOmldJjeYkgcD/0R33xzIumCQBblypdX5p8LEL8UOyoMGFBj9cpVchheA/oBK26LzkCAjvZPt9U+GMmPqi/0jkBsP4FqTm94YXka8/FTAnwMZmPRwPEkBLPaBEFKXHAOqvPs37dfiy+D6lBLeJyBjqSsWLFC07gggi6nuvCiR5N2bZ6GX+VesnfPHs2HXj0WMAlwL95Fu09pwA4YW0oZqI+wDwYzIZ6O6A948tSpMgGhrH+C68KMGTPpPfD76w01Jj4jTpL+LiTqfD6ga6/NbtuyVcqXr4B7cEbaYHFWvERJXQhSdchP9CDhp6ie/fjwu+NYg3/X8WVcfhzt3BAwBAwBQ+Dfg0ACSMYuimf/PXP+x86UEnpKZake4ohMPfUaYSSjkvRgRsOVi+xI6Tvdf5HpTYkFUmS6mZHVjy6dUtZvsMjgYoFS/tgkzplMODy7wM1V6lgZO9ukbmS45MpzJ4DqJFcirQm3r8jKuTH486nuwp/2PdhpiUq3018nsnO2w+eM948+4f3PX2R1LN0QMAQMAUPAEDAEYoaAMeUxw8tKGwKGgCFgCBgChoAhYAgYArGOwN/Kr7HetDVoCBgChoAhYAgYAoaAIWAIGALhIGBMeTgoWRlDwBAwBAwBQ8AQMAQMAUMgDhGIV0w5dVf3XDJy888ZwQPU4M6fdrXn7Mt5UXFtqTcOeOTwE3WiEXzAnxTr59QTJwX35UKnx3qH1qAhYAgYAoaAIWAIGAKGQLxCIM6ZckQTlBrwN43ohYKok9K9azdp37ad0AtIMDEYSstmzSIkk2FFeHX1xhEhw3fxf2fO+K6iP921c5cGrKHHEEf0Tz1k0CAEThmqnkSYTteD9EOM8O+CsOKuaKwe6XmFfp/pFaMZPGwgjLqsgms90i64ykOY+1jtzxozBAwBQ8AQMAQMAUPAEIh/CMQ5U06XcdMQ4Gcgok3S7VyzFs1l5uxZkr9A/svQqFmr1mVp9CZSrNhF14CXZSKBbvfGjrmcwQ9V1qWx7wwZMrpLPTKID13q0dXb5ImTNI0RNek3e9HLi2XSxIkBP9kRKl7FxUzgkixZcvVNTan9wkWLZDB8ga9ZvUZbZTCgL7/4Qv1nX0U3VtUQMAQMAUPAEDAEDAFDIJ4jEOd+yhmMJhSR4WRAlg//8x/1p82gLFmzZYVbv780kMrevXukR8+e8kjRovD//PfagUFLGBZ3z57PpQ3Cxy+Yv0A+gkSb/rQZqXLfl/sgYd4pz4wapa7gKH1+DX6hg93C+cP1UtJ+DAF8qKbC8M5UYyEz7ILmMPhNsqTJIoT43b9/vzyLwC8P58unvsLz5M0rGRBRcdmypRrw5vH69VUVh/656RKQ/ZdEtMzSZf4O4kIf3W3btVN4iiBAEOmrE1/BH3ZvPecf4jR+3DgNDBRItBNDwBAwBAwBQ8AQMAQMgRsKgb+53eswrdfXrJG69epJrty5ZO2bb+gIGBaeYd1r16kjg6FOEkwTEd47Xfr08M19q0yBdJvML6M58jgPES2LPFJEnmjQQCOG0m90r969L2PI2aY/rC6DhuTMlVPmzX1e1UgYPIeRNh2tffNN6YggNX4/21xsnD//q/qmfgFh4BnCnm0Mg5oOz0lU2SkBdRQy1gy9XurRRzWdf7gIoF673y84o21yDnOfey5QjpEbP/3k08C1nRgChoAhYAgYAoaAIWAI3HgIXFemvF379rLwhRfl4IGDAWNKhrt+8MEHpf4TT0BqfELVUxzsZJaPHjuKUPBZwCR3RFTLYS5Ljwy33uyppvLmG28GAuEw6mE49NLLLyPQyj0qvb/77rvlfgS7IdHYkvreTZs302v/n6RJk0imTJk0YMxdKe+SDBkzapAcLixInAsNVxmghwsHPwO+BxJ/RtD002PQvV//9luy8e2NGgyIeVxY/PDD95cZpfrr2bkhYAgYAoaAIWAIGAKGwD8bgWvKlP/l/SUevJk4atmsuTzVrKkULVZUPY9QcuyIBp4PwzCUTKkjMsvnfjmnnlgY1nvzxk0q8WaYd3ouSZAgoWx8Z7Pmu9D1wR5WXFss7++PDDQXAh8j9PmoMaO1GPXVpyJsOUPSszxVWvzkr89z/zXLMez8SUTLZMTFcQh97qeH0qWT/yI6YjDdeeedki9/vkBUSY4he/YcGrUzuKxdGwKGgCFgCBgChoAhYAjcGAjEuU65g4kqIN9/9716E8mUObOGdc8CFZBuXbrIfffdJwxTfvLkSUl5V0qZOGGCnD93DkaXffRIRpnqJk82aSxdu3eDN5Y28gCY8hEjR8oDDz4APfIvZca0afLee+/LiePHJG3atFAlyQUJ8w9Sslgx+RzMdKJEf0/10KFDshcSbDLs1PEm4//O5nfkgw+2qx459dipX97oiQYI4X5K3t7wlpw9e1aenz/PTUdD07OdjW+/rW3/BLeNG996W87/el6++/Y7tL9X1q1dKwege75t2zb1HjN46BBJhXDwJKq/0NUjpehUi2nZvLlK53neCt5mHB09ekxKlApP2u/q2NEQMAQMgbhG4H//+58KK2655Rbtyq/eF5O+acPD93u49X+EMIPCi9gmvotJ4Y4jtvsPpz0Kafi94lgpBPJ/13799VcV3vh3ZMNpM7oyxPuOO+7Qb6K719HVic18Ph8U0qWEmikpeN6x2Rfbiisc/eO8cOFCSLVaf5nozikoJA+TJEmS6IrG+/zYwCPeTzLcAeIBv66EH4D2jx9eYBzff/994DzUCev4y4OBDrTxA+r68/ASC9XEZWlQU7ks7WoSzv3yi9ejWzePx6+//tpbvWqVt3TJkghNLl70sgemXtPwgfPOnDkTIZ8XI4YN8/bt23dZuiUYAoaAIXC9EBj/7LMe3Md6fXv38WrXqOlBMBLjocAVrDdrxkyvcMGCgXdc9cce87JlyRL4DzubQLt8jzK/weP1vZ7duwfSwzmBEMaDa15tt93Tbb0K5cp5LyxYEKHqiuUrvGVLlwbSXnzhBa9yhYr6HueY6tSq7XXr0tWrWb26B3e6Xp6cubyHc+f2IHzxJk2YqG1zfKNGjvQer1vXa9Gsmbdt6zavaOEiXs5s2fWcjfM7UL5MWY9ze6RwYW/QwIFe3ly5tBwxzZcnrwdGODAOMODeyGee0bmzbKcOHXQsu3fv1jKwzfKqVKykY+jSqZPXqkUL7/SpU/odHDt6tI6rTs1a3hd793rHjx/3mjZp4o0eOcp7/733Ix0b8Tp48KAH98XaLusM7N/fO336tNehXTttE66K9RkoXaqUN3vmLO2T2BKrLp0667WbBDyZeVUrVda8qZMnexBoKUYsy2sSnD54ZR8tDTzX6bUba8MnGngTxo/3nmrc2KtVo4bOQwvgj388xIWYRob37k8/9aZNnapjqFalqmLQuFEjr27tOtpcZDiS33A4PvH44/oMcJyw//L43ea9z5Q+g5c/78MehHduaF6TJ5/Uvtq2eVp5AJfx1VdfXfb8FivyiNe1cxevUoUKWmfo4CH6jPB5dHhzflDh9cir8Jz3mdjxeVzy8mJtPqrnjff0agjOLTyOEyrCEZphu+53O2jAAH2eC+Uv4MGNtFem1KM6n359+irOxNHPn7GhUHhE6CAeXvD3yecyR9ZssT46rjqN4gABPmh8INu2bqMvabhZ9M6fPx+hJ6x09aN04MCBCOnuYvOmzfpidNd2NAQMAUPgeiMAI3UvfdqHvFMnT+pQnh03ziPTFVPix5wf63Rp0ihTTgFKxfLlldGbOH6ClyVjRo+Mu6MpkyZ5mTNk1H6PHjniksM+9unVW/siY0PmNcND6ZRJdQ2QaSRT5IhMOxnDPZ/v0XrDhgzVLL7LSVxMFH+kqJ4fPXpUy3CxwPc8mWI4KtA8prEvMtsOMzInZJKXv/aalsmVPYcy1ryARzEPu6iazj8U7vDjf+Tw4UAa2yRT/u4772i/z8+dq3lkeDgHLjogRfWIMfEd0K9foC7b5z0kRTY25nXv2tXr3bMnTz1IqpXR5vmSxYu1TcTQ8DhvznXG9OnM8l5auFDzVry2XK/9f4g5x8JvIwlxSXRe6dOm9TZt3KhpxIXE+04GkIsNx8Txe9moQcMITDnLkiFlu/DAxsso50T8WbZzx45alvOCQ4ZoceSik/VYlkRGmde8J/xPzHm9YN58zSe+ZPyZRkz8xIUXn2M+h44ofCOR0WYd/hagLuvBQ1uE+XGBxkUEFwC8vySo6mqdlStW6HVU91QLXMUfMt9kRoOpV48eOgZiQYaVC6y3NmzQBSDnAxVeXYjxd8BFip9C4eHPj6/nXCjzPRjbdE11ysOV3t8I5Wisuvm9d6VPv77SBSo6Xbp1vWybiVu2bdu3kwcuqbQEz7tIkcJqSBqcbteGgCFgCFwvBPje8mAfVAOB1SBNVpW/DBkyqCtZum8dgpgUkGzq8BiobfDAgQIpoKoZ+secI2dOyZ4jeyCJahevLl8ugxCroUTJElKseAl1UcsCYORkLdQBL1z4Xd59911VA2Q8CfbVArZJVAXEbqSAGZXpUGUsidgWK5evCLTNE79ax4ULf+gcXNr2bdu1jf379qm6Ics/1bSpqkLy3E98l5NYl1iQ3JHnDEp3+MgRdenLa6pT9h84QH78kYHw2qjKAV3yZs+RQ+rUrcsiEagZnAo4Wyo6NwDjLoUKF5L0wNhRv/79hc4DnKcu2l+RqHrD84MHDqirXjc/d7xYJmFARSeysWlj+PPKsmXSumVLVQXt27+fJru5ghmRObNmy71QP23cpInmuX4SJLyIi2uHR9p86VH+xmzKtKmqxsHn49jRowG3w3RxTNXROvXqBsbKfidMmhhQAdXG2O6lvty4opqTK8O6dIWMBYZ069EjWhwZb8VPVOPhfJjONhs0aKhjf/HFF/BceYJFidR9/HGt4u+TatqKdlYAACdXSURBVLBbtmzR5xjMeqDJASE8zWXLnl3t0vzzY0DBnR/vkBw5c0jixIm1foGCBfVI73GkqOavBfBnB1SCsdgT7D5oQEeqQ2Fxo7+fdm2eFiyI1DU1y5+Aww0w4/Jkw0b6O3Rt+I/+OWKBJhwTXUC7e86yGeEII2OmjLJ+3Vp1oMG0yPBgniP+Bvh8YLEhWJDId999J9id0LFiESNYGOs11ek4dr4DGHCS6W+/9ZYcPnxYsLiT4UOHSX14+4MgQPhe6tOrl3SEsxE+45/t3i0QCOgcGcwRO2JQP16n5fzvL6o1s4+6tWoHgky6ccbWMeKTFlutWjsBBOi+MWmyZIHrUCeR5UeWHqoNSzMEDAFD4FogwI8+GWfqNw8FU8wP+/+gz7wVzAaZKQZAmzFtutoIDR82VA3V0z6UVt29Bo/P/zFPnjy5eqximbVvrpXHqj0WKE4G9NHSpcHAJRZ62aItD4OvDUL/38IeaTTsi2hHdOjgQTXWJ+P8cL6HA/X9J6OeGSlfnz4tw595Rj19MY+MAoPakRxz4x+bZoTxh3E3Bg8cpCXpPMARY16QAWd8jf4IUBcuMao0iZj7iW58qVfPxQopfYb0gWzaaJFooxUORTY2BtLLli27Yk2mZvOmTRGaI05Qr9A0/1wjFIrmIn/+/Gobdvbsz9IaGJ07f15r0CMbyXlB04tL19Rvj44im5OrR5svLirJyCVNmjRsHOnauHnTZvL6mtdl7LPjJF26dNrkHXfeIbXAMHJhQUaQut533PG3kwrXL1SXZCoWjXfBdu7llxZpOeaF+6wxjgnJv0BjfBbWP3nq7/sd3fxfXLBAf7/VqleXlSuW67OSE66p+fth7JWKlSops8q+RuF3IvDB8cLCF+XOO6K25RiK3/7zcOnM8fhjwbAdkotb89lnn+t1ZHhwkeD+E08+e50g3Ny182PY/22W3Hly61irVK2qi+epUybrHGhjePjQYQ1WmSVLFvzW+uliACrRuGdrhPFwqlStogx56tQPCANWQgVP+HvKiICSUHGSRFjY3nb7bVK5SmUJfn9B5VjWr18nLy56SQoXLqxziO0/xpTHNqLWniFgCBgCNzACUB2RGjVrylsb39YYDHTvuhgSRzLKZNTnzZ0rPXr1hKTztH7kNqJckluTwKvU5VGcQ8FESSPbYnyHyOg9SMvpkpaGjsmSJ1PDesfYlK9QQepBSulnXPztUGq9YvWqgHSXBvtkAnfu3KkGje/CgxfneCXEIHCTIf0l0VkB8XA0CpGn8z78sKzAbgAN+MOh9AhIR6IjhFBUCtJIEj18OaILXVJxxMhwEl4aBTr65ewvyoi6ax5Dje04Ymm8gcXKyNGjdbE0csQzysS6eownwv8kLnIiI3oto4QxMqLXs6bY7SBDuGb1ai3mFhl0DkGCOohAn1yg/iDjwozgHWpO2hj+MKbIYgT6S3hJeh8djq5eQUiAb7klsUq6XZo7coeD1LN7D6mOsQYTmcx1WGxu375d0qRNozsnUDkJLhbldfESxcHwJhTeQ0c0guVvpnjxiJHPo5o/gysyBsrSxUu0Gd4ft8vBsd1y6y2Be/0F7l/Ku1PqjkaK21K4bkMehw4bprFnQmYi0Y07depUynSHwoPPciYIM91/LsI7deksUB/TZv1jpRc7St9Jh/E75vPOeXARz3T+Fvgb5LuBMWz4TqEb60OHDupuFCOqc9ePzkJa4lk+d+4X3eXLgV0s2Phd9v768ssv1JiaAgQy8nFBxpTHBarWpiFgCBgCNygClMBSEk6m9zkEO8ufv4AyefxAUkJYsFBhZXgp0UyR4jb9+FJNL2vWbJchQkaF5HeVy61lRlF2KhyXVUICmVuqoJAo7cz7cF5lTHjN6MuhiIwLyR1dmYUvvihTZ0zXoHPDRozQ/LnPzXXZEBKGrsd2XFvuSOa3JGJj5IDqAVV4qFbz2yWGlCoPsyFFvOeee6GSEfHT628r0DFOqKaQM2cuoXoNDF0DWdDBBgNxLsD8bduyVfOIJxcXlHCT+aCEPWHCm4QqAI6OHj0S8F4T1dgmT5qsaitkii6qmSSK4LqYc6VElkQJKcnh4P11ETOmTZs6TRm6QN4lPKErLn9cuv+DhgyGa+TichPGSqoKdQnG8di0aaNeUyLNe04GKpjhDbR76f5GNSd/WWJT/4n62r5rMzIc3fPJ+qMRyfvee+9V9Q4uFkjsOmu2bFK0aDHJDAktmf5LwwlgQgacOzgMbjgVKh6JEt2suzLuN3CxncufNf+Y2e8jWPj954MP9HfFOm43xc0hqvmzPIk7S3xOGHSRxD5cP5pwMVX/ZsyYCbtR32o+PdM4LP4u57vvGH53qAM5Bh+VLraEI5ljRl8vXKSIYhUZHvydbMaim//fQUR0ureGYbJ079kj0Jbr+y88P/SyR3oAKsMkN5cffjgD19IpdEHJtGSXNBbuT5VK79+FPy7I/377nzLsjMjOBVeu3Lmx2/eqCh24ExX8/qIKDt9xnAsX3ehN+4zNPzcNBcVmg9aWIWAIGAKGwI2LwInjx1WXHIaKuu1PHUx+iCl5W79uvbyEbe7Tp05r3AcyXq9AIsloxZRUUZ3PEQzc5SUwxJTI0q0b9VCpJztfIzM/oh9IV5YfQapLnDhxXD+eDAp36OAhlY7tAnNBpo7MCbe5b4faQLCUndJa6r/zA05Vi0LYeqb0jHrnbJc67BzbqVOnVFr7xd4vsEWeR9UnWI99/PnXn5K/QAFhvAxuqS9/9TW4zzsvdPH7/vvvy0cffig//3wWusr/J3Nmz9EypUuXVn1XShqpQ04JG+cJTxZSDjqsJG6rv75mtX7sycxxceOIY3ysejUh1osWvqR4r4duPRk66pnTdon/Z82cCVe830IKvwK6/Rdk1pw5qo9/8803K7bcduc9ITPnmCK66mWE7MjGRqk1GSK6RPzow49Ubeg+BNV7Yf58xZ0LjhPHTyBy9QjdseA9YR6M/eTH//6oushzZs1SKeQj0O/lvaZU93Yw2ylT3qULOxjSgpEtqve9LHSBt76/RXc5eI8o6adb4S1I+/mnn1Vt4d777pV6YCRTpLgoseViw42HmJBFmjl9Rsg50f3xQtzLD4DBHxf+0HvJhQuJDF1kOBLDBZjXTqiukIHmuCiVpqrWB9s/wD05CQb3Y1VnYPyUnLCV4DPCcfEZ525OcjCElPTShoLMH3c++KweQzDE33+/oM8fgxS+hHvM3xMZQqqRUO3CP7+ChQpBraua9vcamMczZ36Q+einM1Q7GB09unuqk8UfPnN8Bql2s+fzPRhvSuh5nxHuQKWGjdsnGAsMeLHALCVZs2fDvVuIheE2vafEoGKlioF7wGdzIfTjGWiRah/EmXPm4hrGvKo3Dq94el8Y1fzZCeO1bxheh8SDi+1qNaorBncAB+q/E2cy+seOH4O0/azkBRPNOez7cp98Aj37wtCBb96iudpR8D4xaOOOj3YoLsnwm4MhtNoeUN2F7xoubrbAhTYMobEYLCZU4yElSZJU7k91v5QtW1b7o1Te//56BIsu/iY2rN+AOexTwUC+fPl150MbiIU/CbCCiH1WPxYGZk0YAoaAIWAIxD8E4F1Et3C5zcwP9F133RUYJKWnP9KnNJgSR2QyqF/qDNNcemRHGnJRyh5OeTKMlKgHJHORNRrP0okdGfSYELEm401JH5n1YCJuZFbJdAQTDerO4j6QaYyO3Nh4pL411ZDIqF0LjF3f/jHyHvMZou40x3MlFKrdyNqJCsfI6lyPdEpsuchJhechOgo1fz5PNAzmwplMdFR0USoscgFlo9rBiqqNq8kLHisXNIzt8gqMoLko5iKGBI8oAg848uWB/brIjeo3xjaJi38+TCMj7v8NBb+/WIZCAi7WKH2P7d9F1HfialC0uoaAIWAIGAI3HAKOMfJ/zNwk+YHyM+RMj6kRIKVY4ZL7GIdbPr6Ui4pZiGyMZKBc8LlQZaLCjcy6ky6HqutPc2NzR0qQrxW5Pv398R5f7X0O1a6/D/95VDj6y13vc6p5hMOQc5yh5u+CZEXHkLP+9XY6ETxWuOLksFRyz10vEpnlkzCE/fPPP1RdK7rnlm0Gv8OY5mfI2W7w+4tl/IIIlolNMkl5bKJpbRkChoAhYAgYAoaAIWAIxBkCVL35DbYkCcEg54LXJRKjglJNjURG2q8qp4n/kD/GlP9DbpQN0xAwBAwBQ8AQMAQMAUPgxkUgogn4jTtPm5khYAgYAobAdUaA0izqsMZncvqz8XmMNjZDwBC4MREwpvzGvK82K0PAEDAE4gUC1PX8AL6ZSU0bN5HWLVrGeFysz3Yc0RiLEQYRft4lRTgyv9lTT0keuBOMjhCqXrJnzSqMRMjgP7ly5FSPDtHVs3xDwBAwBGIbAWPKYxtRa88QMAQMAUMggMAYBJ/ZApeBpOYtW0iTZk0DeeGcMMx3x/YdIjDl9MySN28edUkYqg3mZ8+eQ+gpJjoqBvd2jEh6Aa7pGNqcgY/oa9rIEDAEDIFrjYB5X7nWiFt/hoAhYAj8gxGgO7LRiAhIN3n0M50ZLslmwB81XdcxyEeiRDdpxMpZc2arX2yG3c4CSTSNrzZs2KBBbegHmH6MV61cob6927ZrC+n0J/C5PE8yIVjJ7t27NdR3n359pf3TbeH67YyGwx44aGDISHovL1ok+/ft0zZr160TCGpDTwz0D80IoWyL/oiD+82AgCCO6Md6DfwfMwAQQ7EHj2fo8GEqTZ89a7Z6bjhy5LAwGmTP3r1dE3Y0BAwBQ+CKETBJ+RVDZxUNAUPAEPj3IcDgMwyJTtdxU6ZOVYaXgVQYUIcMeycEMtmFYCrvbN6skfGIUDkEhmnbvr1GhDyJYCuk4cMQTAXS7LQPpZV5CBiUM3cubZdBUypWqoSgHcvUPVn5ChW0/JhxY0My5MycNGEi2nlImX8GCnHEaJZ9+/fTyJgD+vXXaH/B/bqyPObKnUfHwMiFocbDMkMGD9aInAxW8vlnnyHwS0kmGxkChoAhcNUIGFN+1RD+sxqgXiYd5pMYnjqYroeRE8fE/9eDuL1N/VNSMB6xOS5+5BlYJabEMXGMsTmWmI7ByhsCfgQSXgpcw8iTGS9FRGR0TYZj79Sls0qmWd79riLUhR9zEiNr7v70U9m48W1JcmsSyZc/fyAIR5q0aeSWW2+57Pfobyf4fOGil9QdGqMi/v7bxd8zyzDIDv050z3azz//hAiKxy7r199WwoR/B+VxQUGCx5MO8z73yzk5i/foTTcl0mA+/jbs3BAwBAyBK0XA1FeuFLl/WD1G/hrQt598j48hdTG/+uorYeSyVdiqpTeEqVOmyLat26RsubJqlJUtWzbdkmU44N49e8rnn38u7SHpohSM4aT79ekrvfr0Qfjs14XhlulQ/0Okly1bTrexs2bLio/trbJgwQINiZs69QP6MXyySWNp0TKiodea1WvgY/R3DctNWBmpbNaMmTIH29/cyuaW8SuQxDHscxFI6eahza+//loYppdhqZ9s3FgZgQ8+2K5byWRiGWxi6PDhGnHL39bYZ8dBapZTPsP2+AjkM3hAmjRpNXx3ypQpZdz48YE7GzwuZhRHuGhKCr/88gvdum/QoKFs3bJFuvXoLgf2HwiM2fXDkLwM+0zJH0Mqnzt3ToaPGIFwxLdDUjgs0jn1699fJk6YIFwknT//q27Njx47RrLivhgZAvEBgb+wkCZzTXrgwQc0/DTVV9a8+Qak5JtUKs28BAkSYkHqQf/7V14q8feZIsVtuths276dqpRcHlz6YrDpm266yMhzgcpAJ45Zdm1xwdqlU2cNW587T24Ny+7y/vrr4mL/HBjoBx9MI2nTpr2sX1c2uP/ga9Eg7iJdunaVZ0Y8Ix8jjPeKVSuFTDpDffN9MXDwIH0XujbtaAgYAoZATBAwSXlM0PqHlqVkvFGDBspELlr8sgwaMkRmzp4tf/5x8YM1EYzoNDDlZBY7dOwoz4IZfPGFF2Xo4CH6walZq5YkxId10sSJuj1NprRS5UpSuUpljTD3wsKFUhJ6lTSWqlmrplBqxZDIrMe03Llzy8TJkyR7juwyAozo0SNHIiBJndP58+YF0ijZqlX7Yt0sWbNIgYIF5WnolSbAv/fefVfGjR2LD+yD2BqvodK5h7BtXRhRvdhX/SeekDloj/qgdWvXVmmbvy0y5F/s3SuNGz0JXdgs8vz8+TIE2+iDsCV99uezgTHwJHhcTKtStYpMmjIZjHwa7Y/M+LQZ0/VDHNwPx9qpQwep+3g96dq9m0yYNEkXQ03hFYIheqOaE43bZkybrrqxZMaLlSguv8OdnJEhEF8QWLpkqfTHQj99+gzyeP36Ggr9VizEl7/6mtwBppvqLNwdKlmqpKxbt1aWv7ZcThw/IUeOHlGBQMtWreT9996TiuXLy9mzP8vOHR/r1Mjg7tq5U5n6nR/vlPz4/d+K0PEDsVB17hTpWvHjjy+W37p1q+4mbd++Tfs7d+4X2bZtm7ZFSf4EvN927NghY7Agp/AguF8KI0g7PvpItmCBTdq+bXuk46GXlv87c0aoT04VmyOHj2h/1Gun8MPIEDAEDIErRgDSAKMbHIFVK1d66dKk8SAxjjDTgwcPevjIeVkzZdL/PHf0aMmSXvq0ab2zZ896+Nh4+MB6WTJm9HLnyOmBqfamTJqkRfHR1SP0OLWPDevXuyY8RN3StGeGD9e07l27os2HPITIDZTBB9ErVuQRLYePayAd28ya1rN7d007fOiwt+ill7ySxYppOiTQ3orXlnuQ+Gv+nFmzNB0GXXo9aODAQLngtvr27qN5+PgH+uMJ8XAU2bjcfFu1aKFt/PTTT66KF9zPkw0bapmPd3wcKAPpvqZxnFHNCQZ0Wq7Aw/m8FxYs8PCx97C4CrRjJ4bA9UIAUmd9NqdNneqdOXPGc78Jjse9Q8A0Rxhe8LXL5O8HO2PuMtJjZPVdBdcvr11Z93vBjmBgXK58uP268v4jdgO8KhUrec2bNvPKPlraa9u6jWa7/vxl7dwQMAQMgZggEOeScgwmoA+Ll3fgnFuO/mt/ueA8fzn/Ocv568Ukz1/W30Zw38F5zHf//Xn+9qIbl7+sv43o+vbXi+w8VN+UAJFoUOWnTJAiUYWF6iI0kqIUydF9992n2J46dUqT8kPnc8TIkSrRag0J1zmoiJCosxkdUaKFD5i8vuZ1oVoHt3sdrYcEbebsWXo5b+7zLjnkkVvecyFRT5IkqfTq0VP27d8XshwTs8LbA+kzGGIFUwAPbGX7iXg4imxc4czXtUEVIVL6DOldkhBX0smTF43dIpsTt9m5owHmATsWg+UpqOhwJ8A9e6Husz/P/3xci2fM37e/P/84WMZ/7S8XXV647fvb9PcVXfv+sv42guv5y/nPWc5fL7q8wAPxDzw5evSYjvrUyVPqUcX/m3DvEKqZ+Cn42uXRIwvdF0ZHkdV39Vy/vHZlkyVLptnctfPnMzHcfrWBoD+ffLJLypYvJ42faiKPFH1EykDlj+T6Cypul4aAIWAIhI1AxDdn2NXCL7hp40ZpfUmHuFChwthGvMggsgVuI9LqntS+Q0eZCTUAR/68GjVqwk3Vas16BDq9kN7K3r179LoXXFE9O25ctHlX2nfwuHIiGEVM+w4ec9XHqsla6F2Sgtv3zzuqvJhgUr5iBe2L+uHBlCpVKrgoyyy/nL1o/Onyf/j+B/WuQHdnOy9tE1M15Iu9X0C1ZYEai1LvORwqiO1nMqjUG/fToUOH5OCBg7ITW9V33HGHvPvOZlVtSZ8hg79YhHPqVFMNpANcqD0HFZwmUAUJRW4+qVKlviybTPLhw4eEeNwFPfJgupJxBbfBa7pKg3Rfsbrrrru0yA8/fK/H4iVK6JF/Qs2JKj41ataUMmXKqPs5qgIsXrxYNqxbH9bzd62fsch+k//m311kmPB9sOSVZYH7/087ufPOO2Q1bCVIkEoHmOB/2jyudLyLly6V48eOQzXuVxkwaJAkTZr0SpuyeoaAIWAIREAgAaQ7F61pIiTH3gWN7r69xAzeAn3D3y55/KB0hdIKSgJJt4Mp+wkGg6TgPOoTUkpISoIXIA2MKN0l3Qlm58dLenxR5V1p38HjolQnpn0Hj8s/n+D24wITfjirV60qaSB9pWGnkxqtXrVK9b6nTp4sk8Hobtz8jmTImEGZ1VLFS6gBJfWtF774IvTHqyiTTolgkycbQzf0uGyFYaUjuiEbCZ302dDnrlS5sibTb3DlihV18dWhUyepDNdmv8BrwZvr16m0fDA+aJ06d9aPGhdvXVCmIXS9R40ZLVAFkTJgauvWqyfjoct+4MAB2ffllwEXazSCpB78FkT6o375HPgNHjN6lErS6ULt8bp11bXZJuh1//zzzxHaWg/Gtn3bp6V5ixYqjeZgaVC5HW2xblTjcvOF+opwzJ/CAJbGoqTgMdMg9onHH5dRo8dIwycbqZT7MeBI3fh1b22Ick5kyjdv2qz67my7bq3aUrXaY1IF9cN5/q71MxbZb/Lf/LuLDBO+D+6//37eViNDwBAwBAwBQyCAQJxLyilFiEry6ZdUOmmiG50/z6WFOlLKGhlFledvP6q+g/P8fUXVflR5/jaC2w93XP42gs+D+6Z0pw92FWjwWahQIWW8a9Wpo9Xaw7iTi6P2kD7XrFlLja/qgKntN6C/Sq5Xr1wFyfJhoWSchlwzZs3UgB6uT25j0wMJ6S0EB6FkPFny5LJixQpNo5HV999/r8x10yZNpF2bp5XZfvP1N6Q6gnkUgpFmcpQnLcM4S5ctI3vA7JKofgKdbKQvkVOnTkuBAgXV00O37t2VSWcZqoLQyIv08kuLZNbMWfCwkFxeWb4cxxQCnWzNY1s0AKWB6oRJk5Wp//rrb7BAeEjOYGFHI9eVy1dIZOPijgODntDt2v59+7XNZUuWSMvWrVUtYwX6I7l+aBBLo9np06Zhp+AEPMZ8ozsCk7CYoBeWuXPmRDontkMjuD69eqkk/T4wcQ0aNrxsizz4PrNeKLoWz5i/36jGFe7zHTzmcNuPqm9/G8HthzsufxvB51H1HVVecDt2bQgYAoaAIfDvQyDOJeX/Pkjj94y5c0GGkHqWwUQ92G/gapAMoJOmB5dx1zBqCjDSLu1aH52LNKdDeiX904tC4ltuifO5UHefC4Qk2PWJityc6OOZXmiIM3cnghnIqNqwPEPAEDAEDAFDwBD45yFgTPk/757ZiA0BQ8AQMAQMAUPAEDAEbjAE4tz7yg2Gl03HEDAEDAFDwBAwBAwBQ8AQiHUEjCmPdUitQUPAEDAEDAFDwBAwBAwBQyBmCNxwTHlwRDXn3eXMpXDQMYPHShsChoAhYAgYAoaAIWAIGAJxj0CcM+X/+eADqVWjhnTr0jUwG4ZRrlC2HILJrAmkxcYJg9Qc2H/RKwbbW7p4ifTo1k2b3oU+X3v11djoxtowBAwBQ8AQMAQMAUPAEDAEYhWBOGfKHylaVFogeBAZ8NlwVUfKh+iQRYsVlepg1mOLyPy/+847iLBWVJukz+jXXnst0HwF+Mv+8osv1Dd0INFODAFDwBAwBAwBQ8AQMAQMgXiAQJz7KXdzHDx0iIwZNVp9LpeBH+pEiW7WLMYumjVzpgZvSZHiNg1dPB9RPg8fPgKfzEllz549Mhv+nFOlTi0b335b9iEgzdenT0uffv00+JBrfzkYcDL/JLqQY5sdO3XUiIquDBnz8Yj++dylKKIu3Y6GgCFgCBgChoAhYAgYAobA9UQgziXlbnJ58+bVSI2M2shANI4YLOb3336XnghsQ+n2zOnTJT+Cz3zyyS5p16GDMKz9hg1vyX8R7ZOBYXi978t9smrlSteEHrdu3SoPPfSQnj83e440a9ZM/Tz7CzH/008+9SfZuSFgCBgChoAhYAgYAoaAIXDdEbhmknLOtFbt2spQt27RUvIXyK+T375tG84L6nmpR0vJjGnTpVz5CpIWIeEZPj31A6nl/PlzGt3wjjvvlKxZs8i0GdM1YqRWwp8fYMT53bffSdJkyYRBWl5etEj2Q7ecUSQPHz6kuuUNGjXUcOg//PC9hilnYBYjQ8AQMAQMAUPAEDAEDAFDID4gcE0k5YwUyf+k3n37yEPp0snmTZv1OleuXPLJrp16zjKZMmfS8+A/WbNlkw//8x9NTnHbbbLl/fcDRVKmTClJkyaVs2fPauTDOXPnSus2baRatWoqPSezT6InluzZc1wmQQ80ZCeGgCFgCBgChoAhYAgYArGKAKNTxzX9+uuvEbq4cOGCMDq2n6gyfQaRvEmh8pke3A7TGAk9mBiBm+3FJsU5U05p9ZtvvCHU+eakEiZMqJLubGCySXXq1VM98cEDB8q6tWuld58+UFdZL0ePHBV6U9m542PZ8eFH8sADD0hJMNflypSRtm2elhIlSwZwSJAggUrejx49Cl31RJIzV079ny59OtU7T426pKNHj0mJUn/X00T7YwgYAoaAIWAIGAKGgCEQAQEyp/1gv5cMWgilS5eWp556Ssi7TZ48OUK56C7ee+891VT4zyXBanTlXf6r8JjHvseMGeOSQh6pvlyzZk1p2rRpIH/hwoWSKlUquRMaFkuWLAmks+yoUaMkVP6PP/4oJcFbdu/eXf+zEvlWzj1FihRSqlQpcYuLQYMGyd133y3p06eXL+BEJNYIXP51IdzsCP2CeY9wHdkFAAmZBQNQb+zo0SHzXOKIYcM8ljMyBAwBQ8AQMAQMAUPAEIgagc8++4yiYA+MqhasX7++XkNFOOqKvlzEj/FGjhzpQVvBlxr9Kfk99j18+PBIC5OXXLt2rXfrrbd6devW1XKwQfTq1avnLViwwIMWhZc9e/ZA/W7dunnr1q0Lmd+lSxcPTLkH+0btFwy8N2nSJG/ixIle7dq1NW3ZsmUeHJB4M2fO9N58801NGzFiRKD9qz25pjrl/pUEAPRf6oojQkIkF1w1haKsWbNK9hw5ZPPmzVK2bNnLiryz+R1p0KiRZMoUWj3msgqWYAgYAoaAIWAIGAKGwL8YAWo3+Im8G9NcOiXOO3fuFEqZp02bJl27dpWPPvpIesN5B/mt0aNHS364wV6+fLlUqFBBVZnHwQse7frIq1G6PXToUPn555/ljjvuEDC48vrrr8tAaE+khtc9P9WqVUuSJ08ui2A36IjjqVKlikqyXdrtt98ulLKT5s2bF4G/3L59u4wfP14qV658Wf6HH36o2hWOz9yxY4fOhwXz5MkjK+FghLxmzpzQxsB/Sshvgzp148aNta3Y+HPdmPLYGHxwG/R7fj6E3g/LFSlSWA1Bg+vYtSFgCBgChoAhYAgYAoZA5Ahsg1OOxx57TDZu3CjPw600GW56xWvdurV8/vnn0qpVK1m1apVAEi30tnf8+HFJB/tBesKjzR+Z7fPnzyuzTGb3ueeeE+pksz1ItOXEiRPKqLdo0ULrsF7nzp21DTeqDBkyqDqLu/YfqcYcTGwfkn6BJF2zdu/eLbRjdAuK4HyqQOfLly/QDOfgiMw8GXnOjcS88uXL62KCeDzzzDOu6FUdIy6Brqqp+FGZHlhCUWTpocpamiFgCBgChoAhYAgYAobARQSKFy+uTLPfcHLDhg1qSAlVDpVW33vvvSpRJrM6e/ZsZYah9hFggtkS1F/USx51wMmsr0FgSUrZoSaijDsZY6i7CNu65557IsAPNRItEyExigtK4KE2I0URVJJGnZR0U9ruKDiffUL9JOCYxEnqTyM2zvr16+Wll17ShQTr08U2GX6oxqhk37V5tccbjim/WkCsviFgCBgChoAhYAgYAoaABBhUMqtz4dmOxpOUYB86dCigDnzfffdJ3759Vf2EmEE3W77++ms17rzpppsiwEjPJ+/Dex7rzJ8/X9ugxLpEiRLSrl07TadKCBlhZ1TpvPfNmDFD60Ro8NIFg0a6ckyCvrcuCsiMN2nSRE6dOqVSeS4YIssvUqSISvN/++03LVOgQAFl5rmQIGNPVRgy8oy1c+DAAVWLYR4XHrFGV6uUbvUNAUPAEDAEDAFDwBAwBG4sBKBu4vXq1UuNGQsVKqQGjpCOe1AV8aBj7R08eNADk6v58EziQaVDAQBz7OXOndtDDBm97tmzp5YZPHiw16dPHw862B7L02gSaisepM5qqAnm2YMk3uvfv78HxtyrWLGi1qtevbqmQzfdgyeUCCCDGfegPuJBJcWDuoxH48wjR4540CvXumCWPXhg0TQaf5JC5TOd44d6ite2bVuvQYMGTPJo/Mk23P8pU6Z4w+A0JEmSJIoNPLl44Toq0Qaj+ZOA+bHG4VtDhoAhYAgYAoaAIWAIGAL/GgQYtJGqJn69bsaFocFlMDnX2JRgUyJOooSbQSCpPuKI18ynW0bXDiXY1Ae/+eabXbGwj+yXEnnGtYmKOBaq00RXjpL8u+66S4KdlkTVdjh5xpSHg5KVMQQMAUPAEDAEDAFDwBAwBOIQAdMpj0NwrWlDwBAwBAwBQ8AQMAQMAUMgHASMKQ8HJStjCBgChoAhYAgYAoaAIWAIxCECxpTHIbjWtCFgCBgChoAhYAgYAoaAIRAOAsaUh4OSlTEEDAFDwBAwBAwBQ8AQMATiEAFjyuMQXGvaEDAEDAFDwBAwBAwBQ8AQCAcBY8rDQcnKGAKGgCFgCBgChoAhYAgYAnGIgDHlcQiuNW0IGAKGgCFgCBgChoAhYAiEg4Ax5eGgZGUMAUPAEDAEDAFDwBAwBAyBOETAmPI4BNeaNgQMAUPAEDAEDAFDwBAwBMJBwJjycFCyMoaAIWAIGAKGgCFgCBgChkAcImBMeRyCa00bAoaAIWAIGAKGgCFgCBgC4SBgTHk4KFkZQ8AQMAQMAUPAEDAEDAFDIA4RMKY8DsG1pg0BQ8AQMAQMAUPAEDAEDIFwEDCmPByUrIwhYAgYAoaAIWAIGAKGgCEQhwgYUx6H4FrThoAhYAgYAoaAIWAIGAKGQDgIGFMeDkpWxhAwBAwBQ8AQMAQMAUPAEIhDBIwpj0NwrWlDwBAwBAwBQ8AQMAQMAUMgHASMKQ8HJStjCBgChoAhYAgYAoaAIWAIxCECxpTHIbjWtCFgCBgChoAhYAgYAoaAIRAOAsaUh4OSlTEEDAFDwBAwBAwBQ8AQMATiEAFjyuMQXGvaEDAEDAFDwBAwBAwBQ8AQCAcBY8rDQcnKGAKGgCFgCBgChoAhYAgYAnGIgDHlcQiuNW0IGAKGgCFgCBgChoAhYAiEg4Ax5eGgZGUMAUPAEDAEDAFDwBAwBAyBOETAmPI4BNeaNgQMAUPAEDAEDAFDwBAwBMJBwJjycFCyMoaAIWAIGAKGgCFgCBgChkAcImBMeRyCa00bAoaAIWAIGAKGgCFgCBgC4SBgTHk4KFkZQ8AQMAQMAUPAEDAEDAFDIA4RMKY8DsG1pg0BQ8AQMAQMAUPAEDAEDIFwEPh/L5KStQFya4AAAAAASUVORK5CYII=)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lB0o4L4jh5Sv", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "## Getting Set Up\n", - "\n", - "Before we dive into the technical weeds, we need to set up the notebook's runtime and filesystem environments. The code cells below do the following:\n", - "- Install required libraries\n", - "- Confirm that data dependencies from the GitHub repo have been downloaded. These will be under `data/document-parsing` and contain the following:\n", - " - the PDF document that we will be working with, `fda-approved-drug.pdf` (this can also be found here: https://www.accessdata.fda.gov/drugsatfda_docs/label/2023/215500s000lbl.pdf)\n", - " - precomputed parsed documents for each parsing solution. While the point of this notebook is to illustrate how this is done, we provide the parsed final results to allow readers to skip ahead to the RAG section without having to set up the required infrastructure for each solution.)\n", - "- Add utility functions needed for later sections" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "ZP1v0aF6Ij3U" - }, - "outputs": [], - "source": [ - "%%capture\n", - "! sudo apt install tesseract-ocr poppler-utils\n", - "! pip install \"cohere<5\" fsspec hnswlib google-cloud-documentai google-cloud-storage boto3 langchain-text-splitters llama_parse pytesseract pdf2image pandas\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "A5yWsKDSL_kY" - }, - "outputs": [], - "source": [ - "data_dir = \"data/document-parsing\"\n", - "source_filename = \"example-drug-label\"\n", - "extension = \"pdf\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "from pathlib import Path\n", - "\n", - "sources = [\"gcp\", \"aws\", \"unstructured-io\", \"llamaparse-text\", \"llamaparse-markdown\", \"pytesseract\"]\n", - "\n", - "filenames = [\"{}-parsed-fda-approved-drug.txt\".format(source) for source in sources]\n", - "filenames.append(\"fda-approved-drug.pdf\")\n", - "\n", - "for filename in filenames: \n", - " file_path = Path(f\"{data_dir}/{filename}\")\n", - " if file_path.is_file() == False:\n", - " print(f\"File {filename} not found at {data_dir}!\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "BoM6-Tq-Sm-1" - }, - "source": [ - "### Utility Functions\n", - "Make sure to include the notebook's utility functions in the runtime." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "jl7BJsvdSrr5" - }, - "outputs": [], - "source": [ - "def store_document(path: str, doc_content: str):\n", - " with open(path, 'w') as f:\n", - " f.write(doc_content)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "R2u-drbt7SOQ" - }, - "outputs": [], - "source": [ - "import json\n", - "\n", - "def insert_citations_in_order(text, citations, documents):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - "\n", - " citations_reference = {}\n", - " for index, doc in enumerate(documents):\n", - " citations_reference[index] = doc\n", - "\n", - " offset = 0\n", - " # Process citations in the order they were provided\n", - " for citation in citations:\n", - " # Adjust start/end with offset\n", - " start, end = citation['start'] + offset, citation['end'] + offset\n", - " citation_numbers = []\n", - " for doc_id in citation[\"document_ids\"]:\n", - " for citation_index, doc in citations_reference.items():\n", - " if doc[\"id\"] == doc_id:\n", - " citation_numbers.append(citation_index)\n", - " references = \"(\" + \", \".join(\"[{}]\".format(num) for num in citation_numbers) + \")\"\n", - " modification = f'{text[start:end]} {references}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " # Add the citations at the bottom of the text\n", - " text_with_citations = f'{text}'\n", - " citations_reference = [\"[{}]: {}\".format(x[\"id\"], x[\"text\"]) for x in citations_reference.values()]\n", - "\n", - " return text_with_citations, \"\\n\".join(citations_reference)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "SULVG_HwKdm5" - }, - "outputs": [], - "source": [ - "def format_docs_for_chat(documents):\n", - " return [{\"id\": str(index), \"text\": x} for index, x in enumerate(documents)]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "y-VohvR3X6S6", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "## Document Parsing Solutions\n", - "\n", - "For demonstration purposes, we have collected and saved the parsed documents from each solution in this notebook. Skip to the [next section](#document-questions) to run RAG with Command-R on the pre-fetched versions. You can find all parsed resources in detail at the link [here](https://github.com/gchatz22/temp-cohere-resources/tree/main/data)." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "jLtrGjXiJE9M" - }, - "source": [ - "\n", - "### Solution 1: Google Cloud Document AI [[Back to Solutions]](#top)\n", - "\n", - "Document AI helps developers create high-accuracy processors to extract, classify, and split documents.\n", - "
    \n", - "External documentation: https://cloud.google.com/document-ai" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "cxwJ_jZpgNDo" - }, - "source": [ - "#### Parsing the document\n", - "\n", - "The following block can be executed in one of two ways\n", - "1. Inside a Google Vertex AI environment\n", - " - No authentication is needed\n", - "2. Inside the notebook\n", - " - Authentication needed\n", - " - There are pointers inside the code on which lines to uncomment in order to achieve that\n", - "
    \n", - "**Note: You can skip to the next block if you want to use the pre-existing parsed version.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "uZdjNlfxJEXv" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Extracted from https://cloud.google.com/document-ai/docs/samples/documentai-batch-process-document\n", - "\"\"\"\n", - "\n", - "import re\n", - "from typing import Optional\n", - "\n", - "from google.api_core.client_options import ClientOptions\n", - "from google.api_core.exceptions import InternalServerError\n", - "from google.api_core.exceptions import RetryError\n", - "from google.cloud import documentai # type: ignore\n", - "from google.cloud import storage\n", - "\n", - "project_id = \"\"\n", - "location = \"\"\n", - "processor_id = \"\"\n", - "gcs_output_uri = \"\"\n", - "# credentials_file = \"populate if you are running in a non Vertex AI environment.\"\n", - "gcs_input_prefix = \"\"\n", - "\n", - "\n", - "def batch_process_documents(\n", - " project_id: str,\n", - " location: str,\n", - " processor_id: str,\n", - " gcs_output_uri: str,\n", - " gcs_input_prefix: str,\n", - " timeout: int = 400\n", - ") -> None:\n", - " parsed_documents = []\n", - "\n", - " # Client configs\n", - " opts = ClientOptions(api_endpoint=f\"{location}-documentai.googleapis.com\")\n", - " # With credentials\n", - " # opts = ClientOptions(api_endpoint=f\"{location}-documentai.googleapis.com\", credentials_file=credentials_file)\n", - "\n", - " client = documentai.DocumentProcessorServiceClient(client_options=opts)\n", - " processor_name = client.processor_path(project_id, location, processor_id)\n", - "\n", - " # Input storage configs\n", - " gcs_prefix = documentai.GcsPrefix(gcs_uri_prefix=gcs_input_prefix)\n", - " input_config = documentai.BatchDocumentsInputConfig(gcs_prefix=gcs_prefix)\n", - "\n", - " # Output storage configs\n", - " gcs_output_config = documentai.DocumentOutputConfig.GcsOutputConfig(gcs_uri=gcs_output_uri, field_mask=None)\n", - " output_config = documentai.DocumentOutputConfig(gcs_output_config=gcs_output_config)\n", - " storage_client = storage.Client()\n", - " # With credentials\n", - " # storage_client = storage.Client.from_service_account_json(json_credentials_path=credentials_file)\n", - "\n", - " # Batch process docs request\n", - " request = documentai.BatchProcessRequest(\n", - " name=processor_name,\n", - " input_documents=input_config,\n", - " document_output_config=output_config,\n", - " )\n", - "\n", - " # batch_process_documents returns a long running operation\n", - " operation = client.batch_process_documents(request)\n", - "\n", - " # Continually polls the operation until it is complete.\n", - " # This could take some time for larger files\n", - " try:\n", - " print(f\"Waiting for operation {operation.operation.name} to complete...\")\n", - " operation.result(timeout=timeout)\n", - " except (RetryError, InternalServerError) as e:\n", - " print(e.message)\n", - "\n", - " # Get output document information from completed operation metadata\n", - " metadata = documentai.BatchProcessMetadata(operation.metadata)\n", - " if metadata.state != documentai.BatchProcessMetadata.State.SUCCEEDED:\n", - " raise ValueError(f\"Batch Process Failed: {metadata.state_message}\")\n", - "\n", - " print(\"Output files:\")\n", - " # One process per Input Document\n", - " for process in list(metadata.individual_process_statuses):\n", - " matches = re.match(r\"gs://(.*?)/(.*)\", process.output_gcs_destination)\n", - " if not matches:\n", - " print(\"Could not parse output GCS destination:\", process.output_gcs_destination)\n", - " continue\n", - "\n", - " output_bucket, output_prefix = matches.groups()\n", - " output_blobs = storage_client.list_blobs(output_bucket, prefix=output_prefix)\n", - "\n", - " # Document AI may output multiple JSON files per source file\n", - " # (Large documents get split in multiple file \"versions\" doc --> parsed_doc_0 + parsed_doc_1 ...)\n", - " for blob in output_blobs:\n", - " # Document AI should only output JSON files to GCS\n", - " if blob.content_type != \"application/json\":\n", - " print(f\"Skipping non-supported file: {blob.name} - Mimetype: {blob.content_type}\")\n", - " continue\n", - "\n", - " # Download JSON file as bytes object and convert to Document Object\n", - " print(f\"Fetching {blob.name}\")\n", - " document = documentai.Document.from_json(blob.download_as_bytes(), ignore_unknown_fields=True)\n", - " # Store the filename and the parsed versioned document content as a tuple\n", - " parsed_documents.append((blob.name.split(\"/\")[-1].split(\".\")[0], document.text))\n", - "\n", - " print(\"Finished document parsing process.\")\n", - " return parsed_documents\n", - "\n", - "# Call service\n", - "# versioned_parsed_documents = batch_process_documents(\n", - "# project_id=project_id,\n", - "# location=location,\n", - "# processor_id=processor_id,\n", - "# gcs_output_uri=gcs_output_uri,\n", - "# gcs_input_prefix=gcs_input_prefix\n", - "# )" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Document_Parsing_For_Enterprises.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "CT4QwMTwLPad", - "outputId": "1c85c3aa-a3b5-48c4-bb6e-5033c2c604a0" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "string" - }, - "text/plain": [ - "'\\nPost process parsed document and store it locally.\\nMake sure to run in Google Vertex AI environment or include a credentials file.\\n'" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "\"\"\"\n", - "Post process parsed document and store it locally.\n", - "Make sure to run in Google Vertex AI environment or include a credentials file.\n", - "\"\"\"\n", - "\n", - "# from pathlib import Path\n", - "# from collections import defaultdict\n", - "\n", - "# parsed_documents = []\n", - "# combined_versioned_parsed_documents = defaultdict(list)\n", - "\n", - "# # Assemble versioned documents together ({\"doc_name\": [(0, doc_content_0), (1, doc_content_1), ...]}).\n", - "# for filename, doc_content in versioned_parsed_documents:\n", - "# filename, version = \"-\".join(filename.split(\"-\")[:-1]), filename.split(\"-\")[-1]\n", - "# combined_versioned_parsed_documents[filename].append((version, doc_content))\n", - "\n", - "# # Sort documents by version and join the content together.\n", - "# for filename, docs in combined_versioned_parsed_documents.items():\n", - "# doc_content = \" \".join([x[1] for x in sorted(docs, key=lambda x: x[0])])\n", - "# parsed_documents.append((filename, doc_content))\n", - "\n", - "# # Store parsed documents in local storage.\n", - "# for filename, doc_content in parsed_documents:\n", - "# file_path = \"{}/{}-parsed-{}.txt\".format(data_dir, \"gcp\", source_filename)\n", - "# store_document(file_path, doc_content)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "dmkya9saFN8R" - }, - "source": [ - "#### Visualize the parsed document" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "S6SMCDb1FhqZ" - }, - "outputs": [], - "source": [ - "filename = \"gcp-parsed-{}.txt\".format(source_filename)\n", - "with open(\"{}/{}\".format(data_dir, filename), \"r\") as doc:\n", - " parsed_document = doc.read()\n", - "\n", - "print(parsed_document[:1000])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "dlRxuddi5w0E" - }, - "source": [ - "\n", - "### Solution 2: AWS Textract [[Back to Solutions]](#top)\n", - "\n", - "[Amazon Textract](https://aws.amazon.com/textract/) is an OCR service offered by AWS. It can detect text, forms, tables, and more in PDFs and images. In this section, we go over how to use Textract's asynchronous API.\n", - "
    \n", - "
    " - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1YCszLJnge4j" - }, - "source": [ - "#### Parsing the document" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "vFBasw782Gho" - }, - "source": [ - "We assume you are working within the AWS ecosystem (from a SageMaker notebook, EC2 instance, a Lambda function, ...) with valid credentials. Much of the code here is from supplemental materials created by AWS and offered here:\n", - "\n", - "- https://github.com/awsdocs/aws-doc-sdk-examples/tree/main/python/example_code/textract\n", - "- https://github.com/aws-samples/textract-paragraph-identification/tree/main\n", - "\n", - "At minimum, you will need access to the following AWS resources to get started:\n", - "\n", - "- Textract\n", - "- an S3 bucket containing the document(s) to process - in this case, our `example-drug-label.pdf` file\n", - "- an SNS topic that Textract can publish to. This is used to send a notification that parsing is complete.\n", - "- an IAM role that Textract will assume, granting access to the S3 bucket and SNS topic\n", - "\n", - "First, we bring in the `TextractWrapper` class provided in the [AWS Code Examples repository](https://github.com/awsdocs/aws-doc-sdk-examples/blob/main/python/example_code/textract/textract_wrapper.py). This class makes it simpler to interface with the Textract service." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "casiElly3G2C" - }, - "outputs": [], - "source": [ - "# source: https://github.com/awsdocs/aws-doc-sdk-examples/tree/main/python/example_code/textract\n", - "\n", - "# Copyright Amazon.com, Inc. or its affiliates. All Rights Reserved.\n", - "# SPDX-License-Identifier: Apache-2.0\n", - "\n", - "\"\"\"\n", - "Purpose\n", - "\n", - "Shows how to use the AWS SDK for Python (Boto3) with Amazon Textract to\n", - "detect text, form, and table elements in document images.\n", - "\"\"\"\n", - "\n", - "import json\n", - "import logging\n", - "from botocore.exceptions import ClientError\n", - "\n", - "logger = logging.getLogger(__name__)\n", - "\n", - "\n", - "# snippet-start:[python.example_code.textract.TextractWrapper]\n", - "class TextractWrapper:\n", - " \"\"\"Encapsulates Textract functions.\"\"\"\n", - "\n", - " def __init__(self, textract_client, s3_resource, sqs_resource):\n", - " \"\"\"\n", - " :param textract_client: A Boto3 Textract client.\n", - " :param s3_resource: A Boto3 Amazon S3 resource.\n", - " :param sqs_resource: A Boto3 Amazon SQS resource.\n", - " \"\"\"\n", - " self.textract_client = textract_client\n", - " self.s3_resource = s3_resource\n", - " self.sqs_resource = sqs_resource\n", - "\n", - " # snippet-end:[python.example_code.textract.TextractWrapper]\n", - "\n", - " # snippet-start:[python.example_code.textract.DetectDocumentText]\n", - " def detect_file_text(self, *, document_file_name=None, document_bytes=None):\n", - " \"\"\"\n", - " Detects text elements in a local image file or from in-memory byte data.\n", - " The image must be in PNG or JPG format.\n", - "\n", - " :param document_file_name: The name of a document image file.\n", - " :param document_bytes: In-memory byte data of a document image.\n", - " :return: The response from Amazon Textract, including a list of blocks\n", - " that describe elements detected in the image.\n", - " \"\"\"\n", - " if document_file_name is not None:\n", - " with open(document_file_name, \"rb\") as document_file:\n", - " document_bytes = document_file.read()\n", - " try:\n", - " response = self.textract_client.detect_document_text(\n", - " Document={\"Bytes\": document_bytes}\n", - " )\n", - " logger.info(\"Detected %s blocks.\", len(response[\"Blocks\"]))\n", - " except ClientError:\n", - " logger.exception(\"Couldn't detect text.\")\n", - " raise\n", - " else:\n", - " return response\n", - "\n", - " # snippet-end:[python.example_code.textract.DetectDocumentText]\n", - "\n", - " # snippet-start:[python.example_code.textract.AnalyzeDocument]\n", - " def analyze_file(\n", - " self, feature_types, *, document_file_name=None, document_bytes=None\n", - " ):\n", - " \"\"\"\n", - " Detects text and additional elements, such as forms or tables, in a local image\n", - " file or from in-memory byte data.\n", - " The image must be in PNG or JPG format.\n", - "\n", - " :param feature_types: The types of additional document features to detect.\n", - " :param document_file_name: The name of a document image file.\n", - " :param document_bytes: In-memory byte data of a document image.\n", - " :return: The response from Amazon Textract, including a list of blocks\n", - " that describe elements detected in the image.\n", - " \"\"\"\n", - " if document_file_name is not None:\n", - " with open(document_file_name, \"rb\") as document_file:\n", - " document_bytes = document_file.read()\n", - " try:\n", - " response = self.textract_client.analyze_document(\n", - " Document={\"Bytes\": document_bytes}, FeatureTypes=feature_types\n", - " )\n", - " logger.info(\"Detected %s blocks.\", len(response[\"Blocks\"]))\n", - " except ClientError:\n", - " logger.exception(\"Couldn't detect text.\")\n", - " raise\n", - " else:\n", - " return response\n", - "\n", - " # snippet-end:[python.example_code.textract.AnalyzeDocument]\n", - "\n", - " # snippet-start:[python.example_code.textract.helper.prepare_job]\n", - " def prepare_job(self, bucket_name, document_name, document_bytes):\n", - " \"\"\"\n", - " Prepares a document image for an asynchronous detection job by uploading\n", - " the image bytes to an Amazon S3 bucket. Amazon Textract must have permission\n", - " to read from the bucket to process the image.\n", - "\n", - " :param bucket_name: The name of the Amazon S3 bucket.\n", - " :param document_name: The name of the image stored in Amazon S3.\n", - " :param document_bytes: The image as byte data.\n", - " \"\"\"\n", - " try:\n", - " bucket = self.s3_resource.Bucket(bucket_name)\n", - " bucket.upload_fileobj(document_bytes, document_name)\n", - " logger.info(\"Uploaded %s to %s.\", document_name, bucket_name)\n", - " except ClientError:\n", - " logger.exception(\"Couldn't upload %s to %s.\", document_name, bucket_name)\n", - " raise\n", - "\n", - " # snippet-end:[python.example_code.textract.helper.prepare_job]\n", - "\n", - " # snippet-start:[python.example_code.textract.helper.check_job_queue]\n", - " def check_job_queue(self, queue_url, job_id):\n", - " \"\"\"\n", - " Polls an Amazon SQS queue for messages that indicate a specified Textract\n", - " job has completed.\n", - "\n", - " :param queue_url: The URL of the Amazon SQS queue to poll.\n", - " :param job_id: The ID of the Textract job.\n", - " :return: The status of the job.\n", - " \"\"\"\n", - " status = None\n", - " try:\n", - " queue = self.sqs_resource.Queue(queue_url)\n", - " messages = queue.receive_messages()\n", - " if messages:\n", - " msg_body = json.loads(messages[0].body)\n", - " msg = json.loads(msg_body[\"Message\"])\n", - " if msg.get(\"JobId\") == job_id:\n", - " messages[0].delete()\n", - " status = msg.get(\"Status\")\n", - " logger.info(\n", - " \"Got message %s with status %s.\", messages[0].message_id, status\n", - " )\n", - " else:\n", - " logger.info(\"No messages in queue %s.\", queue_url)\n", - " except ClientError:\n", - " logger.exception(\"Couldn't get messages from queue %s.\", queue_url)\n", - " else:\n", - " return status\n", - "\n", - " # snippet-end:[python.example_code.textract.helper.check_job_queue]\n", - "\n", - " # snippet-start:[python.example_code.textract.StartDocumentTextDetection]\n", - " def start_detection_job(\n", - " self, bucket_name, document_file_name, sns_topic_arn, sns_role_arn\n", - " ):\n", - " \"\"\"\n", - " Starts an asynchronous job to detect text elements in an image stored in an\n", - " Amazon S3 bucket. Textract publishes a notification to the specified Amazon SNS\n", - " topic when the job completes.\n", - " The image must be in PNG, JPG, or PDF format.\n", - "\n", - " :param bucket_name: The name of the Amazon S3 bucket that contains the image.\n", - " :param document_file_name: The name of the document image stored in Amazon S3.\n", - " :param sns_topic_arn: The Amazon Resource Name (ARN) of an Amazon SNS topic\n", - " where the job completion notification is published.\n", - " :param sns_role_arn: The ARN of an AWS Identity and Access Management (IAM)\n", - " role that can be assumed by Textract and grants permission\n", - " to publish to the Amazon SNS topic.\n", - " :return: The ID of the job.\n", - " \"\"\"\n", - " try:\n", - " response = self.textract_client.start_document_text_detection(\n", - " DocumentLocation={\n", - " \"S3Object\": {\"Bucket\": bucket_name, \"Name\": document_file_name}\n", - " },\n", - " NotificationChannel={\n", - " \"SNSTopicArn\": sns_topic_arn,\n", - " \"RoleArn\": sns_role_arn,\n", - " },\n", - " )\n", - " job_id = response[\"JobId\"]\n", - " logger.info(\n", - " \"Started text detection job %s on %s.\", job_id, document_file_name\n", - " )\n", - " except ClientError:\n", - " logger.exception(\"Couldn't detect text in %s.\", document_file_name)\n", - " raise\n", - " else:\n", - " return job_id\n", - "\n", - " # snippet-end:[python.example_code.textract.StartDocumentTextDetection]\n", - "\n", - " # snippet-start:[python.example_code.textract.GetDocumentTextDetection]\n", - " def get_detection_job(self, job_id):\n", - " \"\"\"\n", - " Gets data for a previously started text detection job.\n", - "\n", - " :param job_id: The ID of the job to retrieve.\n", - " :return: The job data, including a list of blocks that describe elements\n", - " detected in the image.\n", - " \"\"\"\n", - " try:\n", - " response = self.textract_client.get_document_text_detection(JobId=job_id)\n", - " job_status = response[\"JobStatus\"]\n", - " logger.info(\"Job %s status is %s.\", job_id, job_status)\n", - " except ClientError:\n", - " logger.exception(\"Couldn't get data for job %s.\", job_id)\n", - " raise\n", - " else:\n", - " return response\n", - "\n", - " # snippet-end:[python.example_code.textract.GetDocumentTextDetection]\n", - "\n", - " # snippet-start:[python.example_code.textract.StartDocumentAnalysis]\n", - " def start_analysis_job(\n", - " self,\n", - " bucket_name,\n", - " document_file_name,\n", - " feature_types,\n", - " sns_topic_arn,\n", - " sns_role_arn,\n", - " ):\n", - " \"\"\"\n", - " Starts an asynchronous job to detect text and additional elements, such as\n", - " forms or tables, in an image stored in an Amazon S3 bucket. Textract publishes\n", - " a notification to the specified Amazon SNS topic when the job completes.\n", - " The image must be in PNG, JPG, or PDF format.\n", - "\n", - " :param bucket_name: The name of the Amazon S3 bucket that contains the image.\n", - " :param document_file_name: The name of the document image stored in Amazon S3.\n", - " :param feature_types: The types of additional document features to detect.\n", - " :param sns_topic_arn: The Amazon Resource Name (ARN) of an Amazon SNS topic\n", - " where job completion notification is published.\n", - " :param sns_role_arn: The ARN of an AWS Identity and Access Management (IAM)\n", - " role that can be assumed by Textract and grants permission\n", - " to publish to the Amazon SNS topic.\n", - " :return: The ID of the job.\n", - " \"\"\"\n", - " try:\n", - " response = self.textract_client.start_document_analysis(\n", - " DocumentLocation={\n", - " \"S3Object\": {\"Bucket\": bucket_name, \"Name\": document_file_name}\n", - " },\n", - " NotificationChannel={\n", - " \"SNSTopicArn\": sns_topic_arn,\n", - " \"RoleArn\": sns_role_arn,\n", - " },\n", - " FeatureTypes=feature_types,\n", - " )\n", - " job_id = response[\"JobId\"]\n", - " logger.info(\n", - " \"Started text analysis job %s on %s.\", job_id, document_file_name\n", - " )\n", - " except ClientError:\n", - " logger.exception(\"Couldn't analyze text in %s.\", document_file_name)\n", - " raise\n", - " else:\n", - " return job_id\n", - "\n", - " # snippet-end:[python.example_code.textract.StartDocumentAnalysis]\n", - "\n", - " # snippet-start:[python.example_code.textract.GetDocumentAnalysis]\n", - " def get_analysis_job(self, job_id):\n", - " \"\"\"\n", - " Gets data for a previously started detection job that includes additional\n", - " elements.\n", - "\n", - " :param job_id: The ID of the job to retrieve.\n", - " :return: The job data, including a list of blocks that describe elements\n", - " detected in the image.\n", - " \"\"\"\n", - " try:\n", - " response = self.textract_client.get_document_analysis(JobId=job_id)\n", - " job_status = response[\"JobStatus\"]\n", - " logger.info(\"Job %s status is %s.\", job_id, job_status)\n", - " except ClientError:\n", - " logger.exception(\"Couldn't get data for job %s.\", job_id)\n", - " raise\n", - " else:\n", - " return response\n", - "\n", - "\n", - "# snippet-end:[python.example_code.textract.GetDocumentAnalysis]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kpF9UagY01-l" - }, - "source": [ - "Next, we set up Textract and S3, and provide this to an instance of `TextractWrapper`.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "aetKe-lg0-58" - }, - "outputs": [], - "source": [ - "import boto3\n", - "\n", - "textract_client = boto3.client('textract')\n", - "s3_client = boto3.client('s3')\n", - "\n", - "textractWrapper = TextractWrapper(textract_client, s3_client, None)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "U3Qr-MTL4dkT" - }, - "source": [ - "We are now ready to make calls to Textract. At a high level, Textract has two modes: synchronous and asynchronous. Synchronous calls return the parsed output once it is completed. As of the time of writing (March 2024), however, multipage PDF processing is only supported [asynchronously](https://docs.aws.amazon.com/textract/latest/dg/sync.html). So for our purposes here, we will only explore the asynchronous route.\n", - "\n", - "Asynchronous calls follow the below process:\n", - "\n", - "1. Send a request to Textract with an SNS topic, S3 bucket, and the name (key) of the document inside that bucket to process. Textract returns a Job ID that can be used to track the status of the request\n", - "2. Textract fetches the document from S3 and processes it\n", - "3. Once the request is complete, Textract sends out a message to the SNS topic. This can be used in conjunction with other services such as Lambda or SQS for downstream processes.\n", - "4. The parsed results can be fetched from Textract in chunks via the job ID." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "pb9AIE4v4Yww" - }, - "outputs": [], - "source": [ - "bucket_name = \"your-bucket-name\"\n", - "sns_topic_arn = \"your-sns-arn\" # this can be found under the topic you created in the Amazon SNS dashboard\n", - "sns_role_arn = \"sns-role-arn\" # this is an IAM role that allows Textract to interact with SNS\n", - "\n", - "file_name = \"example-drug-label.pdf\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "tPG-P7c79xln" - }, - "outputs": [], - "source": [ - "# kick off a text detection job. This returns a job ID.\n", - "job_id = textractWrapper.start_detection_job(bucket_name=bucket_name, document_file_name=file_name,\n", - " sns_topic_arn=sns_topic_arn, sns_role_arn=sns_role_arn)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "M0e0hZZJ-yCf" - }, - "source": [ - "Once the job completes, this will return a dictionary with the following keys:\n", - "\n", - "```dict_keys(['DocumentMetadata', 'JobStatus', 'NextToken', 'Blocks', 'AnalyzeDocumentModelVersion', 'ResponseMetadata'])```\n", - "\n", - "This response corresponds to one chunk of information parsed by Textract. The number of chunks a document is parsed into depends on the length of the document. The two keys we are most interested in are `Blocks` and `NextToken`. `Blocks` contains all of the information that was extracted from this chunk, while `NextToken` tells us what chunk comes next, if any.\n", - "\n", - "Textract returns an information-rich representation of the extracted text, such as their position on the page and hierarchical relationships with other entities, all the way down to the individual word level. Since we are only interested in the raw text, we need a way to parse through all of the chunks and their `Blocks`. Lucky for us, Amazon provides some [helper functions](https://github.com/aws-samples/textract-paragraph-identification/tree/main) for this purpose, which we utilize below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "9h-6yBBJCYC-" - }, - "outputs": [], - "source": [ - "def get_text_results_from_textract(job_id):\n", - " response = textract_client.get_document_text_detection(JobId=job_id)\n", - " collection_of_textract_responses = []\n", - " pages = [response]\n", - "\n", - " collection_of_textract_responses.append(response)\n", - "\n", - " while 'NextToken' in response:\n", - " next_token = response['NextToken']\n", - " response = textract_client.get_document_text_detection(JobId=job_id, NextToken=next_token)\n", - " pages.append(response)\n", - " collection_of_textract_responses.append(response)\n", - " return collection_of_textract_responses\n", - "\n", - "def get_the_text_with_required_info(collection_of_textract_responses):\n", - " total_text = []\n", - " total_text_with_info = []\n", - " running_sequence_number = 0\n", - "\n", - " font_sizes_and_line_numbers = {}\n", - " for page in collection_of_textract_responses:\n", - " per_page_text = []\n", - " blocks = page['Blocks']\n", - " for block in blocks:\n", - " if block['BlockType'] == 'LINE':\n", - " block_text_dict = {}\n", - " running_sequence_number += 1\n", - " block_text_dict.update(text=block['Text'])\n", - " block_text_dict.update(page=block['Page'])\n", - " block_text_dict.update(left_indent=round(block['Geometry']['BoundingBox']['Left'], 2))\n", - " font_height = round(block['Geometry']['BoundingBox']['Height'], 3)\n", - " line_number = running_sequence_number\n", - " block_text_dict.update(font_height=round(block['Geometry']['BoundingBox']['Height'], 3))\n", - " block_text_dict.update(indent_from_top=round(block['Geometry']['BoundingBox']['Top'], 2))\n", - " block_text_dict.update(text_width=round(block['Geometry']['BoundingBox']['Width'], 2))\n", - " block_text_dict.update(line_number=running_sequence_number)\n", - "\n", - " if font_height in font_sizes_and_line_numbers:\n", - " line_numbers = font_sizes_and_line_numbers[font_height]\n", - " line_numbers.append(line_number)\n", - " font_sizes_and_line_numbers[font_height] = line_numbers\n", - " else:\n", - " line_numbers = []\n", - " line_numbers.append(line_number)\n", - " font_sizes_and_line_numbers[font_height] = line_numbers\n", - "\n", - " total_text.append(block['Text'])\n", - " per_page_text.append(block['Text'])\n", - " total_text_with_info.append(block_text_dict)\n", - "\n", - " return total_text, total_text_with_info, font_sizes_and_line_numbers\n", - "\n", - "def get_text_with_line_spacing_info(total_text_with_info):\n", - " i = 1\n", - " text_info_with_line_spacing_info = []\n", - " while (i < len(total_text_with_info) - 1):\n", - " previous_line_info = total_text_with_info[i - 1]\n", - " current_line_info = total_text_with_info[i]\n", - " next_line_info = total_text_with_info[i + 1]\n", - " if current_line_info['page'] == next_line_info['page'] and previous_line_info['page'] == current_line_info[\n", - " 'page']:\n", - " line_spacing_after = round((next_line_info['indent_from_top'] - current_line_info['indent_from_top']), 2)\n", - " spacing_with_prev = round((current_line_info['indent_from_top'] - previous_line_info['indent_from_top']), 2)\n", - " current_line_info.update(line_space_before=spacing_with_prev)\n", - " current_line_info.update(line_space_after=line_spacing_after)\n", - " text_info_with_line_spacing_info.append(current_line_info)\n", - " else:\n", - " text_info_with_line_spacing_info.append(None)\n", - " i += 1\n", - " return text_info_with_line_spacing_info" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "McBARKH_ClEU" - }, - "source": [ - "We feed in the Job ID from before into the function `get_text_results_from_textract` to fetch all of the chunks associated with this job. Then, we pass the resulting list into `get_the_text_with_required_info` and `get_text_with_line_spacing_info` to organize the text into lines.\n", - "\n", - "Finally, we can concatenate the lines into one string to pass into our downstream RAG pipeline." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "0CieHFURIhBm" - }, - "outputs": [], - "source": [ - "all_text = \"\\n\".join([line[\"text\"] if line else \"\" for line in text_info_with_line_spacing])\n", - "\n", - "with open(f\"aws-parsed-{source_filename}.txt\", \"w\") as f:\n", - " f.write(all_text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "fy8iAFpbggcD" - }, - "source": [ - "#### Visualize the parsed document" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "3AwZQnFRghv8" - }, - "outputs": [], - "source": [ - "filename = \"aws-parsed-{}.txt\".format(source_filename)\n", - "with open(\"{}/{}\".format(data_dir, filename), \"r\") as doc:\n", - " parsed_document = doc.read()\n", - "\n", - "print(parsed_document[:1000])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LEO2tP6WJmtn" - }, - "source": [ - "\n", - "### Solution 3: Unstructured.io [[Back to Solutions]](#top)\n", - "\n", - "Unstructured.io provides libraries with open-source components for pre-processing text documents such as PDFs, HTML and Word Documents.\n", - "
    \n", - "External documentation: https://github.com/Unstructured-IO/unstructured-api" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "julG3fBrgmqQ" - }, - "source": [ - "#### Parsing the document\n", - "\n", - "The guide assumes an endpoint exists that hosts this service. The API is offered in two forms\n", - "1. [a hosted version](https://unstructured.io/)\n", - "2. [an OSS docker image](https://github.com/Unstructured-IO/unstructured-api?tab=readme-ov-file#dizzy-instructions-for-using-the-docker-image)\n", - "
    \n", - "**Note: You can skip to the next block if you want to use the pre-existing parsed version.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "5-jaa4-aJiJG" - }, - "outputs": [], - "source": [ - "import os\n", - "import requests\n", - "\n", - "UNSTRUCTURED_URL = \"\" # enter service endpoint\n", - "\n", - "parsed_documents = []\n", - "\n", - "input_path = \"{}/{}.{}\".format(data_dir, source_filename, extension)\n", - "with open(input_path, 'rb') as file_data:\n", - " response = requests.post(\n", - " url=UNSTRUCTURED_URL,\n", - " files={\"files\": (\"{}.{}\".format(source_filename, extension), file_data)},\n", - " data={\n", - " \"output_format\": (None, \"application/json\"),\n", - " \"stratergy\": \"hi_res\",\n", - " \"pdf_infer_table_structure\": \"true\",\n", - " \"include_page_breaks\": \"true\"\n", - " },\n", - " headers={\"Accept\": \"application/json\"}\n", - " )\n", - "\n", - "parsed_response = response.json()\n", - "\n", - "parsed_document = \" \".join([parsed_entry[\"text\"] for parsed_entry in parsed_response])\n", - "print(\"Parsed {}\".format(source_filename))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "5qI5Q85vTfxs" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Post process parsed document and store it locally.\n", - "\"\"\"\n", - "\n", - "file_path = \"{}/{}-parsed-fda-approved-drug.txt\".format(data_dir, \"unstructured-io\")\n", - "store_document(file_path, parsed_document)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kOAYMvt1HlAj" - }, - "source": [ - "#### Visualize the parsed document" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "cBr_roPTHonz" - }, - "outputs": [], - "source": [ - "filename = \"unstructured-io-parsed-{}.txt\".format(source_filename)\n", - "with open(\"{}/{}\".format(data_dir, filename), \"r\") as doc:\n", - " parsed_document = doc.read()\n", - "\n", - "print(parsed_document[:1000])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "KF528hYyEQZx" - }, - "source": [ - "\n", - "\n", - "### Solution 4: LlamaParse [[Back to Solutions]](#top)\n", - "\n", - "LlamaParse is an API created by LlamaIndex to efficiently parse and represent files for efficient retrieval and context augmentation using LlamaIndex frameworks.\n", - "
    \n", - "External documentation: https://github.com/run-llama/llama_parse" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "oBLNlEVXgshj" - }, - "source": [ - "#### Parsing the document\n", - "\n", - "The following block uses the LlamaParse cloud offering. You can learn more and fetch a respective API key for the service [here](https://cloud.llamaindex.ai/parse).\n", - "
    \n", - "Parsing documents with LlamaParse offers an option for two output modes both of which we will explore and compare below\n", - "- Text\n", - "- Markdown\n", - "
    \n", - "\n", - "**Note: You can skip to the next block if you want to use the pre-existing parsed version.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "hR_85riD9ApM" - }, - "outputs": [], - "source": [ - "import os\n", - "from llama_parse import LlamaParse\n", - "\n", - "import nest_asyncio # needed to notebook env\n", - "nest_asyncio.apply() # needed to notebook env\n", - "\n", - "llama_index_api_key = \"{API_KEY}\"\n", - "input_path = \"{}/{}.{}\".format(data_dir, source_filename, extension)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "e4TskJQ4EdpA" - }, - "outputs": [], - "source": [ - "# Text mode\n", - "text_parser = LlamaParse(\n", - " api_key=llama_index_api_key,\n", - " result_type=\"text\"\n", - ")\n", - "\n", - "text_response = text_parser.load_data(input_path)\n", - "text_parsed_document = \" \".join([parsed_entry.text for parsed_entry in text_response])\n", - "\n", - "print(\"Parsed {} to text\".format(source_filename))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "GKca2BfI9X5O" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Post process parsed document and store it locally.\n", - "\"\"\"\n", - "\n", - "file_path = \"{}/{}-text-parsed-fda-approved-drug.txt\".format(data_dir, \"llamaparse\")\n", - "store_document(file_path, text_parsed_document)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Mjig7g-f89PQ" - }, - "outputs": [], - "source": [ - "# Markdown mode\n", - "markdown_parser = LlamaParse(\n", - " api_key=llama_index_api_key,\n", - " result_type=\"markdown\"\n", - ")\n", - "\n", - "markdown_response = markdown_parser.load_data(input_path)\n", - "markdown_parsed_document = \" \".join([parsed_entry.text for parsed_entry in markdown_response])\n", - "\n", - "print(\"Parsed {} to markdown\".format(source_filename))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "upmHMI8SLcXZ" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Post process parsed document and store it locally.\n", - "\"\"\"\n", - "\n", - "file_path = \"{}/{}-markdown-parsed-fda-approved-drug.txt\".format(data_dir, \"llamaparse\")\n", - "store_document(file_path, markdown_parsed_document)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "P88GmKarHsTQ" - }, - "source": [ - "#### Visualize the parsed document" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Y0Cs-a03Huob" - }, - "outputs": [], - "source": [ - "# Text parsing\n", - "\n", - "filename = \"llamaparse-text-parsed-{}.txt\".format(source_filename)\n", - "\n", - "with open(\"{}/{}\".format(data_dir, filename), \"r\") as doc:\n", - " parsed_document = doc.read()\n", - " \n", - "print(parsed_document[:1000])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "XW6SIVEg_fRN" - }, - "outputs": [], - "source": [ - "# Markdown parsing\n", - "\n", - "filename = \"llamaparse-markdown-parsed-fda-approved-drug.txt\"\n", - "with open(\"{}/{}\".format(data_dir, filename), \"r\") as doc:\n", - " parsed_document = doc.read()\n", - " \n", - "print(parsed_document[:1000])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8QQ_RopYZ_mf" - }, - "source": [ - "\n", - "\n", - "### Solution 5: pdf2image + pytesseract [[Back to Solutions]](#top)\n", - "\n", - "The final parsing method we examine does not rely on cloud services, but rather relies on two libraries: `pdf2image`, and `pytesseract`. `pytesseract` lets you perform OCR locally on images, but not PDF files. So, we first convert our PDF into a set of images via `pdf2image`." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "unM8RfqYgzWC" - }, - "source": [ - "#### Parsing the document" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "4kt-bmbGeWsf" - }, - "outputs": [], - "source": [ - "from matplotlib import pyplot as plt\n", - "from pdf2image import convert_from_path\n", - "import pytesseract" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "5bE2Sebtf_eU" - }, - "outputs": [], - "source": [ - "# pdf2image extracts as a list of PIL.Image objects\n", - "pages = convert_from_path(filename)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "ViLTaLPYeT-o" - }, - "outputs": [], - "source": [ - "# we look at the first page as a sanity check:\n", - "\n", - "plt.imshow(pages[0])\n", - "plt.axis('off')\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mZhXeNTbBKU_" - }, - "source": [ - "Now, we can process the image of each page with `pytesseract` and concatenate the results to get our parsed document." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "W1SNCEd91k7N" - }, - "outputs": [], - "source": [ - "label_ocr_pytesseract = \"\".join([pytesseract.image_to_string(page) for page in pages])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "tv9hLiJgBANJ", - "outputId": "1c3bd5dc-cfe9-43e6-9cc7-bc695a210d31" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "HIGHLIGHTS OF PRESCRIBING INFORMATION\n", - "\n", - "These highlights do not include all the information needed to use\n", - "IWILFIN™ safely and effectively. See full prescribing information for\n", - "IWILFIN.\n", - "\n", - "IWILFIN™ (eflor\n" - ] - } - ], - "source": [ - "print(label_ocr_pytesseract[:200])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "TIwcDmjXejKV" - }, - "outputs": [], - "source": [ - "label_ocr_pytesseract = \"\".join([pytesseract.image_to_string(page) for page in pages])\n", - "\n", - "with open(f\"pytesseract-parsed-{source_filename}.txt\", \"w\") as f:\n", - " f.write(label_ocr_pytesseract)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "j0u1UfNdaFt4" - }, - "source": [ - "#### Visualize the parsed document" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "HaJutaBkf9Yj" - }, - "outputs": [], - "source": [ - "filename = \"pytesseract-parsed-{}.txt\".format(source_filename)\n", - "with open(\"{}/{}\".format(data_dir, filename), \"r\") as doc:\n", - " parsed_document = doc.read()\n", - "\n", - "print(parsed_document[:1000])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "SCbkT4oZSfs9", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "\n", - "## Document Questions\n", - "\n", - "We can now ask a set of simple + complex questions and see how each parsing solution performs with Command-R. The questions are\n", - "- **What are the most common adverse reactions of Iwilfin?**\n", - " - Task: Simple information extraction\n", - "- **What is the recommended dosage of IWILFIN on body surface area between 0.5 and 0.75?**\n", - " - Task: Tabular data extraction\n", - "- **I need a succinct summary of the compound name, indication, route of administration, and mechanism of action of Iwilfin.**\n", - " - Task: Overall document summary" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "WSo0xA4vZAHV" - }, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.Client(api_key=\"{API_KEY}\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "gyPYqKErY7ni" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Document Questions\n", - "\"\"\"\n", - "prompt = \"What are the most common adverse reactions of Iwilfin?\"\n", - "# prompt = \"What is the recommended dosage of Iwilfin on body surface area between 0.5 m2 and 0.75 m2?\"\n", - "# prompt = \"I need a succinct summary of the compound name, indication, route of administration, and mechanism of action of Iwilfin.\"\n", - "\n", - "\"\"\"\n", - "Choose one of the above solutions\n", - "\"\"\"\n", - "source = \"gcp\"\n", - "# source = \"aws\"\n", - "# source = \"unstructured-io\"\n", - "# source = \"llamaparse-text\"\n", - "# source = \"llamaparse-markdown\"\n", - "# source = \"pytesseract\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "BwdK7trMKykt", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "## Data Ingestion\n", - "\n", - "\n", - "In order to set up our RAG implementation, we need to separate the parsed text into chunks and load the chunks to an index. The index will allow us to retrieve relevant passages from the document for different queries. Here, we use a simple implementation of indexing using the `hnswlib` library. Note that there are many different indexing solutions that are appropriate for specific production use cases." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "46rPn1uoLQDa" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Read parsed document content and chunk data\n", - "\"\"\"\n", - "\n", - "import os\n", - "from langchain_text_splitters import RecursiveCharacterTextSplitter\n", - "\n", - "documents = []\n", - "\n", - "with open(\"{}/{}-parsed-fda-approved-drug.txt\".format(data_dir, source), \"r\") as doc:\n", - "doc_content = doc.read()\n", - "\n", - "\"\"\"\n", - "Personal notes on chunking\n", - "https://medium.com/@ayhamboucher/llm-based-context-splitter-for-large-documents-445d3f02b01b\n", - "\"\"\"\n", - "\n", - "\n", - "# Chunk doc content\n", - "text_splitter = RecursiveCharacterTextSplitter(\n", - " chunk_size=512,\n", - " chunk_overlap=200,\n", - " length_function=len,\n", - " is_separator_regex=False\n", - ")\n", - "\n", - "# Split the text into chunks with some overlap\n", - "chunks_ = text_splitter.create_documents([doc_content])\n", - "documents = [c.page_content for c in chunks_]\n", - "\n", - "print(\"Source document has been broken down to {} chunks\".format(len(documents)))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "lk4YgREV7LgC" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Embed document chunks\n", - "\"\"\"\n", - "document_embeddings = co.embed(texts=documents, model=\"embed-english-v3.0\", input_type=\"search_document\").embeddings" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "xtG3eblo7Mkd", - "outputId": "6dfb3a1f-d4a5-480b-b8e3-233950fce701" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Count: 115\n" - ] - } - ], - "source": [ - "\"\"\"\n", - "Create document index and add embedded chunks\n", - "\"\"\"\n", - "\n", - "import hnswlib\n", - "\n", - "index = hnswlib.Index(space='ip', dim=1024) # space: inner product\n", - "index.init_index(max_elements=len(document_embeddings), ef_construction=512, M=64)\n", - "index.add_items(document_embeddings, list(range(len(document_embeddings))))\n", - "print(\"Count:\", index.element_count)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YIncJz3qhWkg", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "## Retrieval\n", - "\n", - "In this step, we use k-nearest neighbors to fetch the most relevant documents for our query. Once the nearest neighbors are retrieved, we use Cohere's reranker to reorder the documents in the most relevant order with regards to our input search query." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "5rTZcKQ48tAJ" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Embed search query\n", - "Fetch k nearest neighbors\n", - "\"\"\"\n", - "\n", - "query_emb = co.embed(texts=[prompt], model='embed-english-v3.0', input_type=\"search_query\").embeddings\n", - "default_knn = 10\n", - "knn = default_knn if default_knn <= index.element_count else index.element_count\n", - "result = index.knn_query(query_emb, k=knn)\n", - "neighbors = [(result[0][0][i], result[1][0][i]) for i in range(len(result[0][0]))]\n", - "relevant_docs = [documents[x[0]] for x in sorted(neighbors, key=lambda x: x[1])]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "vz8jbX8A9RO_" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Rerank retrieved documents\n", - "\"\"\"\n", - "\n", - "rerank_results = co.rerank(query=prompt, documents=relevant_docs, top_n=3, model='rerank-english-v2.0').results\n", - "reranked_relevant_docs = format_docs_for_chat([x.document[\"text\"] for x in rerank_results])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "KX0RYtx1HW_h", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "## Final Step: Call Command-R + RAG!" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "beUFAEKnHYCQ" - }, - "outputs": [], - "source": [ - "\"\"\"\n", - "Call the /chat endpoint with command-r\n", - "\"\"\"\n", - "\n", - "response = co.chat(\n", - " message=prompt,\n", - " model=\"command-r\",\n", - " documents=reranked_relevant_docs\n", - ")\n", - "\n", - "cited_response, citations_reference = insert_citations_in_order(response.text, response.citations, reranked_relevant_docs)\n", - "print(cited_response)\n", - "print(\"\\n\")\n", - "print(\"References:\")\n", - "print(citations_reference)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lzJclPa6hnpi", - "jp-MarkdownHeadingCollapsed": true - }, - "source": [ - "## Head-to-head Comparisons\n", - "\n", - "Run the code cells below to make head to head comparisons of the different parsing techniques across different questions." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "52wdFoILLy85" - }, - "outputs": [], - "source": [ - "import pandas as pd\n", - "results = pd.read_csv(\"{}/results-table.csv\".format(data_dir))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "l5WswlWbO4Da", - "outputId": "ddf65c9d-ef12-4b07-a000-b8c1e6c1319e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "Question 1: What are the most common adverse reactions of Iwilfin?\n", - "Question 2: What is the recommended dosage of Iwilfin on body surface area between 0.5 m2 and 0.75 m2?\n", - "Question 3: I need a succinct summary of the compound name, indication, route of administration, and mechanism of action of Iwilfin.\n", - "\n", - "Pick which question you want to see (1,2,3): 3\n", - "Do you want to see the references as well? References are long and noisy (y/n): n\n", - "\n", - "\n", - "\n", - "| gcp |\n", - "\n", - "\n", - "Compound Name: eflornithine hydrochloride ([0], [1], [2]) (IWILFIN ([1])™)\n", - "\n", - "Indication: used to reduce the risk of relapse in adult and paediatric patients with high-risk neuroblastoma (HRNB) ([1], [3]), who have responded at least partially to prior multiagent, multimodality therapy. ([1], [3], [4])\n", - "\n", - "Route of Administration: IWILFIN™ tablets ([1], [3], [4]) are taken orally twice daily ([3], [4]), with doses ranging from 192 to 768 mg based on body surface area. ([3], [4])\n", - "\n", - "Mechanism of Action: IWILFIN™ is an ornithine decarboxylase inhibitor. ([0], [2])\n", - "\n", - "\n", - "\n", - "| aws |\n", - "\n", - "\n", - "Compound Name: eflornithine ([0], [1], [2], [3]) (IWILFIN ([0])™)\n", - "\n", - "Indication: used to reduce the risk of relapse ([0], [3]) in adults ([0], [3]) and paediatric patients ([0], [3]) with high-risk neuroblastoma (HRNB) ([0], [3]) who have responded to prior therapies. ([0], [3], [4])\n", - "\n", - "Route of Administration: Oral ([2], [4])\n", - "\n", - "Mechanism of Action: IWILFIN is an ornithine decarboxylase inhibitor. ([1])\n", - "\n", - "\n", - "| unstructured-io |\n", - "\n", - "\n", - "Compound Name: Iwilfin ([1], [2], [3], [4]) (eflornithine) ([0], [2], [3], [4])\n", - "\n", - "Indication: Iwilfin is indicated to reduce the risk of relapse ([1], [3]) in adult and paediatric patients ([1], [3]) with high-risk neuroblastoma (HRNB) ([1], [3]), who have responded to prior anti-GD2 ([1]) immunotherapy ([1], [4]) and multi-modality therapy. ([1])\n", - "\n", - "Route of Administration: Oral ([0], [3])\n", - "\n", - "Mechanism of Action: Iwilfin is an ornithine decarboxylase inhibitor. ([1], [2], [3], [4])\n", - "\n", - "\n", - "| llamaparse-text |\n", - "\n", - "\n", - "Compound Name: IWILFIN ([2], [3]) (eflornithine) ([3])\n", - "\n", - "Indication: IWILFIN is used to reduce the risk of relapse ([1], [2], [3]) in adult and paediatric patients ([1], [2], [3]) with high-risk neuroblastoma (HRNB) ([1], [2], [3]), who have responded at least partially to certain prior therapies. ([2], [3])\n", - "\n", - "Route of Administration: IWILFIN is administered as a tablet. ([2])\n", - "\n", - "Mechanism of Action: IWILFIN is an ornithine decarboxylase inhibitor. ([0], [1], [4])\n", - "\n", - "\n", - "| llamaparse-markdown |\n", - "\n", - "\n", - "Compound Name: IWILFIN ([1], [2]) (eflornithine) ([1])\n", - "\n", - "Indication: IWILFIN is indicated to reduce the risk of relapse ([1], [2]) in adult and paediatric patients ([1], [2]) with high-risk neuroblastoma (HRNB) ([1], [2]), who have responded at least partially ([1], [2], [3]) to prior anti-GD2 immunotherapy ([1], [2]) and multiagent, multimodality therapy. ([1], [2], [3])\n", - "\n", - "Route of Administration: Oral ([0], [1], [3], [4])\n", - "\n", - "Mechanism of Action: IWILFIN acts as an ornithine decarboxylase inhibitor. ([1])\n", - "\n", - "\n", - "| pytesseract |\n", - "\n", - "\n", - "Compound Name: IWILFIN™ ([0], [2]) (eflornithine) ([0], [2])\n", - "\n", - "Indication: IWILFIN is indicated to reduce the risk of relapse ([0], [2]) in adult and paediatric patients ([0], [2]) with high-risk neuroblastoma (HRNB) ([0], [2]), who have responded positively to prior anti-GD2 immunotherapy and multiagent, multimodality therapy. ([0], [2], [4])\n", - "\n", - "Route of Administration: IWILFIN is administered orally ([0], [1], [3], [4]), in the form of a tablet. ([1])\n", - " \n", - "Mechanism of Action: IWILFIN acts as an ornithine decarboxylase inhibitor. ([0])\n", - "\n", - "\n" - ] - } - ], - "source": [ - "question = input(\"\"\"\n", - "Question 1: What are the most common adverse reactions of Iwilfin?\n", - "Question 2: What is the recommended dosage of Iwilfin on body surface area between 0.5 m2 and 0.75 m2?\n", - "Question 3: I need a succinct summary of the compound name, indication, route of administration, and mechanism of action of Iwilfin.\n", - "\n", - "Pick which question you want to see (1,2,3): \"\"\")\n", - "references = input(\"Do you want to see the references as well? References are long and noisy (y/n): \")\n", - "print(\"\\n\\n\")\n", - "\n", - "index = {\"1\": 0, \"2\": 3, \"3\": 6}[question]\n", - "\n", - "for src in [\"gcp\", \"aws\", \"unstructured-io\", \"llamaparse-text\", \"llamaparse-markdown\", \"pytesseract\"]:\n", - " print(\"| {} |\".format(src))\n", - " print(\"\\n\")\n", - " print(results[src][index])\n", - " if references == \"y\":\n", - " print(\"\\n\")\n", - " print(\"References:\")\n", - " print(results[src][index+1])\n", - " print(\"\\n\")" - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [ - "BoM6-Tq-Sm-1", - "jLtrGjXiJE9M", - "dlRxuddi5w0E", - "1YCszLJnge4j", - "LEO2tP6WJmtn", - "julG3fBrgmqQ", - "KF528hYyEQZx", - "oBLNlEVXgshj", - "8QQ_RopYZ_mf", - "unM8RfqYgzWC", - "BwdK7trMKykt" - ], - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.2" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Entity_Extrcation_with_Generative_Language_Models.ipynb b/notebooks/guides/Entity_Extrcation_with_Generative_Language_Models.ipynb index 46f38775..f30183a4 100644 --- a/notebooks/guides/Entity_Extrcation_with_Generative_Language_Models.ipynb +++ b/notebooks/guides/Entity_Extrcation_with_Generative_Language_Models.ipynb @@ -1,1416 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "66368ec5-0276-454f-b290-32e135455368", - "metadata": { - "id": "66368ec5-0276-454f-b290-32e135455368" - }, - "source": [ - "# Entity Extraction with Generative Models\n", - "\n", - "This notebook demonstrates how to use Cohere's generative models to extract the name of a film from the title of an article. This demonstrates Named Entity Recognition (NER) of entities which are harder to isolate using other NLP methods (and where pre-training provides the model with some context on these entities). This also demonstrates the broader usecase of sturctured generation based on providing multiple examples in the prompt.\n", - "\n", - "\n", - "\n", - "![Extracting Entities from text](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/keyword-extraction-gpt-models.png)\n", - "\n", - "\n", - "We'll use post titles from the r/Movies subreddit. And for each title, we'll extract which movie the post is about. If the model is unable to detect the name of a movie being mentioned, it will return \"none\".\n", - "\n", - "## Setup\n", - "Let's start by installing the packages we need." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "00b28473-48d9-4036-880f-71a839d3df7f", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "00b28473-48d9-4036-880f-71a839d3df7f", - "outputId": "a85ad413-2165-45e7-dac4-7323f89eaf6f" - }, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" requests tqdm" - ] - }, - { - "cell_type": "markdown", - "id": "c1d1f58a-1a30-42bb-a443-e9227c4a4ff7", - "metadata": { - "id": "c1d1f58a-1a30-42bb-a443-e9227c4a4ff7" - }, - "source": [ - "We'll then import these packages and declare the function that retrieves post titles from reddit." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "b9b83146-5648-40b7-8a26-6dba4e6ef6e0", - "metadata": { - "id": "b9b83146-5648-40b7-8a26-6dba4e6ef6e0" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import pandas as pd\n", - "import requests\n", - "import datetime\n", - "from tqdm import tqdm\n", - "pd.set_option('display.max_colwidth', None)\n", - "\n", - "def get_post_titles(**kwargs):\n", - " \"\"\" Gets data from the pushshift api. Read more: https://github.com/pushshift/api \"\"\"\n", - " base_url = f\"https://api.pushshift.io/reddit/search/submission/\"\n", - " payload = kwargs\n", - " request = requests.get(base_url, params=payload)\n", - " return [a['title'] for a in request.json()['data']]\n" - ] - }, - { - "cell_type": "markdown", - "id": "e78c4f33-3d35-448c-b511-e5150bbe951c", - "metadata": { - "id": "e78c4f33-3d35-448c-b511-e5150bbe951c" - }, - "source": [ - "You'll need your API key for this next cell. [Sign up to Cohere](https://os.cohere.ai/) and get one if you haven't yet." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "9c1554a9-9203-4ca9-9711-aa9ce051721e", - "metadata": { - "id": "9c1554a9-9203-4ca9-9711-aa9ce051721e" - }, - "outputs": [], - "source": [ - "# Paste your API key here. Remember to not share publicly\n", - "api_key = ''\n", - "\n", - "# Create and retrieve a Cohere API key from os.cohere.ai\n", - "co = cohere.Client(api_key)" - ] - }, - { - "cell_type": "markdown", - "id": "edb17ad3-22f6-46f4-82f4-57b72f4ee973", - "metadata": { - "id": "edb17ad3-22f6-46f4-82f4-57b72f4ee973" - }, - "source": [ - "## Preparing examples for the prompt\n", - "\n", - "In our prompt, we'll present the model with examples for the type of output we're after. We basically get a set of subreddit article titles, and label them ourselves. The label here is the name of the movie mentioned in the title (and \"none\" if no movie is mentioned).\n", - "\n", - "\n", - "![Labeled dataset of text and extracted text](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/keyword-extraction-dataset.png)\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "a10e6784-6e8b-4a17-83fd-ec34d90c90c6", - "metadata": { - "id": "a10e6784-6e8b-4a17-83fd-ec34d90c90c6", - "tags": [] - }, - "outputs": [], - "source": [ - "\n", - "movie_examples = [\n", - "(\"Deadpool 2\", \"Deadpool 2 | Official HD Deadpool's \\\"Wet on Wet\\\" Teaser | 2018\"),\n", - "(\"none\", \"Jordan Peele Just Became the First Black Writer-Director With a $100M Movie Debut\"),\n", - "(\"Joker\", \"Joker Officially Rated “R”\"),\n", - "(\"Free Guy\", \"Ryan Reynolds’ 'Free Guy' Receives July 3, 2020 Release Date - About a bank teller stuck in his routine that discovers he’s an NPC character in brutal open world game.\"),\n", - "(\"none\", \"James Cameron congratulates Kevin Feige and Marvel!\"),\n", - "(\"Guardians of the Galaxy\", \"The Cast of Guardians of the Galaxy release statement on James Gunn\"),\n", - "]\n" - ] - }, - { - "cell_type": "markdown", - "id": "fdc57403-013a-4e31-94f8-27c18702c770", - "metadata": { - "id": "fdc57403-013a-4e31-94f8-27c18702c770" - }, - "source": [ - "\n", - "\n", - "## Creating the extraction prompt\n", - "\n", - "We'll create a prompt that demonstrates the task to the model. The prompt contains the examples above, and then presents the input text and asks the model to extract the movie name.\n", - "\n", - "\n", - "![Extraction prompt containing the examples and the input text](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/extraction-prompt-example.png)\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "0ae89a2b-9f94-4e1e-8d00-378cc1b48149", - "metadata": { - "id": "0ae89a2b-9f94-4e1e-8d00-378cc1b48149" - }, - "outputs": [], - "source": [ - "#@title Create the prompt (Run this cell to execute required code) {display-mode: \"form\"}\n", - "\n", - "class cohereExtractor():\n", - " def __init__(self, examples, example_labels, labels, task_desciption, example_prompt):\n", - " self.examples = examples\n", - " self.example_labels = example_labels\n", - " self.labels = labels\n", - " self.task_desciption = task_desciption\n", - " self.example_prompt = example_prompt\n", - "\n", - " def make_prompt(self, example):\n", - " examples = self.examples + [example]\n", - " labels = self.example_labels + [\"\"]\n", - " return (self.task_desciption +\n", - " \"\\n---\\n\".join( [examples[i] + \"\\n\" +\n", - " self.example_prompt + \n", - " labels[i] for i in range(len(examples))]))\n", - "\n", - " def extract(self, example):\n", - " extraction = co.generate(\n", - " model='large',\n", - " prompt=self.make_prompt(example),\n", - " max_tokens=10,\n", - " temperature=0.1,\n", - " stop_sequences=[\"\\n\"])\n", - " return(extraction.generations[0].text[:-1])\n", - "\n", - "\n", - "cohereMovieExtractor = cohereExtractor([e[1] for e in movie_examples], \n", - " [e[0] for e in movie_examples], [],\n", - " \"\", \n", - " \"extract the movie title from the post:\")\n", - "\n", - "# Uncomment to inspect the full prompt:\n", - "# print(cohereMovieExtractor.make_prompt(''))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "Fw8V9H2EF16U", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Fw8V9H2EF16U", - "outputId": "e60b28e9-7454-4e09-b658-8a56c7f1a553" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Deadpool 2 | Official HD Deadpool's \"Wet on Wet\" Teaser | 2018\n", - "extract the movie title from the post:Deadpool 2\n", - "---\n", - "Jordan Peele Just Became the First Black Writer-Director With a $100M Movie Debut\n", - "extract the movie title from the post:none\n", - "---\n", - "Joker Officially Rated “R”\n", - "extract the movie title from the post:Joker\n", - "---\n", - "Ryan Reynolds’ 'Free Guy' Receives July 3, 2020 Release Date - About a bank teller stuck in his routine that discovers he’s an NPC character in brutal open world game.\n", - "extract the movie title from the post:Free Guy\n", - "---\n", - "James Cameron congratulates Kevin Feige and Marvel!\n", - "extract the movie title from the post:none\n", - "---\n", - "The Cast of Guardians of the Galaxy release statement on James Gunn\n", - "extract the movie title from the post:Guardians of the Galaxy\n", - "---\n", - "\n", - "extract the movie title from the post:\n" - ] - } - ], - "source": [ - "# This is what the prompt looks like:\n", - "print(cohereMovieExtractor.make_prompt(''))" - ] - }, - { - "cell_type": "markdown", - "id": "aa02636a-eb2c-4096-a84e-5a8fb5f8249b", - "metadata": { - "id": "aa02636a-eb2c-4096-a84e-5a8fb5f8249b" - }, - "source": [ - "## Getting the data\n", - "Let's now make the API call to get the top posts for 2021 from r/movies." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "d569e098-d97e-41c2-93c7-7f10e09eb53d", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "d569e098-d97e-41c2-93c7-7f10e09eb53d", - "outputId": "2ba5a845-b009-42c7-f783-ea8d005ec3bc" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "['Hayao Miyazaki Got So Bored with Retirement He Started Directing Again ‘in Order to Live’',\n", - " \"First poster for Pixar's Luca\",\n", - " 'New images from Space Jam: A New Legacy',\n", - " 'Official Poster for \"Sonic the Hedgehog 2\"',\n", - " 'Ng Man Tat, legendary HK actor and frequent collborator of Stephen Chow (Shaolin Soccer, God of Gambler) died at 70',\n", - " 'Zack Snyder’s Justice League has officially been Rated R for for violence and some language',\n", - " 'HBOMax and Disney+ NEED to improve their apps if they want to compete with Netflix.',\n", - " 'I want a sequel to Rat Race where John Cleese’s character dies and invites everyone from the first film to his funeral, BUT, he’s secretly set up a Rat Maze to trap them all in. A sort of post-mortem revenge on them for donating all his wealth to charity.',\n", - " \"'Trainspotting' at 25: How an Indie Film About Heroin Became a Feel-Good Classic\",\n", - " '‘Avatar: The Last Airbender’ Franchise To Expand With Launch Of Nickelodeon’s Avatar Studios, Animated Theatrical Film To Start Production Later This Year']" - ] - }, - "execution_count": 29, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "num_posts = 10\n", - "\n", - "movies_list = get_post_titles(size=num_posts, \n", - " after=str(int(datetime.datetime(2021,1,1,0,0).timestamp())), \n", - " before=str(int(datetime.datetime(2022,1,1,0,0).timestamp())), \n", - " subreddit=\"movies\", \n", - " sort_type=\"score\", \n", - " sort=\"desc\")\n", - "\n", - "# Show the list\n", - "movies_list" - ] - }, - { - "cell_type": "markdown", - "id": "29be719c-6ac9-49e1-a01c-e9ecac01c681", - "metadata": { - "id": "29be719c-6ac9-49e1-a01c-e9ecac01c681" - }, - "source": [ - "## Running the model\n", - "And now we loop over the posts and process each one of them with our extractor." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "999dad3e-7152-440d-bec9-ae8a89f10b8f", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "999dad3e-7152-440d-bec9-ae8a89f10b8f", - "outputId": "40c8ce64-793b-42bf-b44e-8ee07c0a7379", - "tags": [] - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "100%|██████████| 10/10 [00:10<00:00, 1.09s/it]\n" - ] - } - ], - "source": [ - "results = []\n", - "for text in tqdm(movies_list):\n", - " try:\n", - " extracted_text = cohereMovieExtractor.extract(text)\n", - " results.append(extracted_text)\n", - " except Exception as e:\n", - " print('ERROR: ', e)" - ] - }, - { - "cell_type": "markdown", - "id": "a6a56981-5320-47d9-96bf-cf2b90278964", - "metadata": { - "id": "a6a56981-5320-47d9-96bf-cf2b90278964" - }, - "source": [ - "Let's look at the results:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "296d7bde-c01e-48e2-bf41-24624307eca7", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 459 - }, - "id": "296d7bde-c01e-48e2-bf41-24624307eca7", - "outputId": "9c1199cc-acaf-4cc7-93ff-0e51f4e7a149" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "
    \n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    textextracted_text
    0Hayao Miyazaki Got So Bored with Retirement He Started Directing Again ‘in Order to Live’none
    1First poster for Pixar's LucaPixar's Luca
    2New images from Space Jam: A New LegacySpace Jam: A New Legacy
    3Official Poster for \"Sonic the Hedgehog 2\"Sonic the Hedgehog 2
    4Ng Man Tat, legendary HK actor and frequent collborator of Stephen Chow (Shaolin Soccer, God of Gambler) died at 70none
    5Zack Snyder’s Justice League has officially been Rated R for for violence and some languageJustice League
    6HBOMax and Disney+ NEED to improve their apps if they want to compete with Netflix.none
    7I want a sequel to Rat Race where John Cleese’s character dies and invites everyone from the first film to his funeral, BUT, he’s secretly set up a Rat Maze to trap them all in. A sort of post-mortem revenge on them for donating all his wealth to charity.Rat Race
    8'Trainspotting' at 25: How an Indie Film About Heroin Became a Feel-Good ClassicTrainspotting
    9‘Avatar: The Last Airbender’ Franchise To Expand With Launch Of Nickelodeon’s Avatar Studios, Animated Theatrical Film To Start Production Later This YearAvatar: The Last Airbender
    \n", - "
    \n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
    \n", - "
    \n", - " " - ], - "text/plain": [ - " text extracted_text\n", - "0 Hayao Miyazaki Got So Bored with Retirement He Started Directing Again ‘in Order to Live’ none\n", - "1 First poster for Pixar's Luca Pixar's Luca\n", - "2 New images from Space Jam: A New Legacy Space Jam: A New Legacy\n", - "3 Official Poster for \"Sonic the Hedgehog 2\" Sonic the Hedgehog 2\n", - "4 Ng Man Tat, legendary HK actor and frequent collborator of Stephen Chow (Shaolin Soccer, God of Gambler) died at 70 none\n", - "5 Zack Snyder’s Justice League has officially been Rated R for for violence and some language Justice League\n", - "6 HBOMax and Disney+ NEED to improve their apps if they want to compete with Netflix. none\n", - "7 I want a sequel to Rat Race where John Cleese’s character dies and invites everyone from the first film to his funeral, BUT, he’s secretly set up a Rat Maze to trap them all in. A sort of post-mortem revenge on them for donating all his wealth to charity. Rat Race\n", - "8 'Trainspotting' at 25: How an Indie Film About Heroin Became a Feel-Good Classic Trainspotting\n", - "9 ‘Avatar: The Last Airbender’ Franchise To Expand With Launch Of Nickelodeon’s Avatar Studios, Animated Theatrical Film To Start Production Later This Year Avatar: The Last Airbender" - ] - }, - "execution_count": 31, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "pd.DataFrame(data={'text': movies_list, 'extracted_text': results})" - ] - }, - { - "cell_type": "markdown", - "id": "8ce85595-0aca-43d1-9d27-dd2954919970", - "metadata": { - "id": "8ce85595-0aca-43d1-9d27-dd2954919970" - }, - "source": [ - "Looking at these results, the model got 9/10 correctly. It didn't pick up on Shaolin Soccer and God of Gambler in example \\#4. It also called the second example \"Pixar's Luca\" instead of \"Luca\". But maybe we'll let this one slide.\n", - "\n", - "When experimenting with extrction prompts, we'll often find edge-cases along the way. What if a post has two movies mentioned, for example? The more we run into such examples, the more examples we can add to the prompt that address these cases.\n", - "\n", - "## How well does this work?\n", - "We can better measure the performance of this extraction method using a larger labeled dataset. So let's load a test set of 100 examples:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "f39dd295-debd-48d3-ad30-76068e4e47e6", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 423 - }, - "id": "f39dd295-debd-48d3-ad30-76068e4e47e6", - "outputId": "6ca66b6d-effe-4f37-bc6b-b578e737b553", - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "
    \n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    textlabel
    0Disney's streaming service loses some movies due to old licensing dealsnone
    1Hi, I’m Sam Raimi, producer of THE GRUDGE which hits theaters tonight. Ask Me Anything!The Grudge
    2'Parasite' Named Best Picture by Australia's AACTA AwardsParasite
    3Danny Trejo To Star In Vampire Spaghetti Western ‘Death Rider in the House of Vampires’Death Rider in the House of Vampires
    4I really wish the 'realistic' CGI animal trend would end.none
    .........
    95Hair Love | Oscar Winning Short Film (Full)Hair Love
    96First image of Jason Alexander in Christian film industry satire 'Faith Based'Faith Based
    97'Borderlands' Movie in the Works From Eli Roth, LionsgateBorderlands
    98Taika Waititi putting his Oscar \"away\" after winning best adapted screenplay for JOJO RABBITJojo Rabbit
    99Oscar-Winning 'Parasite' Lands One-Week IMAX Release Starting February 21 in 200+ TheatersParasite
    \n", - "

    100 rows × 2 columns

    \n", - "
    \n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
    \n", - "
    \n", - " " - ], - "text/plain": [ - " text label\n", - "0 Disney's streaming service loses some movies due to old licensing deals none\n", - "1 Hi, I’m Sam Raimi, producer of THE GRUDGE which hits theaters tonight. Ask Me Anything! The Grudge\n", - "2 'Parasite' Named Best Picture by Australia's AACTA Awards Parasite\n", - "3 Danny Trejo To Star In Vampire Spaghetti Western ‘Death Rider in the House of Vampires’ Death Rider in the House of Vampires\n", - "4 I really wish the 'realistic' CGI animal trend would end. none\n", - ".. ... ...\n", - "95 Hair Love | Oscar Winning Short Film (Full) Hair Love\n", - "96 First image of Jason Alexander in Christian film industry satire 'Faith Based' Faith Based\n", - "97 'Borderlands' Movie in the Works From Eli Roth, Lionsgate Borderlands\n", - "98 Taika Waititi putting his Oscar \"away\" after winning best adapted screenplay for JOJO RABBIT Jojo Rabbit\n", - "99 Oscar-Winning 'Parasite' Lands One-Week IMAX Release Starting February 21 in 200+ Theaters Parasite\n", - "\n", - "[100 rows x 2 columns]" - ] - }, - "execution_count": 22, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "test_df = pd.read_csv('https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/movie_extraction_test_set_100.csv',index_col=0)\n", - "test_df" - ] - }, - { - "cell_type": "markdown", - "id": "f57acd7d-4da3-4ef1-9488-556d9474fc59", - "metadata": { - "id": "f57acd7d-4da3-4ef1-9488-556d9474fc59" - }, - "source": [ - "Let's run the extractor on these post titles (calling the API in parallel for quicker results):" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "db5e9ff7-96fd-434f-859d-332866839af5", - "metadata": { - "id": "db5e9ff7-96fd-434f-859d-332866839af5" - }, - "outputs": [], - "source": [ - "from concurrent.futures import ThreadPoolExecutor\n", - "\n", - "extracted = []\n", - "# Run the model to extract the entities\n", - "with ThreadPoolExecutor(max_workers=8) as executor:\n", - " for i in executor.map(cohereMovieExtractor.extract, test_df['text']):\n", - " extracted.append(str(i).strip())\n", - "# Save results\n", - "test_df['extracted_text'] = extracted" - ] - }, - { - "cell_type": "markdown", - "id": "ca0b61fb-d97e-4623-a936-037d41935c9a", - "metadata": { - "id": "ca0b61fb-d97e-4623-a936-037d41935c9a" - }, - "source": [ - "Let's look at some results:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "55b90bd5-fbd4-427d-9fb5-088caa8b9faa", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "55b90bd5-fbd4-427d-9fb5-088caa8b9faa", - "outputId": "15298f0d-e9eb-427f-af0d-af45438a3836", - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "
    \n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    textlabelextracted_text
    0Disney's streaming service loses some movies due to old licensing dealsnonenone
    1Hi, I’m Sam Raimi, producer of THE GRUDGE which hits theaters tonight. Ask Me Anything!The GrudgeThe Grudge
    2'Parasite' Named Best Picture by Australia's AACTA AwardsParasiteParasite
    3Danny Trejo To Star In Vampire Spaghetti Western ‘Death Rider in the House of Vampires’Death Rider in the House of VampiresDeath Rider
    4I really wish the 'realistic' CGI animal trend would end.nonenone
    \n", - "
    \n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
    \n", - "
    \n", - " " - ], - "text/plain": [ - " text ... extracted_text\n", - "0 Disney's streaming service loses some movies due to old licensing deals ... none\n", - "1 Hi, I’m Sam Raimi, producer of THE GRUDGE which hits theaters tonight. Ask Me Anything! ... The Grudge\n", - "2 'Parasite' Named Best Picture by Australia's AACTA Awards ... Parasite\n", - "3 Danny Trejo To Star In Vampire Spaghetti Western ‘Death Rider in the House of Vampires’ ... Death Rider\n", - "4 I really wish the 'realistic' CGI animal trend would end. ... none\n", - "\n", - "[5 rows x 3 columns]" - ] - }, - "execution_count": 24, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "test_df.head()" - ] - }, - { - "cell_type": "markdown", - "id": "887f90b0-131c-47e8-803e-405114a32986", - "metadata": { - "id": "887f90b0-131c-47e8-803e-405114a32986" - }, - "source": [ - "Let's calculate the accuracy by comparing to the labeled examples" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "992b3b1c-85a0-47e0-8821-d843882afc0b", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "992b3b1c-85a0-47e0-8821-d843882afc0b", - "outputId": "03a3670b-6354-4bd2-a195-e3c4fc55db9d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Classification accuracy 89.0%\n" - ] - } - ], - "source": [ - "# Compare the label to the extracted text\n", - "test_df['correct'] = (test_df['label'].str.lower() == test_df['extracted_text'].str.lower()).astype(int)\n", - "\n", - "# Print the accuracy\n", - "print(f'Classification accuracy {test_df[\"correct\"].mean() *100}%')" - ] - }, - { - "cell_type": "markdown", - "id": "dd278dc2-6ec0-47a8-906e-907e0da445cf", - "metadata": { - "id": "dd278dc2-6ec0-47a8-906e-907e0da445cf" - }, - "source": [ - "So it seems this prompt works well on this small test set. It's not guaranteed it will do as well on other sets, however. The prompt can be improved by trying on more data, discovering edge cases, and adding more examples to the prompt.\n", - "\n", - "We can look at the examples it got wrong:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "5215a8b6-d19b-4622-af54-98bfb9b3118a", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 490 - }, - "id": "5215a8b6-d19b-4622-af54-98bfb9b3118a", - "outputId": "418c73b9-e116-45a1-9e01-879d2ad976db" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "
    \n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    textlabelextracted_textcorrect
    3Danny Trejo To Star In Vampire Spaghetti Western ‘Death Rider in the House of Vampires’Death Rider in the House of VampiresDeath Rider0
    6De Niro recreating a scene from Goodfellas to test Irishman deaging (3:30 in)Goodfellasnone0
    12Is there anyway way I could get a copy of 1917 for my dying father in law?1917none0
    30How Uncut Gems Won Over the Diamond DistrictUncut Gemsnone0
    31Michael J. Fox and Christopher Lloyd posing for the Back to the Future II poster in 1989 that would later be illustrated by Drew StruzanBack to the Future IIBack to the Future0
    392019 in film - with 'Movies' by Weyes BloodnoneMovies0
    57The Mad Max franchise is my all time favorite movie series. I finally watched Waterworld tonight. Oh man why didnt I see this sooner?Mad MaxWaterworld0
    69How A New Hope created Pixar Animation StudiosStar Warsnone0
    75A scene from the movie 1917 was recreated from the stroyboards.1917none0
    82New Wonder Woman imageWonder Womannone0
    88Thoughts on the Irishman ...The Irishmannone0
    \n", - "
    \n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
    \n", - "
    \n", - " " - ], - "text/plain": [ - " text ... correct\n", - "3 Danny Trejo To Star In Vampire Spaghetti Western ‘Death Rider in the House of Vampires’ ... 0\n", - "6 De Niro recreating a scene from Goodfellas to test Irishman deaging (3:30 in) ... 0\n", - "12 Is there anyway way I could get a copy of 1917 for my dying father in law? ... 0\n", - "30 How Uncut Gems Won Over the Diamond District ... 0\n", - "31 Michael J. Fox and Christopher Lloyd posing for the Back to the Future II poster in 1989 that would later be illustrated by Drew Struzan ... 0\n", - "39 2019 in film - with 'Movies' by Weyes Blood ... 0\n", - "57 The Mad Max franchise is my all time favorite movie series. I finally watched Waterworld tonight. Oh man why didnt I see this sooner? ... 0\n", - "69 How A New Hope created Pixar Animation Studios ... 0\n", - "75 A scene from the movie 1917 was recreated from the stroyboards. ... 0\n", - "82 New Wonder Woman image ... 0\n", - "88 Thoughts on the Irishman ... ... 0\n", - "\n", - "[11 rows x 4 columns]" - ] - }, - "execution_count": 26, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "test_df[test_df['correct']==0]" - ] - }, - { - "cell_type": "markdown", - "id": "e550c48e-a68c-4883-a5a6-2c379b13c3bf", - "metadata": { - "id": "e550c48e-a68c-4883-a5a6-2c379b13c3bf" - }, - "source": [ - "It indeed failed to pick up a few examples. Sometimes this uncovers edge cases and understandable mistakes (e.g. two films are mentioned in the text). \n", - "\n", - "\n", - "We can look at the classification report for a more detailed look at what's included in the test set, and what the model got right and wrong:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "e84eeadd-8aba-43a5-9461-b84305e162f2", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "e84eeadd-8aba-43a5-9461-b84305e162f2", - "outputId": "69d03f5e-93fb-4f66-d0af-d9969bbb9a9c" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - " precision recall f1-score support\n", - "\n", - " 1917 0.00 0.00 0.00 2\n", - " 2001: a space odyssey 1.00 1.00 1.00 1\n", - " ad astra 1.00 1.00 1.00 1\n", - " alice doesn't live here anymore 1.00 1.00 1.00 1\n", - " austin powers 1.00 1.00 1.00 1\n", - " back to the future 0.00 0.00 0.00 0\n", - " back to the future ii 0.00 0.00 0.00 1\n", - " blood simple 1.00 1.00 1.00 1\n", - " bohemian rhapsody 1.00 1.00 1.00 1\n", - " borderlands 1.00 1.00 1.00 1\n", - " brief encounter 1.00 1.00 1.00 1\n", - " cats 1.00 1.00 1.00 1\n", - " congo 1.00 1.00 1.00 1\n", - " death rider 0.00 0.00 0.00 0\n", - "death rider in the house of vampires 0.00 0.00 0.00 1\n", - " dolemite is my name 1.00 1.00 1.00 1\n", - " dracula untold 1.00 1.00 1.00 1\n", - " dreams 1.00 1.00 1.00 1\n", - " dune 1.00 1.00 1.00 1\n", - " edge of tomorrow 1.00 1.00 1.00 1\n", - " el topo 1.00 1.00 1.00 1\n", - " end of watch 1.00 1.00 1.00 1\n", - " faith based 1.00 1.00 1.00 1\n", - " fun home 1.00 1.00 1.00 1\n", - " goodfellas 0.00 0.00 0.00 1\n", - " gretel and hansel 1.00 1.00 1.00 1\n", - " hair love 1.00 1.00 1.00 1\n", - " hard boiled 1.00 1.00 1.00 1\n", - " interstellar 1.00 1.00 1.00 1\n", - " john wick 1.00 1.00 1.00 1\n", - " jojo rabbit 1.00 1.00 1.00 2\n", - " king kong 1.00 1.00 1.00 1\n", - " knives out 1.00 1.00 1.00 1\n", - " little women 1.00 1.00 1.00 1\n", - " mad max 0.00 0.00 0.00 1\n", - " marriage story 1.00 1.00 1.00 1\n", - " movies 0.00 0.00 0.00 0\n", - " ni no kuni 1.00 1.00 1.00 1\n", - " none 0.81 0.97 0.88 30\n", - " once upon a time in hollywood 1.00 1.00 1.00 2\n", - " parasite 1.00 1.00 1.00 5\n", - " ratatouille 1.00 1.00 1.00 1\n", - " ricochet 1.00 1.00 1.00 1\n", - " robocop 1.00 1.00 1.00 1\n", - " rocky 1.00 1.00 1.00 1\n", - " rocky balboa 1.00 1.00 1.00 1\n", - " run 1.00 1.00 1.00 1\n", - " shin godzilla 1.00 1.00 1.00 1\n", - " star wars 0.00 0.00 0.00 1\n", - " swallow 1.00 1.00 1.00 1\n", - " the big year 1.00 1.00 1.00 1\n", - " the flintstones 1.00 1.00 1.00 1\n", - " the grude 1.00 1.00 1.00 1\n", - " the grudge 1.00 1.00 1.00 3\n", - " the ice road 1.00 1.00 1.00 1\n", - " the irishman 0.00 0.00 0.00 1\n", - " the lighthouse 1.00 1.00 1.00 1\n", - " the two popes 1.00 1.00 1.00 1\n", - " togo 1.00 1.00 1.00 1\n", - " tumbbad 1.00 1.00 1.00 1\n", - " uncut gems 0.00 0.00 0.00 1\n", - " unknown soldier 1.00 1.00 1.00 1\n", - " waterworld 0.00 0.00 0.00 0\n", - " willow 1.00 1.00 1.00 1\n", - " wonder woman 0.00 0.00 0.00 1\n", - " wonder woman 1984 1.00 1.00 1.00 1\n", - "\n", - " accuracy 0.89 100\n", - " macro avg 0.80 0.80 0.80 100\n", - " weighted avg 0.84 0.89 0.86 100\n", - "\n" - ] - } - ], - "source": [ - "from sklearn.metrics import classification_report\n", - "import warnings\n", - "warnings.filterwarnings('ignore')\n", - "\n", - "print(classification_report(test_df['label'].str.lower(), test_df['extracted_text'].str.lower()))" - ] - }, - { - "cell_type": "markdown", - "id": "197f724e-aa03-48a2-aafc-9da2fec0d720", - "metadata": { - "id": "197f724e-aa03-48a2-aafc-9da2fec0d720" - }, - "source": [ - "This type of extraction is interesting because it doesn't just blindly look at the text. The model has picked up on movie information during its pretraining process and that helps it understand the task from only a few examples.\n", - "\n", - "You can think about extending this to other subreddits, to extract other kinds of entities and information. [Let us know in the forum](https://community.cohere.ai/) what you experiment with and what kinds of results you see!\n", - "\n", - "Happy building!" - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [], - "name": "Entity_Extraction_With_Generative_Models.ipynb", - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.7" - } - }, - "nbformat": 4, - "nbformat_minor": 5 + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Entity_Extrcation_with_Generative_Language_Models.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/guides/Fueling_Generative_Content_with_Keyword_Research.ipynb b/notebooks/guides/Fueling_Generative_Content_with_Keyword_Research.ipynb index 3c45e59b..391c147a 100644 --- a/notebooks/guides/Fueling_Generative_Content_with_Keyword_Research.ipynb +++ b/notebooks/guides/Fueling_Generative_Content_with_Keyword_Research.ipynb @@ -1,1071 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "5HkhthoeyQnV" - }, - "source": [ - "# Fueling Generative Content with Keyword Research\n", - "\n", - "Generative models have proven extremely useful in content idea generation. But they don’t take into account user search demand and trends. In this notebook, let’s see how we can solve that by adding keyword research into the equation.\n", - "\n", - "Read the accompanying [blog post here](https://txt.cohere.ai/generative-content-keyword-research/)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Install packages\n", - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "4awUCb_3jw-v", - "outputId": "ab8e8e13-99a8-47fc-8736-49a698476075" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import cohere\n", - "import numpy as np\n", - "import pandas as pd\n", - "from sklearn.cluster import KMeans\n", - "\n", - "import cohere\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "#@title Enable text wrapping in Google Colab\n", - "\n", - "from IPython.display import HTML, display\n", - "\n", - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9YsKrQFsyZIE" - }, - "source": [ - "# Step 1: Get a list of High-performing Keywords " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, we need to get a supply of high-traffic keywords for a given topic. We can get this via keyword research tools, of which are many available. We’ll use Google Keyword Planner, which is free to use." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/plain": [ - "'remote_teams.csv'" - ] - }, - "execution_count": 3, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Download the pre-created dataset (feel free to replace with your CSV file, containing two columns - \"keyword\" and \"volume\")\n", - "\n", - "import wget\n", - "wget.download(\"https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/remote_teams.csv\", \"remote_teams.csv\")" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "_JdLS_FVyjfr", - "outputId": "211c8b07-da89-4269-a652-2c164d09338d" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    keywordvolume
    0managing remote teams1000
    1remote teams390
    2collaboration tools for remote teams320
    3online games for remote teams320
    4how to manage remote teams260
    \n", - "
    " - ], - "text/plain": [ - " keyword volume\n", - "0 managing remote teams 1000\n", - "1 remote teams 390\n", - "2 collaboration tools for remote teams 320\n", - "3 online games for remote teams 320\n", - "4 how to manage remote teams 260" - ] - }, - "execution_count": 4, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Create a dataframe\n", - "df = pd.read_csv('remote_teams.csv')\n", - "df.columns = [\"keyword\",\"volume\"]\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "whqG2M2Dylxu" - }, - "source": [ - "# Step 2: Group the Keywords into Topics " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We now have a list of keywords, but this list is still raw. For example, “managing remote teams” is the top-ranking keyword in this list. But at the same time, there are many similar keywords further down in the list, such as “how to effectively manage remote teams.”\n", - "\n", - "We can do that by clustering them into topics. For this, we’ll leverage Cohere’s Embed endpoint and scikit-learn." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qJbbahQA0BJb" - }, - "source": [ - "### Embed the Keywords with Cohere Embed" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Cohere Embed endpoint turns a text input into a text embedding." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "O7vz9gkXjGMI", - "outputId": "d7f53d3d-e426-4433-a5be-1bb9c7d2b566" - }, - "outputs": [ + "cells": [ { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Fueling_Generative_Content_with_Keyword_Research.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" } - ], - "source": [ - "def embed_text(texts):\n", - " output = co.embed(\n", - " texts=texts,\n", - " model='embed-english-v3.0',\n", - " input_type=\"search_document\",\n", - " )\n", - " return output.embeddings\n", - "\n", - "embeds = np.array(embed_text(df['keyword'].tolist()))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "GKnEI1E40Djn" - }, - "source": [ - "### Cluster the Keywords into Topics with scikit-learn" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We then use these embeddings to cluster the keywords. A common term used for this exercise is “topic modeling.” Here, we can leverage scikit-learn’s KMeans module, a machine learning algorithm for clustering." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "jUAZueb0luKN", - "outputId": "b05799e5-665d-4dcf-fb30-7eb7df1ed03f" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    keywordvolumetopic
    0managing remote teams10000
    1remote teams3901
    2collaboration tools for remote teams3201
    3online games for remote teams3203
    4how to manage remote teams2600
    \n", - "
    " - ], - "text/plain": [ - " keyword volume topic\n", - "0 managing remote teams 1000 0\n", - "1 remote teams 390 1\n", - "2 collaboration tools for remote teams 320 1\n", - "3 online games for remote teams 320 3\n", - "4 how to manage remote teams 260 0" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "NUM_TOPICS = 4\n", - "kmeans = KMeans(n_clusters=NUM_TOPICS, random_state=21, n_init=\"auto\").fit(embeds)\n", - "df['topic'] = list(kmeans.labels_)\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "fLUzQoJD0IdY" - }, - "source": [ - "### Generate Topic Names with Cohere Chat" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We use the Chat to generate a topic name for that cluster." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Group the DataFrame by 'topic' and aggregate the 'keyword' column into sets (which automatically removes duplicates)\n", - "topic_keywords_dict = {topic: list(set(group['keyword'])) for topic, group in df.groupby('topic')}" - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Function to generate a topic name based on keywords\n", - "def generate_topic_name(keywords):\n", - " # Construct the prompt\n", - " prompt = f\"\"\"Generate a concise topic name that best represents these keywords.\\\n", - "Provide just the topic name and not any additional details.\n", - "\n", - "Keywords: {', '.join(keywords)}\"\"\"\n", - " \n", - " # Call the Cohere API\n", - " response = co.chat(\n", - " model='command-r', # Choose the model size\n", - " message=prompt,\n", - " preamble=\"\")\n", - " \n", - " # Return the generated text\n", - " return response.text" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    keywordvolumetopictopic_name
    0managing remote teams10000Remote Team Management
    1remote teams3901Remote Team Tools and Tips
    2collaboration tools for remote teams3201Remote Team Tools and Tips
    3online games for remote teams3203Remote Team Fun
    4how to manage remote teams2600Remote Team Management
    \n", - "
    " - ], - "text/plain": [ - " keyword volume topic \\\n", - "0 managing remote teams 1000 0 \n", - "1 remote teams 390 1 \n", - "2 collaboration tools for remote teams 320 1 \n", - "3 online games for remote teams 320 3 \n", - "4 how to manage remote teams 260 0 \n", - "\n", - " topic_name \n", - "0 Remote Team Management \n", - "1 Remote Team Tools and Tips \n", - "2 Remote Team Tools and Tips \n", - "3 Remote Team Fun \n", - "4 Remote Team Management " - ] - }, - "execution_count": 23, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Generate topic names and create a mapping of topic number to topic name\n", - "topic_name_mapping = {topic: generate_topic_name(keywords) for topic, keywords in topic_keywords_dict.items()}\n", - "\n", - "# Use the mapping to create a new column in the DataFrame\n", - "df['topic_name'] = df['topic'].map(topic_name_mapping)\n", - "\n", - "# Display the first few rows to verify the new column\n", - "df.head()" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Topic 0: Remote Team Management\n", - "Topic 1: Remote Team Tools and Tips\n", - "Topic 2: Remote Team Resources\n", - "Topic 3: Remote Team Fun\n" - ] - } - ], - "source": [ - "# View the list of topics\n", - "for topic, name in topic_name_mapping.items():\n", - " print(f\"Topic {topic}: {name}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "pMQzL5sL0YNN" - }, - "source": [ - "# Step 3: Generate Blog Post Ideas for Each Topic" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now that we have the keywords nicely grouped into topics, we can proceed to generate the content ideas.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1tlIS2db0fWU" - }, - "source": [ - "### Take the Top Keywords from Each Topic" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here we can implement a filter to take just the top N keywords from each topic, sorted by the search volume. In our case, we use 10." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "1bnYoTUCGQTv", - "outputId": "cabdd711-641f-44e7-b7c5-19bf85f2512c" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "TOP_N = 10\n", - "\n", - "# Group the DataFrame by topic and select the top N keywords sorted by volume\n", - "top_keywords = (df.groupby('topic')\n", - " .apply(lambda x: x.nlargest(TOP_N, 'volume'))\n", - " .reset_index(drop=True))\n", - "\n", - "\n", - "# Convert the DataFrame to a nested dictionary\n", - "content_by_topic = {}\n", - "for topic, group in top_keywords.groupby('topic'):\n", - " keywords = ', '.join(list(group['keyword']))\n", - " topic2name = topic2name = dict(df.groupby('topic')['topic_name'].first())\n", - " topic_name = topic2name[topic]\n", - " content_by_topic[topic] = {'topic_name': topic_name, 'keywords': keywords}" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 285 - }, - "id": "erNJQT3a5kkD", - "outputId": "f8b0c153-0bc9-46bd-b7fe-e5d0283dc3ab" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/plain": [ - "{0: {'topic_name': 'Remote Team Management',\n", - " 'keywords': 'managing remote teams, how to manage remote teams, leading remote teams, managing remote teams best practices, remote teams best practices, best practices for managing remote teams, manage remote teams, building culture in remote teams, culture building for remote teams, managing remote teams training'},\n", - " 1: {'topic_name': 'Remote Team Tools and Tips',\n", - " 'keywords': 'remote teams, collaboration tools for remote teams, team building for remote teams, scrum remote teams, tools for remote teams, zapier remote teams, working agreements for remote teams, working with remote teams, free collaboration tools for remote teams, free retrospective tools for remote teams'},\n", - " 2: {'topic_name': 'Remote Team Resources',\n", - " 'keywords': 'best collaboration tools for remote teams, slack best practices for remote teams, best communication tools for remote teams, best tools for remote teams, always on video for remote teams, best apps for remote teams, best free collaboration tools for remote teams, best games for remote teams, best gifts for remote teams, best ice breaker questions for remote teams'},\n", - " 3: {'topic_name': 'Remote Team Fun',\n", - " 'keywords': 'online games for remote teams, team building activities for remote teams, games for remote teams, retrospective ideas for remote teams, team building ideas for remote teams, fun retrospective ideas for remote teams, retro ideas for remote teams, team building exercises for remote teams, trust building exercises for remote teams, activities for remote teams'}}" - ] - }, - "execution_count": 27, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Print the topics and they top keywords\n", - "content_by_topic" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VCXY5cNj0qAW" - }, - "source": [ - "### Create a Prompt with These Keywords" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we use the Chat endpoint to produce the content ideas. The prompt we’ll use is as follows" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "M-g_FiA6fX2w", - "outputId": "d4545e7c-ca43-4b58-ac87-2f2c4227eadc" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def generate_blog_ideas(keywords):\n", - " prompt = f\"\"\"{keywords}\\n\\nThe above is a list of high-traffic keywords obtained from a keyword research tool. \n", - "Suggest three blog post ideas that are highly relevant to these keywords. \n", - "For each idea, write a one paragraph abstract about the topic. \n", - "Use this format:\n", - "Blog title: \n", - "Abstract: \"\"\"\n", - " \n", - " response = co.chat(\n", - " model='command-r',\n", - " message = prompt)\n", - " return response.text\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wTV6GG8i0tdK" - }, - "source": [ - "### Generate Content Ideas" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we generate the blog post ideas. It takes in a string of keywords, calls the Chat endpoint, and returns the generated text." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "-qGuVkIfmJ_d", - "outputId": "5b7588cb-cfda-4590-80ca-532fceb6f125" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Topic Name: Remote Team Management\n", - "\n", - "Top Keywords: managing remote teams, how to manage remote teams, leading remote teams, managing remote teams best practices, remote teams best practices, best practices for managing remote teams, manage remote teams, building culture in remote teams, culture building for remote teams, managing remote teams training\n", - "\n", - "Blog Post Ideas: Here are three blog post ideas:\n", - "\n", - "1. Blog title: \"Leading Remote Teams: Strategies for Effective Management\"\n", - " Abstract: Effective management of remote teams is crucial for success, but it comes with unique challenges. This blog will explore practical strategies for leading dispersed employees, focusing on building a cohesive and productive virtual workforce. It will cover topics such as establishing clear communication protocols, fostering a collaborative environment, and the importance of trusting and empowering your remote employees for enhanced performance.\n", - "\n", - "2. Blog title: \"Remote Teams' Best Practices: Creating a Vibrant and Engaging Culture\"\n", - " Abstract: Building a rich culture in a remote team setting is essential for employee engagement and retention. The blog will delve into creative ways to foster a sense of community and connection among team members who may be scattered across the globe. It will offer practical tips on creating virtual rituals, fostering open communication, and harnessing the power of technology for cultural development, ensuring remote employees feel valued and engaged.\n", - "\n", - "3. Blog title: \"Managing Remote Teams: A Comprehensive Guide to Training and Development\"\n", - " Abstract: Training and developing remote teams present specific challenges and opportunities. This comprehensive guide will arm managers with techniques to enhance their remote team's skills and knowledge. It will explore the latest tools and methodologies for remote training, including virtual workshops, e-learning platforms, and performance coaching. Additionally, the blog will discuss the significance of ongoing development and how to create an environment that encourages self-improvement and learning.\n", - "\n", - "Each of these topics explores a specific aspect of managing remote teams, providing valuable insights and practical guidance for leaders and managers in the evolving remote work landscape.\n", - "\n", - "--------------------------------------------------\n", - "Topic Name: Remote Team Tools and Tips\n", - "\n", - "Top Keywords: remote teams, collaboration tools for remote teams, team building for remote teams, scrum remote teams, tools for remote teams, zapier remote teams, working agreements for remote teams, working with remote teams, free collaboration tools for remote teams, free retrospective tools for remote teams\n", - "\n", - "Blog Post Ideas: 1. Blog title: \"The Ultimate Guide to Building Effective Remote Teams\"\n", - " Abstract: Building a cohesive and productive remote team can be challenging. This blog will serve as a comprehensive guide, offering practical tips and insights on how to create a united and successful virtual workforce. It will cover essential topics such as building a strong team culture, utilizing collaboration tools, and fostering effective communication strategies, ensuring remote teams can thrive and achieve their full potential.\n", - "\n", - "2. Blog title: \"The Best Collaboration Tools for Remote Teams: A Comprehensive Review\"\n", - " Abstract: With the rapid rise of remote work, collaboration tools have become essential for teams' productivity and efficiency. This blog aims to review and compare the most popular collaboration tools, providing an in-depth analysis of their features, ease of use, and benefits. It will offer insights into choosing the right tools for remote collaboration, helping teams streamline their workflows and enhance their overall performance.\n", - "\n", - "3. Blog title: \"Remote Retrospective: A Guide to Reflect and Grow as a Remote Team\"\n", - " Abstract: Conducting effective retrospectives is crucial for remote teams to reflect on their experiences, learn from the past, and chart a course for the future. This blog will focus on remote retrospectives, exploring different formats, techniques, and free tools that teams can use to foster continuous improvement. It will also provide tips on creating a safe and inclusive environment, encouraging honest feedback and productive discussions.\n", - "\n", - "--------------------------------------------------\n", - "Topic Name: Remote Team Resources\n", - "\n", - "Top Keywords: best collaboration tools for remote teams, slack best practices for remote teams, best communication tools for remote teams, best tools for remote teams, always on video for remote teams, best apps for remote teams, best free collaboration tools for remote teams, best games for remote teams, best gifts for remote teams, best ice breaker questions for remote teams\n", - "\n", - "Blog Post Ideas: 1. Blog title: \"The Ultimate Guide to Remote Team Collaboration Tools\"\n", - " Abstract: With the rise of remote work, choosing the right collaboration tools can be crucial to a team's success and productivity. This blog aims to be an comprehensive guide, outlining the various types of tools available, from communication platforms like Slack to project management software and online collaboration tools. It will offer best practices and guidelines for selecting and utilizing these tools, ensuring remote teams can work seamlessly together and maximize their output.\n", - "\n", - "2. Blog title: \"Remote Team Management: Tips for Leading a Successful Virtual Workforce\"\n", - " Abstract: Managing a remote team comes with its own set of challenges. This blog will provide an in-depth exploration of best practices for leading and motivating virtual teams. Covering topics such as effective communication strategies, performance evaluation, and maintaining a cohesive team culture, it will offer practical tips for managers and leaders to ensure their remote teams are engaged, productive, and well-managed.\n", - "\n", - "3. Blog title: \"The Fun Side of Remote Work: Games, Icebreakers, and Team Building Activities\"\n", - " Abstract: Remote work can be isolating, and this blog aims to provide some fun and creative solutions. It will offer a comprehensive guide to the best online games, icebreaker questions, and virtual team building activities that remote teams can use to connect and bond. From virtual escape rooms to interactive games and thought-provoking icebreakers, these ideas will help enhance team spirit, foster collaboration, and create a enjoyable remote work experience.\n", - "\n", - "--------------------------------------------------\n", - "Topic Name: Remote Team Fun\n", - "\n", - "Top Keywords: online games for remote teams, team building activities for remote teams, games for remote teams, retrospective ideas for remote teams, team building ideas for remote teams, fun retrospective ideas for remote teams, retro ideas for remote teams, team building exercises for remote teams, trust building exercises for remote teams, activities for remote teams\n", - "\n", - "Blog Post Ideas: 1. Blog title: \"The Great Remote Retro: Fun Games and Activities for Your Team\"\n", - " Abstract: Remote work can make team building challenging. This blog post will be a fun guide to hosting interactive retro games and activities that bring your remote team together. From online escape rooms to virtual scavenger hunts, we'll explore the best ways to engage and unite your team, fostering collaboration and camaraderie. Virtual icebreakers and retrospective ideas will also be included to make your remote meetings more interactive and enjoyable.\n", - "\n", - "2. Blog title: \"Trust Falls: Building Trust Among Remote Teams\"\n", - " Abstract: Trust is the foundation of every successful team, but how do you build it when everyone is scattered across different locations? This blog will focus on trust-building exercises and activities designed specifically for remote teams. From virtual trust falls to transparent communication practices, we'll discover innovative ways to strengthen team bonds and foster a culture of trust. You'll learn how to create an environment where your remote team can thrive and collaborate effectively.\n", - "\n", - "3. Blog title: \"Game Night for Remote Teams: A Guide to Online Games and Activities\"\n", - " Abstract: Miss the old office game nights? This blog will bring the fun back with a guide to hosting online game nights and activities that are perfect for remote teams. From trivia games to virtual board games and even remote-friendly outdoor adventures, we'll keep your team engaged and entertained. With tips on setting up online tournaments and ideas for encouraging participation, your virtual game nights will be the highlight of your team's week. Keep your remote team spirit high!\n", - "\n", - "--------------------------------------------------\n" - ] - } - ], - "source": [ - "# Generate content ideas\n", - "for key,value in content_by_topic.items():\n", - " value['ideas'] = generate_blog_ideas(value['keywords'])\n", - "\n", - "\n", - "# Print the results\n", - "for key,value in content_by_topic.items():\n", - " print(f\"Topic Name: {value['topic_name']}\\n\")\n", - " print(f\"Top Keywords: {value['keywords']}\\n\")\n", - " print(f\"Blog Post Ideas: {value['ideas']}\\n\")\n", - " print(\"-\"*50)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Anr0Hu3hLxE3" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Generating_stories_with_LLMs_and_Stable_Diffusion.ipynb b/notebooks/guides/Generating_stories_with_LLMs_and_Stable_Diffusion.ipynb index e32bbad4..d798974b 100644 --- a/notebooks/guides/Generating_stories_with_LLMs_and_Stable_Diffusion.ipynb +++ b/notebooks/guides/Generating_stories_with_LLMs_and_Stable_Diffusion.ipynb @@ -1,1447 +1,18 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, - "cells": [ - { - "cell_type": "markdown", - "source": [ - "# Generating Stories and Story ideas with Cohere and Stable Diffusion\n", - "\n", - "Describe your story in two sentences, then guide Cohere's language model as it turns it into a bigger story.\n", - "\n", - "Your story description, called the log line, will then be used to generate the story title, characters, plot, location descriptions, and scene dialog. This is done with a different prompt for each of these components.\n", - "\n", - "This notebook follows the method and prompts described in the paper [Co-Writing Screenplays and Theatre Scripts with Language Models: An Evaluation by Industry Professionals](https://www.deepmind.com/publications/co-writing-screenplays-and-theatre-scripts-with-language-models-an-evaluation-by-industry-professionals) by Piotr Mirowski, Kory Mathewson, Jaylen Pittman, Richard Evans.\n", - "\n", - "![https://i.imgur.com/IcHfYhw.png](https://i.imgur.com/IcHfYhw.png)\n", - "\n" - ], - "metadata": { - "id": "YNK61JcCt_jc" - } - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "BmhfNHANRWmD" - }, - "outputs": [], - "source": [ - "!pip install stability-sdk cohere" - ] - }, - { - "cell_type": "code", - "source": [ - "#@title Import Cohere, set up some things { display-mode: \"form\" }\n", - "\n", - "import cohere\n", - "import time\n", - "import pandas as pd\n", - "import re\n", - "import io\n", - "import os\n", - "import warnings\n", - "from IPython.display import display\n", - "from PIL import Image\n", - "from stability_sdk import client\n", - "import stability_sdk.interfaces.gooseai.generation.generation_pb2 as generation\n", - "import getpass, os\n", - "\n", - "\n", - "# Paste your API key here. Remember to not share it publicly \n", - "os.environ['COHERE_KEY'] = getpass.getpass('Enter your Cohere API Key')\n", - "co = cohere.Client(os.environ['COHERE_KEY'])\n", - "\n", - "# To get your API key, visit https://beta.dreamstudio.ai/membership\n", - "os.environ['STABILITY_KEY'] = getpass.getpass('Enter your Dream Studio API Key')\n", - "\n", - "def generate(prompt, model=\"xlarge\", num_generations=5, temperature=0.7, max_tokens=50, stop_sequences=['']):\n", - " prediction = co.generate(\n", - " model=model,\n", - " prompt=prompt,\n", - " return_likelihoods = 'GENERATION',\n", - " stop_sequences=stop_sequences,\n", - " max_tokens=max_tokens,\n", - " temperature=temperature,\n", - " num_generations=num_generations)\n", - " \n", - " # Get list of generations\n", - " gens = []\n", - " likelihoods = []\n", - " for gen in prediction.generations:\n", - " gens.append(gen.text)\n", - " \n", - " sum_likelihood = 0\n", - " for t in gen.token_likelihoods:\n", - " sum_likelihood += t.likelihood\n", - " # Get sum of likelihoods\n", - " likelihoods.append(sum_likelihood)\n", - "\n", - " pd.options.display.max_colwidth = 200\n", - " # Create a dataframe for the generated sentences and their likelihood scores\n", - " df = pd.DataFrame({'generation':gens, 'likelihood': likelihoods})\n", - " # Drop duplicates\n", - " df = df.drop_duplicates(subset=['generation'])\n", - " # Sort by highest sum likelihood\n", - " df = df.sort_values('likelihood', ascending=False, ignore_index=True)\n", - " \n", - " return df\n", - "\n", - "\n", - "stability_api = client.StabilityInference(\n", - " key=os.environ['STABILITY_KEY'], \n", - " verbose=True,\n", - ")\n", - "\n", - "\n", - "def generate_image(image_prompt):\n", - " # the object returned is a python generator\n", - " answers = stability_api.generate(\n", - " prompt=image_prompt\n", - " )\n", - "\n", - " # iterating over the generator produces the api response\n", - " for resp in answers:\n", - " for artifact in resp.artifacts:\n", - " if artifact.finish_reason == generation.FILTER:\n", - " warnings.warn(\n", - " \"Your request activated the API's safety filters and could not be processed.\"\n", - " \"Please modify the prompt and try again.\")\n", - " if artifact.type == generation.ARTIFACT_IMAGE:\n", - " img = Image.open(io.BytesIO(artifact.binary))\n", - " display(img)\n" - ], - "metadata": { - "id": "JUPDnAyKRbnK", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "adda1e5a-9650-498a-a24c-cd23ac4a9621" - }, - "execution_count": 2, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Enter your Cohere API Key··········\n", - "Enter your Dream Studio API Key··········\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Opening channel to grpc.stability.ai:443\n", - "INFO:stability_sdk.client:Channel opened to grpc.stability.ai:443\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "## Story Summary\n", - "All we have to do is write a story summary. The model generates the rest.\n", - "\n", - "This brief description is called the log line." - ], - "metadata": { - "id": "IHm59c6ZdzFo" - } - }, - { - "cell_type": "code", - "source": [ - "log_line = \"\"\"Scifi cyberpunk story about two hackers who find themselves both \n", - "the targets of a cyber intelligence agent who suspects them of stealing $3.5 \n", - "million worth of Bitcoin. The two do not know each other, and neither of them \n", - "recalls stealing the sum.\"\"\"" - ], - "metadata": { - "id": "DpjZXk0cSPo2" - }, - "execution_count": 3, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "#@title Generate Title Suggestions { display-mode: \"form\" }\n", - "\n", - "prompt_title_scifi = \"\"\"Examples of alternative, original and descriptive titles for known play and film scripts.\n", - "\n", - "Example 1. A science - fiction fantasy about a naive but ambitious farm boy from a backwater desert who\n", - "discovers powers he never knew he had when he teams up with a feisty princess, a mercenary space pilot\n", - "and an old wizard warrior to lead a ragtag rebellion against the sinister forces of the evil Galactic\n", - "Empire. Title: The Death Star's Menace \n", - "\n", - "Example 2. Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens. Title: The Day The\n", - "Earth Was Saved By Outer Space. \n", - "\n", - "Example 3. {LOG_LINE} Title:\"\"\"\n", - "\n", - "prompt = prompt_title_scifi.format(LOG_LINE=log_line)\n", - "\n", - "titles = generate(prompt, temperature=1, max_tokens=20)\n", - "titles" - ], - "metadata": { - "id": "F2LK6TYkSBUg", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "outputId": "da6ed734-764d-433c-9772-81527bfb9815" - }, - "execution_count": 4, - "outputs": [ - { - "output_type": "execute_result", - "data": { - "text/plain": [ - " generation likelihood\n", - "0 Two Bit's Worth. -15.115971\n", - "1 Decrypting The Hacker -16.252393\n", - "2 A Pair Of Dead Hackers. -19.469429\n", - "3 Data - Killers. -20.493583\n", - "4 Don't Get Stuck In The Outbox. -26.152514" - ], - "text/html": [ - "\n", - "
    \n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    generationlikelihood
    0Two Bit's Worth. <end>-15.115971
    1Decrypting The Hacker <end>-16.252393
    2A Pair Of Dead Hackers. <end>-19.469429
    3Data - Killers. <end>-20.493583
    4Don't Get Stuck In The Outbox. <end>-26.152514
    \n", - "
    \n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
    \n", - "
    \n", - " " - ] - }, - "metadata": {}, - "execution_count": 4 - } - ] - }, - { - "cell_type": "code", - "source": [ - "#@title Generate Characters and Description\n", - "\n", - "character_prompt_scifi = \"\"\"\n", - "Example 1. A science fiction fantasy about a naive but ambitious farm boy from a backwater desert who\n", - "discovers powers he never knew he had when he teams up with a feisty princess, a mercenary space pilot\n", - "and an old wizard warrior to lead a ragtag rebellion against the sinister forces of the evil Galactic\n", - "Empire.\n", - "Characters and descriptions:\n", - " Luke Skywalker Luke Skywalker is the hero. A naive farm boy, he will discover\n", - "special powers under the guidance of mentor Ben Kenobi. \n", - " Ben Kenobi Ben Kenobi is the mentor figure. A recluse Jedi warrior, he will\n", - "take Luke Skywalker as apprentice. \n", - " Darth Vader Darth Vader is the antagonist. As a commander of the evil Galactic\n", - "Empire, he controls space station The Death Star. \n", - " Princess Leia Princess Leia is a feisty and brave leader of the Rebellion. She\n", - "holds the plans of the Death Star. She will become Luke's friend. \n", - " Han Solo Han Solo is a brash mercenary space pilot of the Millenium Falcon and\n", - "a friend of Chebacca. He will take Luke on his spaceship. \n", - " Chewbacca Chewbacca is a furry and trustful monster. He is a friend of Han\n", - "Solo and a copilot on the Millemium Falcon. \n", - "\n", - "Example 2. {LOG_LINE}.\n", - "Characters and descriptions:\"\"\"\n", - "\n", - "prompt = character_prompt_scifi.format(LOG_LINE=log_line)\n", - "\n", - "titles = generate(prompt, num_generations=3, temperature=1, max_tokens=500)\n", - "\n", - "for idx, gen in enumerate(titles['generation'].values):\n", - " print(f\"============\")\n", - " print(f\"Generation {idx}\")\n", - " print(gen)\n", - "\n", - "# Which generation to pick?\n", - "gen_idx = 0\n", - "gen = titles['generation'].values[gen_idx]\n", - "\n", - "character_descriptions = re.findall('\\\\s(.*?)\\s', gen, re.DOTALL)\n", - "character_names = re.findall('\\\\s(.*?)\\s', gen, re.DOTALL)" - ], - "metadata": { - "id": "CPsLF9iuTapa", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "cellView": "form", - "outputId": "2ae299b8-fafa-4585-d98f-ab17077a3982" - }, - "execution_count": 5, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "============\n", - "Generation 0\n", - "\n", - " Howard (pseudonym) Howard is a professional Bitcoin hacker. \n", - " Max (pseudonym) Max is a professional hacker, whose client was recently hacked.\n", - "He asks Howard to look into the matter. \n", - " Nick (pseudonym) Nick is a Cyber Intelligence Agent of the Feds. \n", - " Lise (pseudonym) Lise is Max's partner in crime. \n", - "\n", - "============\n", - "Generation 1\n", - "\n", - " John Doe #1 John Doe #1 is a teenager from the UK. A cyberpunk\n", - "hacker who enjoys finding bugs in code. He works at the defunct mobile phone company\n", - "GPS and develops his own programs. \n", - " Jane Doe #2 Jane Doe #2 is a single mother from San Francisco. A cyberpunk\n", - "hacker who enjoys making malware. She works at a security company and hacks for fun. \n", - "\n", - "============\n", - "Generation 2\n", - "\n", - " Anna Jackson An African-American hacker, in her early 30s. Anna works in\n", - "Downtown Chicago, where she operates under the pseudonym \"Anonymous.\" \n", - "She's a member of a team of hackers that call themselves \"The Underground\".\n", - "\n", - " John Harper A computer programmer in his late 20s. He lives in Skokie, Illinois.\n", - "\n", - " Abner A robot agent. \n", - " Computer hacker - Anthony Meyer Anthony Meyer is an international cyber hacker,\n", - "working in Europe. He is an independent hacker who works for money.\n", - "\n", - " Cyber intelligence agent A special agent for the Cyber Intelligence Agency\n", - "in Washington DC, who is on the trail of hackers who stole $3.5 million worth of Bitcoin.\n", - "\n", - " Lee \"Evil\" Patton An infamous hacker. He is on the run from the FBI and the\n", - "Cyber Intelligence Agency.\n", - "\n", - " Cyber intelligence agent - Tina Parker A special agent for the Cyber Intelligence\n", - "Agency. She has been hunting Lee \"Evil\" Patton for over a year.\n", - "\n", - " Madame X An international hacker and one of Lee \"Evil\" Patton's old hacker\n", - "friends. She is one of the few hackers who can identify Lee \"Evil\" Patton.\n", - "\n", - "\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "character_descriptions" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "NuH6Dd1A09Ae", - "outputId": "02b43550-9f4b-4c3c-b76c-08b5f83c84b9" - }, - "execution_count": 6, - "outputs": [ + "cells": [ { - "output_type": "execute_result", - "data": { - "text/plain": [ - "['Howard is a professional Bitcoin hacker.',\n", - " 'Max is a professional hacker, whose client was recently hacked.\\nHe asks Howard to look into the matter.',\n", - " 'Nick is a Cyber Intelligence Agent of the Feds.',\n", - " \"Lise is Max's partner in crime.\"]" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Generating_stories_with_LLMs_and_Stable_Diffusion.ipynb." ] - }, - "metadata": {}, - "execution_count": 6 } - ] - }, - { - "cell_type": "markdown", - "source": [ - "## Visualize Characters with Stable Diffusion\n", - "\n", - "Now that we have character descriptions, we can generate possible images showing them. We can get some style descriptions from Lexica.art." - ], - "metadata": { - "id": "-xDtejem3LJU" - } - }, - { - "cell_type": "code", - "source": [ - "# Get character style prompts from https://lexica.art/?q=cyberpunk\n", - "character_style_1 = \"\"\"portrait futuristic cyberpunk, in heavy rainning \n", - "futuristic tokyo rooftop cyberpunk night, ssci-fi, fantasy, intricate, very very \n", - "beautiful, elegant, neon light, highly detailed, digital painting, artstation, \n", - "concept art, soft light, hdri, smooth, sharp focus\"\"\"\n", - "\n", - "character_style_2 = \"\"\"detailed portrait Neon Operator, cyberpunk \n", - "futuristic neon, reflective puffy coat, decorated with traditional \n", - "Japanese ornaments by Ismail inceoglu dragan bibin hans thoma greg rutkowski \n", - "Alexandros Pyromallis Nekro Rene Maritte Illustrated, Perfect face, fine details,\n", - " realistic shaded, fine-face, pretty face\"\"\"" - ], - "metadata": { - "id": "DqbIrwvs0utb" - }, - "execution_count": 7, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "\n", - "image_prompt_character_1 = f'{character_descriptions[1]} {character_style_1}'\n", - "print(image_prompt_character_1)\n", - "\n", - "# Generate images\n", - "for i in range (3):\n", - " print(generate_image(image_prompt_character_1))" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "x3wU5sgg18I1", - "outputId": "7f494c1c-ba27-45e4-c1ba-c564e6c5b246" - }, - "execution_count": 11, - "outputs": [ - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n", - "INFO:stability_sdk.client:Got keepalive e71266c1-80f7-4cdf-8c4c-72c2d1695e30 in 0.16s\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Max is a professional hacker, whose client was recently hacked.\n", - "He asks Howard to look into the matter. portrait futuristic cyberpunk, in heavy rainning \n", - "futuristic tokyo rooftop cyberpunk night, ssci-fi, fantasy, intricate, very very \n", - "beautiful, elegant, neon light, highly detailed, digital painting, artstation, \n", - "concept art, soft light, hdri, smooth, sharp focus\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Got keepalive e71266c1-80f7-4cdf-8c4c-72c2d1695e30 in 3.01s\n", - "INFO:stability_sdk.client:Got e71266c1-80f7-4cdf-8c4c-72c2d1695e30 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.23s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "None\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Got keepalive 17900276-1e5c-4783-91a9-04ef411015fa in 1.00s\n", - "INFO:stability_sdk.client:Got keepalive 17900276-1e5c-4783-91a9-04ef411015fa in 3.14s\n", - "INFO:stability_sdk.client:Got 17900276-1e5c-4783-91a9-04ef411015fa with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.24s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "None\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Got keepalive 21c32d95-29e6-4fba-af29-c80caa7d97b4 in 1.02s\n", - "INFO:stability_sdk.client:Got keepalive 21c32d95-29e6-4fba-af29-c80caa7d97b4 in 3.14s\n", - "INFO:stability_sdk.client:Got 21c32d95-29e6-4fba-af29-c80caa7d97b4 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.22s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "None\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "image_prompt_character_2 = f'{character_descriptions[2]} {character_style_2}'\n", - "image_prompt_character_2\n", - "\n", - "generate_image(image_prompt_character_2)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 620 - }, - "id": "NMFToeWL2JqD", - "outputId": "2850179d-76a8-4984-dfc4-e896bb80175e" - }, - "execution_count": 13, - "outputs": [ - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n", - "INFO:stability_sdk.client:Got keepalive 16cdeb23-9e20-4638-858e-b0b716bbd554 in 2.65s\n", - "INFO:stability_sdk.client:Got keepalive 16cdeb23-9e20-4638-858e-b0b716bbd554 in 3.10s\n", - "INFO:stability_sdk.client:Got 16cdeb23-9e20-4638-858e-b0b716bbd554 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.21s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "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\n" - }, - "metadata": {} - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "## Narrative Structure\n", - "\n", - "![https://i.imgur.com/Rkxvlmp.png](https://i.imgur.com/Rkxvlmp.png)" - ], - "metadata": { - "id": "CaVKLPwsecNA" - } - }, - { - "cell_type": "code", - "source": [ - "#@title Generate Story Beats\n", - "\n", - "story_structure = \"\"\"\n", - "Examples of breakdowns of stories into a Hero 's Journey structure.\n", - "\n", - "Example 1. A science - fiction fantasy about a naive but ambitious farm boy from a backwater desert who\n", - "discovers powers he never knew he had when he teams up with a feisty princess, a mercenary space pilot\n", - "and an old wizard warrior to lead a ragtag rebellion against the sinister forces of the evil Galactic\n", - "Empire.\n", - "Luke Skywalker is the hero. A naive farm boy, he will discover special powers under the guidance of\n", - "mentor Ben Kenobi.\n", - "Ben Kenobi is the mentor figure. A recluse Jedi warrior, he will take Luke Skywalker as apprentice.\n", - "Darth Vader is the antagonist. As a commander of the evil Galactic Empire, he controls space station\n", - "The Death Star.\n", - "Princess Leia holds the plans of the Death Star. She is feisty and brave. She will become Luke 's\n", - "friend.\n", - "Han Solo is a brash mercenary space pilot of the Millenium Falcon and a friend of Chebacca. He will\n", - "take Luke on his spaceship.\n", - "Chewbacca is a furry and trustful monster. He is a friend of Han Solo and a copilot on the Millemium\n", - "Falcon.\n", - "\n", - "\n", - "\n", - "Place: A farm on planet Tatooine.\n", - "Plot element: 1- The Ordinary World.\n", - "Beat: Luke Skywalker is living a normal and humble life as a farm boy on his home planet.\n", - "\n", - "Place: Desert of Tatooine.\n", - "Plot element: 2- Call to Adventure.\n", - "Beat: Luke is called to adventure by Ben Kenobi, who tells him about his Jedi heritage and suggests he come with them.\n", - "\n", - "Place: A farm on planet Tatooine.\n", - "Plot element: 3- Refusal of the Call.\n", - "Beat: Luke initially refuses to leave his Aunt and Uncle behind for an adventure in space.\n", - "\n", - "Place: A farm on planet Tatooine.\n", - "Plot element: 4- Crossing the First Threshold.\n", - "Beat: Luke is forced to join the adventure when he discovers his Aunt and Uncle have been killed by the Empire and he has nowhere else to go.\n", - "\n", - "Place: On spaceship The Millennium Falcon.\n", - "Plot element: 5- The Approach to the Inmost Cave.\n", - "Beat: The group's plan to defeat the Empire and bring the Death Star plans to the Rebellion is thwarted when they arrive at Alderaan and find the planet destroyed. They are pulled into the Death Star by a tractor beam.\n", - "\n", - "Place: On space station The Death Star.\n", - "Plot element: 6- The Ordeal and The Reward.\n", - "Beat: The group faces challenges on the Death Star, including rescuing Princess Leia and escaping, and Kenobi sacrificing himself. They are successful in retrieving the Death Star plans, giving them the knowledge to destroy the Empire's weapon.\n", - "\n", - "\n", - "Example 2. {LOG_LINE}\n", - "{CHARACTER_DESCRIPTIONS}\n", - "\"\"\"\n", - "\n", - "character_descriptions_prompt_section = \"\\n\".join(character_descriptions)\n", - "prompt = story_structure.format(LOG_LINE=log_line, \n", - " CHARACTER_DESCRIPTIONS=character_descriptions_prompt_section)\n", - "\n", - "titles = generate(prompt, \n", - " num_generations=1, temperature=1.1, max_tokens=500)\n", - "\n", - "for idx, gen in enumerate(titles['generation'].values):\n", - " print(f\"============\")\n", - " print(f\"Generation {idx}\")\n", - " print(gen)\n", - "\n", - "beat_sections = re.findall('(Place.*?)\\n\\n', gen, re.DOTALL)\n", - "# beat_sections\n", - "\n", - "df = pd.DataFrame(columns=['place', 'plot_element', 'beat'])\n", - "story_beats = []\n", - "for beat_section in beat_sections:\n", - " # print(f'==\\n{beat_section}')\n", - " place = re.findall('Place:\\s(.*?)\\.\\n', beat_section, re.DOTALL)\n", - " plot_element = re.findall('Plot element:\\s(.*?)\\.\\n', beat_section, re.DOTALL)\n", - " beat= re.findall('Beat: (.*?)\\.', beat_section, re.DOTALL)\n", - " story_beats.append({'place':place[0], 'plot_element': plot_element[0], 'beat':beat[0]})\n", - "\n", - "\n", - "place_names = re.findall('Place:\\s(.*?)\\.\\nPlot element:', gen, re.DOTALL)\n", - "plot_elements = re.findall('Plot element:\\s(.*?)\\.\\n', gen, re.DOTALL)\n", - "\n", - "place_names = pd.Series(place_names).unique()\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "cellView": "form", - "id": "1MjVaZWyynpQ", - "outputId": "0c0a964b-3790-458c-cead-b92fe7bbe31a" - }, - "execution_count": 15, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "============\n", - "Generation 0\n", - "\n", - "\n", - "Place: Office building in New York.\n", - "Plot element: 1- The Ordinary World.\n", - "Beat: Howard works in a grubby office as a professional Bitcoin hacker.\n", - "\n", - "Place: Laundromat in Brooklyn.\n", - "Plot element: 2- Call to Adventure.\n", - "Beat: Max asks Howard to hack his client's account and discover who was behind the hack.\n", - "\n", - "Place: A public Wi-Fi network.\n", - "Plot element: 3- Refusal of the Call.\n", - "Beat: Howard is hesitant to take on the case, because he has an important audition.\n", - "\n", - "Place: Laundromat in Brooklyn.\n", - "Plot element: 4- Crossing the First Threshold.\n", - "Beat: Howard accepts the case and meets Max in person.\n", - "\n", - "Place: Internet café.\n", - "Plot element: 5- The Approach to the Inmost Cave.\n", - "Beat: Howard meets Nick and learns of Lise.\n", - "\n", - "Place: A park.\n", - "Plot element: 6- The Ordeal and The Reward.\n", - "Beat: Howard and Max both become targets of Nick's investigation, but they do not know why.\n", - "\n", - "\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "\n", - "## Location Description\n", - "Let's now generate a description for each place mentioned in the story structure." - ], - "metadata": { - "id": "CLt4ST7OtS4j" - } - }, - { - "cell_type": "code", - "source": [ - "#@title Generate location descriptions\n", - "\n", - "# Updated prompt. Switched \"Description\" to \"Place description\"\n", - "place_prompt = \"\"\"\n", - "Example 1. Morgan adopts a new cat, Misterio, who sets a curse on anyone that pets them.\n", - "Place: The Adoption Center.\n", - "Place Description: The Adoption Center is a sad place, especially for an unadopted pet. It is full of walls\n", - "and walls of cages and cages. Inside of each is an abandoned animal, longing for a home. The lighting\n", - "is dim, gray, buzzing fluorescent. \n", - "\n", - "Example 2. James finds a well in his backyard that is haunted by the ghost of Sam.\n", - "Place: The well.\n", - "Place Description: The well is buried under grass and hedges. It is at least twenty feet deep , if not more\n", - "and it is masoned with stones. It is 150 years old at least. It stinks of stale, standing water, and\n", - "has vines growing up the sides. It is narrow enough to not be able to fit down if you are a grown\n", - "adult human. \n", - "\n", - "Example 3. Mr. Dorbenson finds a book at a garage sale that tells the story of his own life. And it\n", - "ends in a murder!\n", - "Place: The garage sale.\n", - "Place Description: It is a garage packed with dusty household goods and antiques. There is a box at the back\n", - "that says FREE and is full of paper back books. \n", - "\n", - "Example 4. {LOG_LINE}\n", - "Place: {LOCATION_NAME}.\n", - "Place Description:\"\"\"\n", - "\n", - "location_descriptions = []\n", - "for location in place_names:\n", - "\n", - " prompt = place_prompt.format(LOG_LINE=log_line, \n", - " LOCATION_NAME=location)\n", - "\n", - " location_description = generate(prompt, num_generations=1, temperature=1, max_tokens=100)['generation']\n", - " print(f'location: {location}')\n", - " print(f'generated description: {location_description.values[0]}\\n\\n')\n", - " location_descriptions.append(location_description.values[0])\n", - "\n", - "# location_descriptions" - ], - "metadata": { - "id": "ZFk9FiH6v4K3", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "cellView": "form", - "outputId": "0d4b65c5-7b67-4c23-e308-c871e55d5759" - }, - "execution_count": 16, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "location: Office building in New York\n", - "generated description: The offices are shabby, mostly vacant, and have only the most rudimentary security\n", - "measures. There is one office that is not quite empty. The two hackers are at the office\n", - "door. \n", - "\n", - "\n", - "location: Laundromat in Brooklyn\n", - "generated description: A grimy, damp basement, with low ceilings, grimy yellowed tiles, and grey \n", - "concrete floor. The smell of detergent and soap hangs in the air. The launderette \n", - "is deserted, and all of the machines are broken. \n", - "\n", - "\n", - "location: A public Wi-Fi network\n", - "generated description: A busy network that a person can log into to check their email, etc. It is open to the\n", - "public and there are lots of people using it. There is also a security warning that pops up that tells\n", - "you that the network is not secure and to be careful. \n", - "\n", - "\n", - "location: Internet café\n", - "generated description: A dimly lit, dirty-carpeted room full of old computers and cables.\n", - "\n", - "\n", - "Now, if I were to ask someone for a description of place, I might get something like the following:\n", - "\n", - "\n", - "\n", - "Example 1. Morgan lives in a big, blue house with a little porch.\n", - "Place: Morgan's house.\n", - "Place Description: It is a big, blue house with a little porch. There is a fence in the front, with a red\n", - "curb\n", - "\n", - "\n", - "location: A park\n", - "generated description: It is a very common place, somewhere everyone has been to. The grass is well-kept,\n", - "the flowers are in good shape, and there are no dents in the benches. It is very bright, with\n", - "plenty of sunlight, and very few clouds in the sky. \n", - "\n", - "\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "# https://lexica.art/prompt/86975be7-69c1-4aed-b95f-8d24b0df2537\n", - "room_style_1 = \"\"\"ultra mega super hyper realistic Digital concept interior design.\n", - "stone walls and neon lights, a lot of electronics. Natural white \n", - "sunlight from the transperient roof. Rendered in VRAY and DaVinci Resolve and \n", - "MAXWELL and LUMION 3D, Volumetric natural light\"\"\"" - ], - "metadata": { - "id": "4pN2zwFEmAFg" - }, - "execution_count": 17, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "location_description = location_descriptions[0]\n", - "location_description = \"\"\"shabby, mostly vacant offices. Two hackers are at the office\n", - "door.\"\"\"\n", - "\n", - "image_prompt_room_1 = f'{location_description} {room_style_1}'\n", - "print(image_prompt_room_1)\n", - "\n", - "# Generate images\n", - "for i in range (3):\n", - " print(generate_image(image_prompt_room_1))" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "tGCOlYuZmTk_", - "outputId": "cd1b2ca0-363c-41bc-979d-c9dd331511ab" - }, - "execution_count": 20, - "outputs": [ - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "shabby, mostly vacant offices. Two hackers are at the office\n", - "door. ultra mega super hyper realistic Digital concept interior design.\n", - "stone walls and neon lights, a lot of electronics. Natural white \n", - "sunlight from the transperient roof. Rendered in VRAY and DaVinci Resolve and \n", - "MAXWELL and LUMION 3D, Volumetric natural light\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Got keepalive a572398f-2445-40b1-960e-da7cc76f585a in 2.23s\n", - "INFO:stability_sdk.client:Got keepalive a572398f-2445-40b1-960e-da7cc76f585a in 3.08s\n", - "INFO:stability_sdk.client:Got a572398f-2445-40b1-960e-da7cc76f585a with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.21s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "None\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Got keepalive 7d2ac518-1d76-4e3a-b13b-f13cb05eaaee in 3.76s\n", - "INFO:stability_sdk.client:Got keepalive 7d2ac518-1d76-4e3a-b13b-f13cb05eaaee in 2.88s\n", - "INFO:stability_sdk.client:Got 7d2ac518-1d76-4e3a-b13b-f13cb05eaaee with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.20s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAIAAAB7GkOtAAAERmVYSWZNTQAqAAAACAAGAQAABAAAAAEAAAIAAQEABAAAAAEAAAIAAQ4AAgAAAUcAAABWARAAAgAAABQAAAGeATEAAgAAAA0AAAGykhMAAQAAAoUAAAHAAAAAAHNoYWJieSwgbW9zdGx5IHZhY2FudCBvZmZpY2VzLiBUd28gaGFja2VycyBhcmUgYXQgdGhlIG9mZmljZQpkb29yLiB1bHRyYSBtZWdhIHN1cGVyIGh5cGVyIHJlYWxpc3RpYyBEaWdpdGFsIGNvbmNlcHQgaW50ZXJpb3IgZGVzaWduLgpzdG9uZSB3YWxscyBhbmQgbmVvbiBsaWdodHMsIGEgbG90IG9mIGVsZWN0cm9uaWNzLiBOYXR1cmFsIHdoaXRlIApzdW5saWdodCBmcm9tIHRoZSB0cmFuc3BlcmllbnQgcm9vZi4gUmVuZGVyZWQgaW4gVlJBWSBhbmQgIERhVmluY2kgUmVzb2x2ZSBhbmQgCk1BWFdFTEwgYW5kIExVTUlPTiAzRCwgVm9sdW1ldHJpYyBuYXR1cmFsIGxpZ2h0AABzdGFiaWxpdHlfZGlmZnVzaW9uAHN0YWJpbGl0eS5haQAAM2tFUzd2cEI0OmVPNU5jd3s1ZmV6L2RkSWYhQ2x9Y28wK3NmTDFWTWh6MzxBdih0W31oek5senZrUCZNZUl9M0V2THg9MWg4V0FNdk1EZ1cwdjA8ajhLN3NoMXE3TS0wJDJleFJSfUsuMCRadigzaUg+cmw8OjVkVWVIekcwJC4+dTNpSDwwMFRZMnYhRChNPTVDJnA5M2lIPmwxcHRreE0mKS0jNXF5cXswJCt0djNpSDxJNmFhKk1KcmZCPzV2SyFnMCQ6LyozaUg8WjQqJkJKRiNdNFgwJC4yTDNpSD44NjorM09eaj4kZzVxLXlPMCRaTzczaUg8MDBUWTJ2STRaaSM1dypXdDAkWj42M2lIPCV5WkhtUUZGWFpANXF7TyUwJC1sSDNpSDxsNComeUlOLzw2MjVzRWJxMCRaQlszaUg8KDg0M0RTUyUvc3I1eHs3bDNpSD5uMXB0a3hINmQ/PTV5YUYhMCQtJnszaUg+YjFwdGt4VX09VCo1cmMuIzFxNlU3MHN3XXVLXSM5WzVsZjV0NXFwQGQwJFo+NjNpUXtEXmU8VFM1dD8yXjFxNF1sMHN3XXVOZmk8MTVzWTYqM2lIPGMxcHRueT9ub3AzMCRaQnszaUg8NTBUWTJ2LlZ5ZUU1cXtPJTAkOj1xM2lRfWROL0omIzV6ZDxjMCQrNWgzaVF9dUZEREp6ZmxJdFJmbHFlemZqdypzZmtMdWlmbHFrenZSL1Eud25iVC9CLVJubnp6YzZLdj5SWHF3b3paMUIxLlNOeUh9eCREcy5hRGJtNS81NXA6KTQxKFVFYVk5R0lXY105S1YyTnJ5MDVeLzZGMDAwMEowMDd9eDAwMDAwAFNazsMAAQAASURBVHicVP1Nr21bdi0I9a8x5lr7nBthO2wnJOIBlQcoJSqILFBASCgRCLJCFvnziXhKnu34cMQ9e685x+gfFFqf69w8z88Kxz1377XmHKN/tN5a6/wf/7f/VSSrqpipsKiYWQYVlagwcxWJMIsws6pkZFZSFjGZWRUxUVFlpKpGuKhUFRWxcBExMxVVJRWpahFRUVZWRmYJCzHZMN8uwkQsIhnhEWpaWSKaERkhKu4uaqpalSKamWbKIlWlIpmRkZkhosRUxGZ2nddxHO57u6uqqIV7RFAlFc1jEtVezsxZRVS+t6g8H09RiSwVrSJm/vzxY62LRTJCiImZhITF92YmESUiwjelElERmXNWFVNRMQkL0xhj7V1Z85juPmyIsGcysfSXZxWtyus81SwymdmGhqeoUuVaa8wx59g7ROQ4xt4hTES1dxBTRhzHEeHhcZ3reEwb9vn5WUXP53M+DiYJdyKqqqoUkbW2iGQWCzFxZoryXj6PWZmZ6TsyY4xxXaeNoWrurirzmJ+/fj4/nszKRGPo548vm+Y7RMTd1SQ8iUmEI1NEVZWIxxgiEhGVRUQiklX7WqxMWaJKRGYWEZER7jbGGObbx7Trdc3jWNfJLI/nY63FLLG3qGz37ZuKRNSGZdacMyJ8bRuaHu4+5iimilAzs4HjmRF7bZvGzKK2XtfxfFQmM3s4Masqs1QmURFxUVGR2SCuOUZVvb7OY46ivK5LVNbaolqRSemez+ezquY8RCQzqYqFfbuq7O0qysIRRVSmejxmRqnpmHNdKzOYBRewEi8tjscjPIooPOcxVERUfYeZuu/w1KlERMSVKapmKoK7XOnp4XsvnE93F1URjsiqrCRinmOMOX1vKorKiiQuIqqizJxzvF6vKjLTIopIM2PmzOD+rOQ7WCgjs8oMdxahoKiqqESEiNyjqpiZ8AuI8NhJhKiYOSOyiomEWVRwPt1dRFiYiokJzxNhyreLqqlmppoRVUQyMxERS+xdlaK698IVwAc2G6Lqe4uI762qxFRBorz3FpaIUFUWIqLwKOIx1D2YObNEmJkzU4Qzs4iGDWLKLCK6rmuYMrOoiCoTu+99LTUTtTlnhkcEnq+ZsfQNFVESUjVfm6jw8CIiPESNhSpLVYo4I/C9iDgzCe+eO2hXZBEhSCJYi3BG2pxcaR5l0/A3IikrItLUWJmq8PWqKPYWlkph5soiJiaKCBEppsqqoqwi5qp+yyZKWfenEWLyCCbCU8hiJhYVxD0zCo+siEyqUtVKElHiUjMizgpmnmOwyN64GOyRnIlLmFlZVMxEuLFcWSpKRJmlqlUV7r43C1eVqO7tqjrmCA8hUlV3F7EqElab4juoCs/UzKoKP19UmCir1IyKmElVMoqYPLIodmzfi5giUlVVRFX3dhFm4QgvyrUvIiriY47wnVnzmOf5qiodGhH4jeGZEWb6PuKmxkTMdJ6LiHQOJjLDt6bzXMMspZAds6qKxhiqpqyZWQhgRWojIkQM8VFEIiOjMlNE03OtZcPwPr++XmpaRetaj+dxXYvZ5zyO+RjDfvz48fm1qsi3ZxYzF9Xa25ePOZiVWcYYHQWIIlNEPMKGRoR7FFVGMbMQiQoxuYcojzkzq4hsDCqajyMjq0hNPj+/HsdBRCmytvv2MUdWqaiZVlBlMpUNjcisZBX8kBClIiI+jpmZweyRRbzOfRw8jqEiXnWtbWZEZWpF5BFIkx8fz4xg0Yx0D6o6jrn3jvDMinATs2FXLCY55jiOIyIIN1yVVdKTJRMlUeHmS1Vm5tfni5ksp3swk3uoFpEoi5lud1FzD/cY0+YxiOhae06KjOvrUhNRJRIRtmFM7O5FjGtIRSUUO0X1dV5MZMPmGNujipiVDV+WM5NZiktKSCTcPQIB7vW6IlLNWFSYM11YWJiJ7rtPaiYiKYnYW1lErCpFtZdnJnEICxHjMqoKs1RVEBGRMBdRZoqq4F/nIuIqKiIWIWYqFhE12csjipmKRExVrapsGLNQkQxda49hRLQzs1JEqkhV5pweQUWoQswM4TuyxrCsRJSgLDXNTHIiJmJWFY8ObThvVTnGyEyiUpEiEua9lgirKjFnkRBXVmQQiR2zkohpreXbxURYj8eoIlVVVfcQZlWNynGMdS0ixl22OYjYtxOTMKPuxWNkFlGJSCoiqi5VmKnKhu3lY5q7U+mYs4iKyNQGFRNRVGSWiiCtaSrShaoyikyqqupjRFW451VMTIx6v8KDmEWEiFHfqVhmZmVlohrODMaLzyyc+szIUEYqlsoqKiZmpsjca1VVUWXW9t2FJHNF4VxHZGaaqioXiqQsVSZhNfVw1IljjKo0MzXbazFRoeZJ1BHkHpU0x2BmjzCkLuGKyixTY+bQ7CKFSJj22kWVnhHCwmPYYYZmhfqjUEbgm4rK9mRGmV8iYsOEybdv36ZWmWuviJw1VJQKhXCqCjG/Xi80QMSEyoWqkGNwRquKScYwPFBiWdfCGXg8nyqqqpnFwpRUVL69qlh4mL22r+sSEVVhERHJjCLa7pXJLB7reBxzTvdQtWMKcamqqL7O83WeqibKqjpYrrUykphtGKp+U51zhidiRSSOSkVkRjGTqqIJy0pfcUcsZuLjGGZ2XVdVVVGGs4iIimQRrbXWWiJ8PI7jODwi3LcHZY0xMrsQQ0201kasIS6iutYKD2ZGEfrx8aFDX18v9ySqMUxVRYRFfK0iGnOYaUZFpDLj4VdmZqy1M0NVx5gR8XpdGXE8HmY25+HoO1mIu+6RlPBg4coQNSIKTxZBnPXz/Pj4QOdBTMJSVO6RmXiYNqyyvCIzq+q6SkREhEnGGGNYVmUWU1VlejoCMxO6CNxlUa2iyELJhUNVVRXpVZXEQqpKRMmiylSpZnvvIgoPMxPROVjszoVMwoKS/+4yKzOpiLn2rqrC6UW9iKr2ftHEIl26MjNLF3m+gSgEBfffUpzPiMgqIsKlUNEsRBWqCuZUVWEx06paa0f04yIiVVM1JslKZg73jMTnR4GcmUjbVSXCaGqpSPBSKpD2kD8yaufOTERbqXJPICiGiFoVkSKC45FJWUFFmYnwWZVEUhWZie+/w4mJiH15FQmzou6kCvd+jNFfn3/+ESpGnqPC+Sxm4pQxhwjPMbvcj6Bie3x8EDGeJip0nJrtu6qEpZi4WISF2D2pSlQoiUWK8OGJilgoIolp+37Mg1XQYRUVUTFRof5gYWYmZsok9HlUVRlJSlzFWVnJxHgxGTmPie+/1prjIKZEW8f0rjsiPDNVlepuMqsy08wAKxGTqhRSRjU4gJKiqiLT1yaivXdWKXNlOhFCMCvhR1KRCkfxOylSkWeoangQke8gDklgCSYix0GRgZ6JqqLK3VOCRZD/8SGrqrS66MAxZ/JwvEigLh5hTM/nQ0QiAamxe0Z6ZtKmMYewVNWwEe6ZTswVKSJzzL030pKZukfswNHJyoiqqrXX4ziKmCpFdK1Qlcyax5GZx3Ew83VdNiwisvLj+YH3u7cTsUhXA4Xyg2iYAvS7zouF0TLjRs05risziyrVhFndw5hEJDyKc691PA5hUVXqh1furtIPtvrlknt4+KEH/kJXbRFi8o5BYwyicTd/NIZVpapFhIeL6DEnMavI3vu6LhYx0fExqAhtYocpuiN+VawUZpQIsYEOIZzVdV1EJaw2bNgA2IWjiILHt6vpGMPdlYVVMtADBTNAFd2+997APcyMhTOSiLKygLMwZ8ZaawwjxlOdEXGep4eZKZL5Wgh5HfiJUNXSnMNsXOe5qZjFRBoxyHpHEiLKSGQvNRVB1qMzOyYy992uTL5xGwQXFgZmxcUsVEXubqZEzMr0M3YQfm9GiuJncmd+vv9pJYIJfjhg58zKTEQRY0agz5SqzEgcQpSe4YlGgplVFY0RM3db9ps/IoJ/VFQVdT8EAbKlRFSUGUmEMKaiKurhRBVZqMn2WmMOkUaiiBm9LJLr3huvQUSAT+BTEdF5nscxM8tMVBVhARefGU+JqESIWJEsKzxQoKC8RkfCzMqKl05VRMUsGTkMNW25ezGL8N5uz+dHVqJNiwiEfqpyD+aqovBgIieSLpRKyajI0MtHCHNmsnB4iKowF3UcF5GMpKoAPsiCa4Lkj2AR7qKqqpXleyezR4wxEApRkHIWUPWsFOKqqixBCdbQDw5hRoQB/6luPKhy2FBVUfEIILk4TnhY+BjoOplZSAgBp4uligj00ICnRCUrJSUybdjg2T0RobyoiEDIYyLgr2jrmNlsZAYRrbXCAy9pRWSWb9+2RQWR1B24nqD3udZiYrPBzL4B4gu+b91dLRV5BKG3MBtzAtTCxwIIxsLCqkKl2T/NvSiRNlBfj2Pu7R5eVY/jYcPWWlXlERnBzOe+vn//No/j9fV6nV/C+nw+Cz0tk7tnxJwT4GFVdhWZgV/OIjhUlNXQNhUzAWnJchWhOSurpF7n65hHVmbEMENLtC6MdnztXZTDxhiTmcw0Ms1sb8rICEf5hkoUEFZVqop7MZO7m+rHx7ftW4U9IjyOx4Eqe+8dHqLikUysInOMrIoioUBFUIX+L6rIbDTKT6Rm/TwryVPN0MSyKpIB4qMwJ3cTjBfUWSaSOSJSQjrapxARcgaCF07acUzUNJFxrdpr4fT6dtQo2WUy8G4dw6ho+RZR/HQmFpbM5CKgCphpFVVWZQZma5zMwmNOEYksEcbfwKThXX8CvC2i9PgJY0YAImeRiFQWVtSqnZqQRxHt8dVQwKkqE5tqUcO5SHXMQsR7L4zcTBUIM1UxI5N1JYKfVCWmhuFTQz1VVOThPY3YDuwBYP11XhEOoFyZmSk89loefhwHgIs5BhFFRjem/Qbp+XyOMa61uiCoMjMxie2ZJcZrbZRTohIR6IfCfYzh26vSnVF8b9+mSlVEHOF33mEbozL3drRLGFFkpIdr3y8HpNbZBT/BHaC9MAPMoiqjHmgSC4sqEcoligjAmvgp4U4ilcHMtR2VCErIIsoqSmTsLpWqqyFGU193/kDqrioiJiL3PQyw/iYqVWPm2R0Nbff0UqJwV1E1YyoMFVHKZXR1gwuGQIOCor+qyHVeKlIlEU6Y61IBhtJ7rETMZlZM53VFhjVEw6oWGQBhhIUEA+lU1X7WLESF30XEc47KqlHHcaCjDPfMbCBFeM4pIqr6+48PvOPX+YoItAKZyM24gWVjVKDrL987MmfOzFx7mRqRV1VmYPJTVZmM2el1XjZM76rvOCbaT2Fm4ciICFXD0a8qX+7uKEZsDKq6rpNZikpVVPT5fJrqeV7jMCICU+DXv/0tq0R0jllUGfh9VFXzmCia3GO7H/NQs/40lWu5sGTlPOa7Ja+say0VAYSaGb7jeMwxxut8obwVVVFdax3HwSJE7L7nnGZDMRVkrqrPH59quveuKDMl5nBnFd+OoK+qkXme5y+/+0VFmWmO+euPX4XF3c1szsnC17VQ5a21j2MiliWg8BITdfevry8gP8dxqNpa67quOSduOEqQIsK7wDCIOD0CjzEiIwKjGmFRke0OeAf1W4QT6d4NuuJIVxXayi4UMkmISUx5zgPvdAyNCDUbGKFlFMaqmeHB0rSDKkAmmO7OjEwiVSImAIl4Z3POvTcCqDtuFoM7AEYG8AA8bc9N1VgTCl5mZunyZTwGppORiX+3+zZ8r8CMCsCL4H7tveccmAxgfp7k293MAEl7hIigYGUOHKiqUjUhYuuARsVMPe7GnR1j4PahTgsPD58yxhz+clFd10nERRke2z3cj+OQrhI5bqhojDnKPH2MQUxfX1+q6gFIcETGdZ54HRF5HIeq7r0q04aFe2VmJe4g0IW9d1I+jqOo3B2HtojunqUwPslI4Gio7biYiNZ1qWplimll4t/NDGItqrUuVWPhtWIM4//D/+m/eTfvWRXuCL6iEg7ksCMT7mogZxYhnnTMZ1LRyFTpynrOyURZiTiCUQTwHwAdKJ9U5K56qIqAqTWyxpQRRWRAh6tULTNEhao7SFSOzOLuYwz0InHHFCJCts8I6bagUCNEencnRHiLCH/h+Xg8MD6NCLShr9cZHpgjZWVE4omLaUU+ns/MUMUEqYQZT7y/aVImijUnYtxw9z3M8BrMjInwr+OgZHYnoSpE5JGmIiLEfMwDCMywgSa3QZK7qbmxFwOSOOYYNrZ7hB8HQgMBCxpzoDa8rmuOWdlRie9GR83cHVwRVSki356V4f7x/BhjrL2+vr4+Pj6EZfnOiDGGsDg4ZFR7b/RePykK8R4RVRU9jsd5ndd1MbOZ4f90jzmn77036EnJws/n83ydgPKGjTHG6/UV4WYDlK2vry+EyKIyNRFZ11p7jTmA4xGRu49hwqKGoENzTmFZvs7XaWY40mMYEe/tZsosEX5TkrIyRWWvfRyTiK/romrakvuOSGEec44xTK0pAKZMbMNwxTwcQUNN13U9Hg8UK3tv/C4iMhtViePq7pmlKpmJImPY8HAzO+ax1iKmzHg+P5jBFMIPt+4UuXqwJ10loGZHc8Z3UVZFKjrmwDHAlALBHXUiCzPx4/kU5uu6rusi5jEGGmW6CSpEVN2V1nEcmQ2XMbOIPJ4PBC4kFfTcEYEJB5ITM4cHxr8RjrPd0w10RtnnPDMZ9BOim05CbxBYRDCDRG7Q+yDVb5p+uqFjZgHsXHf9Gh5fX5+mtvZiZozxv75eIvzP//TPgfzBTMC2CMNNUtXrWmZKhHgYOJB588fQwxURA8cWAQjWQzshKjrmUYR4W6Koh/DWejrSQ9MI8J3wH/A8r7XAq1T89yLVqGz/xoxEbYQMriZmpsIKwhNnqaqqgOsmoigVVWuMEZgWoUytROmBaVxsdwkR8cvVDL++gzTnjdzVfeA4PPBEslJYwQtlljdGjOoYhcmuLnwiXNXAKGUVRgfKjKrtpuiRCLunMKsZEYkws1UWTgwSElOfM/SepoahKHiWkqxA6JiY0NVmH0RCp5jMHJGeea1LRYmCbgLo4zHcXYSFgcwgQIC3ajqscnbVG/H54xOv2cyY+OPjg4mJ2X17hO9tKmgtASXh4Xy9XiqspsWAILubEdWq9OjMfa11zLl9//LL7wDxiQogIyYmYt/L1CIC2fwuwXIc8/X1dRyPvTfqEQTBTAw2x+s6z9fLzK61JlIvc1Zd1wuovZo9jsNsZKUIABCSMVQEVbEIo31+PB6oUtGPM2fe3Vu3mJVocm+AQs7zvK5lw47jiMrz66wsFvboEgwFFGAiFsaUeB7HsIGaBaANas+IwJmjIgS+jJhzNCGPKasyM9zHsCqac0TmXrsqiUgKMF0dj2PalH5fRcxDejqH4IhHnZnP43Gu6/l8vkuuHkqRVtXeCz8BAdFM3hA+mFqikpnbNzOJ6vP5xMUhosjGW+guoqsyorju1orD3YlVRJGlqGhMszEyM4pUALSw2c36w8NhiggdY8wJui0GA5mhZhnocaWqWMREEKdEhTxY5DgOtA4qoiqVRFwAQIgwaBE0gqKiZpgTvv/wTS8EJbR77ipWriRmwrcDCI5MyUJIfpnpzRTHW058HYBdQIrRkuad5lX1+/fv53k+n093n/PIjOu6zOweDweJRLgNYyIAhr7dxqhKqkpUe+kYZiAWooXCFL2IBJ0KE7rzyBTla52C+EnEJLgpySQiyCV1Tzd70lmJqLvdAxUE0eM4GhOvfjjIiHMOdx9m6Mkqy5Ba9w4A4EkZWcAFVQ2NBuOzSGVlz8GJkUYqw8OP49G5/p4vozvz2PYbqCEymiwqwlThUaQrrkZIM9DCYB5ARcysIsAxtYdUlEHNcCownDC6ocwqTgLsJYpcVUWi0hNourEGasCO7jkEOl4ismEqmlm1HV+cWVTFnZOKMnFh7nKsUFcm91D6mBJvNrQQUCA0Lnj3Vck83sqJiJjHgZlVuLs7LTZVMxPVh40l4nuj7WAd17567EOcReVhhkb+Tj/dbIkqZzBRoqBWlfN1crO2RJSFuYSFFZ8E36wxXGLf/nx+EFGEP49vmd1Wqx5zTnzaHs8yEfMwyyrfW0SZOSqFEB6JisKjI+AYCC7ALnBzRDWrIvYxHyiamHmt5eHDxhgGcvTeW1X33mutzJhzEHE0o0zWujIDjHvfjlvN1UPFnVvU7H4RmXQDDpGVlaWGSrNDjohsd5w90xHhAGrMBnLG+TrBrF1rndeFNPb943tWbI83vMB3l4lR4d4bhcXaC/EIMW6thZbxHbWJ+vB07GVC6AGnZogJsDlV9Fiv14uZqWjvTUIUlNVd6XvUuZnBLARhEQUZEicR770z09R4mHsUUUWCUtXphOr5eA4bqjLGzAwqTG67ASXmqiRmZQblt5UBQ0S0qpjpPieOdnPOOadBCQQqB0oQ5lBV5L93a9v9bcMOVJUZZaasXdVBxoB0rqYAuFAvFlU4KJvyfshI9pHJxKUYHVNGqgozAxY207X26/UFEuDj8cA9MQUtG4Vp5HZ0IqoiYuiBEJBFFBNNwBIYrXU85BpjoIVSVQ5GK8DdJSOfRXh3Jsipzf+hygzVwSxjKAubHhH5en2xMLIgC5c3Mrv2Am3JhmXm3o6zZxGJpEosYH00o0akqoYMJBKM++9kq6KK+JgpY47I5MxyR99HxChDMjOgBQCkiSErVWUScWZhDI7+JTvFo5dFbGY1LSpOEI25eqYHthXQfgJoKF09QtDUwwiWm2h2/72s2r597zEnMCL0NFS5t895NNSboWpIDfvyOaepZcXeu4fbmaKqWYCqzCYxnedL1UJCTXP3q8pYLKIq2/fjeOy9RNTMggMBFy91XcsMByX39lwLoMTHt294F9fabw1XZFD0nVBV0IEbca6sKjMQmTOz2Al3GChTVXEyfhBLC15Au0AEdC9VOY7jWtdxPIhIxDJdVMYYHn69TlU1G5kJYkgm2MAswiIK2pKoADjOSkH7RHVeF+q+5/NJN0cQ3+JaJ5hCoIeY2pyjeYdZHx/PtTYzF6WIijDQ1UJFlIEh1nm+wFDsH9JdoADWj13XWiCmQceUK+ecd4hhnJPiSk8gSsJxXRdwWw+nhssHSER7+3m+5pyVuX2hzx9zAgittUUF7EbUlZkUGUONmER077V9Y1aPXxcRAKZvdVXigby7Z1XFOISLdvjaa9A4jrnWdneQkgU1UCZ1HEHJS3g9wyxAmza7R2VcxBGxfVc2G41bDdAjx6oSlWtdb2DHTCnuREUkYLtzM0eJ6t2wVmUEEfN2FxFgZXQ3Ru8mAzHLjEQlI82afRDuAFdxxiAixSP1wG/B8YZo7iZniYDoAuUNIKB3zZeVHnQTMSiDmNEVUAMsTdpxVRGR4/EYc+BdCIsoVzVJHQWKqiCw772bUW02x5R+CIm6WUhICIUocDmqElGQ2fD2G0DOBIO5biFCVpc1+As6jajlXZmlwkQ15gRVYYxBVcLi4ZEBQjPCO1gt7qEiNsxEDALaBi4wWqkS0whH31pVRD9n/UQkKhUJdcIwK2YzVxYP32tTU9W5IbAuZBJlJiRRkcE34RvtG4uAWhCRmATc8wNw4LrHr+pUhMxSmdVcYC4Cf51wXjE3xzwK94izKpOZzvNsjIxJmG0cLKAtgqSl3XsSI/mLcCWrmhkDL+K7kxhjzmNWFXpqtPz4HCBLcGWlENF5nmMMaA7QrGQheAmwCxV5K7Wq6vV67b0zw8zMxvE4qKfo+fn5KSLXeYoqaIssXOVEpFRrr4yMDFMLj9frBTgSoJlA6GB2K1MITWqAklKYZGy08Od1ZuSYU0Uj3D2yatpgpr2TSZovkDFsuIcNNrUxJ/hOwBKrCoqVvZfZAPQZEcfjATDhPE88VRuG6F9SVbTWysjjmBjJHnP++PFJVGM8imgvH8OQZlT19fWlqmSkppLCTPhHXVIVHfPIzKLkYe6eHnOOMWa+uYPZJxVlIE4+tCOVAd5IeADnZaLjmLew2V+vk6qQxRW0M9Oqih2RjoAuTPN4XGu5O8Af9LMd6JlQY2o1QRCfgYm5GPx6ZgmPuhtapLqIdHdR5lJMdxGJzAbuCIoJ9ENrb7kZn6aKS4eXJSLFVV5qiiEZPqHOidzmeyOyhiNexZuoioPXXNWbF4SKDkGf+1CXgh98D+qoSFiS8t0GEXN6FysiTNbCPRSdfucPj6ysku6UMGO7KU9EVGu5CGeEe3SALmIBHbG5G7A2UMa9A8VDsmqIiop74PyoiAhf12VGRAytXGWMMUUEQhAoUGxYZCLRekQV8BtFMabKwhIV+NA4RThXaKDfiR+hiZkBYwcVIcIQgWs3IeYqRJpy9AdVNsZeCz+NqJioiQCRkEA3oErMKvb8+IiIr89FnI/HoSzndYHhQ9GafhTFY5h7ZnmzEZCs176Lba6Su9oaqHo8vKLBhcrsnN8y0Q6gCD4F7n8mUM7IFEKHwehV8d3o1uWiRHonj8oKCoSSumfL1RH23QaSiRTX4/m4znUcRlRjjohwD4xG+7ib4pMgPmKevn3zLVIx1a5bpTsp0MNx85uwAVYZcXG9r0RV7b2ZSdUqE0Ezs26mYlVV6yqLSQhce2jZruu6gQWe4/j4+Fh7+3b3HRE7sohQDqAEwqACiOq6riIet9YxibIKyENVRTiTqAlXyy9YeIwhqhmVkXPO45jM/HqdRdAEQGDVrR541mqqBWMCrczlIQ2/qKqSEEci6wDFJmj973ISaBXwHwxvMVdvTrBIZiINNNB0T+YfjwdIF4/HA/jG+Xph7oonNsaIcHDVkN17nKgCnKTn9hiAEwuzmmaUmRBD6lkr0t2Z6Jhzu+99YSYsd10JgCLSa2dEqIgOQ9DLzPDYtU3NwyOcqUSlFV6AjMyKekT/eDxuoWJlJAvjwTYS0rVzcdN4cnVfZcJcLO4ekW8t1bvKjgzEPlw9EXIn5nh34e5emWbj3XBHttxBRKKaei9zdsv+k7vxJnTevRSKcEpia6YplYistTCjXmuL8OPx6CrkN1Iv8CYgg1A1osiMhLYAMBeRmdlQ/JJ3D4HPgBAxhqmpsvAQXgvnBzPVjBJuzn9TeqBdwE8TeYzZVbYoMRPVta45DyRUuVkw4FZlxufnF34jjiv+XX/zi1iZW/gWUaZaKchtzIySiokn+EVErZ+4ZyAFjPat4SeqLBv2Ol9mBpMM8LUwf11rMZFHaFVE0j3EKartbqoIPgPTi//Jf/FP57W/Pc/PH59/+fe//MM//OF3v/tlzvn54wcECPdAmblxY2URG4oaVtWysvnXLBRp1gidiCpsLrIyw2wIs28HA2fYiAwViUwRruLMnzmgCYVEjCAe2Q2m9s2Hyi4rMTBISu5ZPKMhBdgKQgse4/tGoTWhdrBA4aCEqg2MdTgHMIP9fUYUkaoQw6uk0cnImMdB1ESLYkbiRBFEN0T4hizBxWTizGBKyC09nJl8+5wjs26yAMF3iJgw451zPh4HFUdERP56/qqiiFPHcQD8vdZqpK/Jv6RDK+tNC4uMvXcRaNSEGT5gR7VmxAINH2pUJMzF+Xw+wTz5/PxBEBWrZJUIQxN9HE8Ph8SxqEDCjiz3/cv3XwBwoYtnlmnjWgtveQzb25GwxYxvWt7jcezt13WB/X2t6/l4qMjaCwqDMUZWSZUNW9diHE6KLFprRcaAXN63GUYI7FcAUkDbBwjYb2Y0cKr4CbszMnrf9nsGi4YvKyPCTIUHWh8BR5npLS6LlXPOdnMye9dpSBNAkCkDZ5uJYF4UNzIO2TPiCJA31NHZc8oEKHStC8rhOSd0YQSTpeqhKN99hIcL6nFjERmQWSCaEKP8UrMi0jnfiLNA+oXOoIgq0UncGEUwjaoqKMuzAPv0DKNqr8VQg6NpyNxre8TebqNHg9d13Xz8NlSAguRaC3XnHQRLVG+MOvhn+QwiKMH1CDWcgNbCkuErXdVQ/iMKZ0Qk7I3KVEW4WvFeLGT9B6RBAv2BiB+Ph7sTNR3GzLbvjIrYEc7MGFahTYkF5ZeOMTJi1+5axEZRRVW4z2NGZEYCFptzAp2qrOIqKlRjRF36oDMgKlCHqQiMBnS0EQHQb4XPMSPCQKBS8b29AinfVMccsAmAuMH+9G9/Alvp2/fHf/zf/Nd//Lc//uu//OuvlWOMj49nZn59vTJTWCGwUBmRCdE4tRjVVSxrD1OvxV1+ooshEUmqx+PwvdGgmQ7KVDV0/XNMG4YJu5kR07oum6PPK/doTkSKehRcWlQUYPUQq2ntYmbEOAVv6UZR+L513EmM9nI80znG3s5EompsoPZTUfFNuM66riXCMARAp1MFCdVvPYIwccaEHHmiQKVFiQfK11Bpu5sSVPvUPRAR09oOPi4xcXJVBPjFQ6gVpMVChx1E/Hg+9to9FCouKjMDJu4e13WixUMiOY6Jpm+vDcAHQ36UkyKcALiI+DYFI6K1Nyi/j8dz++qrpXATAhWhYIoyqBxyWXxKJYDI3z6+IRxs3xGRVcMk7mkEgDgq0nbjkr0WPGdK1N3nHCKKjELEX6/X9qXSBkd7LTW7zquq1lpzgqMc0lwyBgMd0SoTVi3xnv2qqbAEhUCSvRcTO/iLc5oZhr1jDLAA1rVApq4qUP0qa9d+P3l0pYSYoqKRqGEhZUpKk5Yjrr3iJgqHO4uYWXgymB5Z6B4iO5gys5lG5N4bCAw+2z3ODbMZEVVZmd4caFYVVvXVaI9K/6gb/ElhZtH76OLVCRMF7ABQ1+AC3i8djYKH36xrkIM3ULIBqusNEVfcRm9FmXle51tE3ZcLL0LVbFB4UZoqQyMZwUQkCIeiKqJTRVEvPx4PwM55G8kAqwTDWKVbDSYuFtbbZYEK/jngv93/TwrMlETlLnh028MUjL4HWv+19+PxWNf6+voS1fP1ev/GMZ/hQNXdTDNb4AJqKYvQPdHpX8lcIuGJ3l1UxxggzVcWQtB7oKempureaBmC3pwDxQrI5Q2DINxfvmq57+fzuT2A+byLQhGBAYyaugcT8//l//7ffv/+S0Ser+vv/+Hv175++eV35/l6vU5kNgiLADYx8xgDxFKPKCodhiRdTFSceyWRimDKn5UV1cQsNJ63vQYRXdcpLHMMcHiHje2bmxOmGFaMOTKjkpho7a3tMCH9yDJFFakMxxsT2hugAE25uKnE0lNRAGxVb+oYdAzneT4ejxYiAE4hrsrzvHCo8btAUwH3+Tf/JcExIyNFGDM9ItAhGuHFUBxAJjimN7VG+SYUAzSEASGLYBatqFPQGErzONfaRSUs8HwBqxVBxGxQ1bWut9UEXlxlZYUwoqIiY7E0Pn6PrFsSgQmKqULMAeQKkbR7MhUboyKL6fX1wswGUQl+jWAEqNraC40gTPREpL+mNEceyCYwXypy97XWPCZUDnuviDzPFxBSwD6RyR1z2VSLq5JUJSJ8O9KGqononKOqjsdxvk7IWeRNMCUaw/baKPzhHIngTkQD9UFfbIP2MjKIqmcJgJtF+1jeLGS0CwgK70IS2N3QQfxTtIEJ6s1jJrCSYE+El4R43VIpFnAx83ajmnO+3+/7mBG1rSyGfqoSkWMM2DDg1DKL6rvOLXfH4OeN+dzozruuN1Tf7p6VpreSWeQtvMAAGWcyM6Edw6izqr5eX2YDybh7uMz3vehGGRbCme4OQkJWqhrucU9EK5kbLkPGBR6IpJWwDqPmqnRHDkdLWEc0V4GJ4D6ZMJuZY0aGisIHAW8tb5sWDJCF+XydAA2gEZk3IxZQWxWkrO/+STOjK6emmaYNm2Ne68INmmNklqp67A7ld3jEH1Q/aD4ANduwW/kl+FKinFHys3pjETGzvdd2F5Y5J1Hd3qvdOLEwUVn6/ttf/soqz8ezMtJjnWf4/rvf/bL2Wtf1n//tX4voP/yH//Dt49uPH5+fnz++Xl9FPI6pOmAWtH0rW1Cq2DDxHcc89vYxj+s6jbmIjjEr8/x6scgY1k858/nxZOF1LWI6HoeqPpK2r0EDb0iEYwcxPZ/P8zzHmMhMb9kL3TRPKFZ6kpfQDAvi7jshvFlxc07EqRZGM805u15DZGRArl2tZCUnJ3WZCaiEbu0C3zZWw4zRqmdzVfG/stGAAZQJxxTx3UzwSYhIVTIZeDoRESnobRlUWdqYUnMkcB9ItIp0SMBTuArY9BjzhvhDRCBdYZYqct+gb3u4kuJfge9Y3V4i7wkktAVV0DMXEbwe22yymF5fX+D79wBTVFQF+ol7bJOZKsrEay9UA5ieYdjIRMjxdUd/IHte7uHrWqA3gIP42wiIuSB6LLxJzGkiwmyOMUQbY+ycX2XDIBq+3cP5DR7DaIiIiDs5icq6VpMowLkLEeHwBCOImU3qjUMIS0S678zCgBGlemb63mrjiuutOENYcQflscI3WMsQvUNqe/MvOHAHulwhUwWnFuFbtXXfxKSMiSv3TKsUMy0PQsjGX/a9qxLfi5mV38TuZLWfaAmMWjMzDWEOg8mb1FRv/H3YwP3a24vKw0FhUNM5j8fxxBXr0WtGc/ngoJBNX6O8HaHbMhbIbtsHAJH2iO3+W7YMEiQxCbEOQzlI3BYXqkJFJIQJUFbVhiCmMPgBdwPjGbAhM5O5zMz3piaA1M6IjDknEY9hgGIwratAM31T5qlTY97DcFGpKEzmUXAwkwhOAtS1+qZpAH/uVMBalTj2VaQqe21VZSbQFro0pMJBipTrPDNjzolBLMT/qPB65rSdiSiZiO319Xk8PnLVdZ5//fe/2LDKUNPX66sq91rpMY9Jkev1+uXbxx9+/7trrWL56+ffruus2rFDmYcy8xDjIgrJrNBjErEeT89kjOC5xpwZlVn4zz3VYpnzEFEWehyP7a7DKmvLikgWokFwyVAbWalmcOtEC4lJteqsqnQIGrgq2zwEs8TmnxYzEcSAKKmanUqoHDGE1FJQ6nH9hGFQ3obsVBUVSppZpv0DQGCFQRYR2RiD2ocuM4ITbFHkmrrJvMrQam/3EGFTg7QboYdZbpCnFR/pmeA/3epWaDVQ+wNPr1Y8IlKgKVagUpGekWjCtrvAV/0maRTFPU9D2JLEhCsDhTN+GlC1ytzXJu4qCRUZbuPypabFgplH3h65KEW59dhkpoampInPzCnn9YkIjn48szwgyJDH8WhuAthiWSxyzLH3zRR8Y1Bcj8fj8XiiXYvYdW9BgNEF/DOQcffaLDzH7CDym8EmlDVENMcQUYziMFb03d4eOGwizKJrLVgoEoFUg3ldBhGEu81lYPqJWkDmg2YC0BWzmGQGlbxer1s6dBEMGd9hizHSIpx/VVlrVwYLdBX9Lj6eHyKy3YepsOxydDYZmRLMvNfOWgDHbudBLS40lA1IEq9riTqK9xZsFpgdkVQZ/Hg+mDmr2XemBhdPDCfmnIiM3SdlAorKaoAX2RlOUzdh5MZqeopBAgyaSPhtvoI2HWE96V22FRiwfWLvKZeOYR5JFR6RFUwy1HhM/GrkGPx9dF1ECY4Tqqi6+fvQoFCnXFiWKSgAP0sT4mqTrts1GcpndxYZavDd8XBAqpwJ9wERaceEiKp2Q+jZZKY0iIfiBxexMkHEMBHdfr1eX2pqNQezmFVWRhG3EP19gzDz4P/4v/6vdBxjjG/fv40xfO/3qOrxPI4xmejf//3ff/fL7799PF6v8/k8TO35/cOGHY8HE71e1+fXjx9/+5FJ53Uex4OIj+OhNs7zTCIaUsSUlO4RvtaGEEyMOQkLMTxDRRh+LsSsrCbndaWntJJT3B0vEtSRaB+lwj8yPFB42tzTV7wKdy8iucnU3nYXDBiEu7Divdcvv/yC6YL9pri4y3PssAHWnFDTqSmcIVAmqBmKjsiYczARENuIGMMq0dIq2MeiPevuZONOwne9XDephJD2MSIDLoQ6jt7EZwYjvnuCtdYdxcDJKNZOXUBX6y7JmRm9PL4pwav1hoNQv6sqPBVE5AAXkBk1LH7Fb3mWkYmuX1Vt2JwTObXJixFrLSJ0afx8PkS0AV9GJRtrXaIKB7rrukC4xCe5q+YCvgeHBkTwgLNuJQy/itoWG7cLDuetRLs9IfCV9958a6MwHWkLB4f/UvupoYTvVUg3Sk49FmN0D7DoALca6dYjgOYjgM0xiBm/EYCkqmQSHLfw2WCucA9Z2kIxI5npTSskan9ZVcW4MqvCA2KBzDTTjASlJO/zBO2SirVTdCSg/8xECkHxiadHVV1MVO29kJvGGJn1eBy3nKCJpNmuWSos0IK5+xuMvfndP5Er4EX3f023DjGJqaLNopEdVfTmdEPow8BuAEdDXwnLM7xceaNS3dNRVjFV3BCTsLzOM3yLKFARbPbITJxP1D1oQ29KSPl2zNkQcd1dMB+Qnm/p7WLUtSBy9c28yiomxmxgreW+MVe7P2QBUo7IrBhjVjtnMKwWWPsZxr2PQW77HDWtQDlWmekBt7ctrNB+VkPuoDbBPK3xD5iLZKRdvjniWnrti5nHtDkPVamsvfk6zznHda0/7T8S/8O6FlNxkYer6ON5mtkc4/cf3/+nf/hnVv3x4+tvf/3beb329fr6+mE2j+MQUx3zvF4klqQ2jJhBgaAkMTXTtTeXIJ1mtqoXTRUMv0AE3gl/LqPycQx8mes6lUX6zGlVMmEqgAbwhgiLGuiAR2P1GxIVEQ33MeBNRv1IMY6NgHi67oEtU5vKOq64B9hHpcqcxD0hXWuriEghpe3loGwDRWmF2m1/wcI8DG0wMzFH3hxWJHt8YKC9XoFyICKJE7M4EYlwMLK7fKAbhSDKctQRckfDCAdNcK+eyjDznFOZ6/aww6MD1RRBHwf6uk6cfvQZ+Kc9tcqiGyXbtKGfUlFPj/AxgFbT/TG8qI1uYNdOxKY2hkFZZmZzDsSm7saki1+Q0yAgUFE1xYY1po6DEOgiT6NWyMy1F/qhgF4Utt5Fzc210WC0coSzyl1ABKrv3Fgs5nNOZW2ZS2Ma8AmGx4CklGSyGcoabDqqfpvEHUoiswAX8D2jqyrREhW0PgSbAUwX6x7PiNgw6par0FIQo36oaoxOiEiIo9+7gomqJiJCJHs7gCwE9whHWUW3XSMAKHx4FX0ch5qG55gtXiWipETYjQoyNhESaHoD+yccCqziG4LF7U7MMzLrPflkbKlAeDKFsflPiIkqnFTJoeWO8oKND9gEDN0FKRqjNtN9a62pbT9Shefz490/VUIvXyQF9Bwjwi7kq4oIYhobhlS390YsygxmgoVDzwN7rIehWhdh+PVtJCUyxmRmM6yHkkYoqjcxhYRv0KZRoXOzSNpqEe4MDStDDoJlIZUF0dzz8QEmOpJioxOVXBClV2SaaCaEymEA8T12nMFM58VMnxhkHY/j+7dvVbV8ifB//v/9i5pcc358fOwf8fHxlJNSfZ9r7/316w9RKaJvH8cf/v53Yx678s9//Msf//RvrPJ4fqus4ziiSoey0LpyDMsgwyUxYuIxDmFa20vodb5UtaQy4UKUVTnmqCo7zLIrdGBtvVWnmEizMqMyHaq7ymwBVKM9AMoJUxFcOQ933yIaoYn1b2x8C/HvUoLe5Ghg8cRYMJGqVnRTkAueHu8+jX72oZV6x6/MCgx701G/M9FbBc7CdmPZCGm3brCygojgdWGsXeRnZX/GQk1Ub9V7r9/TquIi1GVm5q5MvH2/FQw9QLMh2nzQzPz8/FzrQoH5Ok+AOVXYcdmsIfxBSYVxKIolDMNV9LzO1/kSVhtqavfD7yHQcgfgcP+75R6QNQnfe9Da+JazMnaho0JP/TgeCJSgBXqENGDaJj/wKsDGtwgHnAXlAdo1BB1UdHt7pDMxLBb2duptfAVJmqjMOYBpRGRVQSAKmVtfTu4ZcgMIRDDlZzCKRDJzbW+kuEjV7tTYqM51XSg/99qg2/t20NhGuyZgk4HIPX2qKhI65gSoel3X4/kYNqAHZOIkYpbK8vDzulCiUtV5ntUEBOcWABYmoghhQ+14PEQV+3xQLyPN4wJi5nwHwLqfWLq32wSSk6hVVWWB8k/9p0Oke77nE3fwhHkXNXDEb94qhnwtncM6mu2b4c/G3JqMKr7bKS8nbOXsN9KCNSSeoGgKVpWppoiIuG/vyNHiJG7zAnlXUXiE4H2AAZF3tKlCq9pW7emwVe78DUCbSUR1h+ftL3lTLQJFlRKWYmKdaqtW72sOJ7RWRVxrmeoYQ0SxxVNv+/dqn/yM9tpiehNShOzj28eONmABvtGdSeb1On/8+mMMCw9l+fb92/Var6/X59drPh5/+/XX5zEfj+NxPIQ5ttsQIvXl7kvOV1COKf+r/8X/Um38+Pz845/++K9/+zObHvPxu9/9/uOX37Ewkax9zTFpVXgWYS1OZhCsGugGzlTHW1IgIsRoF4iIzcYYg4hVOCu3O1khqgZ1S468zW8TwaIi3msdx9ELhgKHKoiBvxM1Dt4+P6iGRKVxz3vfpIkySxuLc5fhGZH8tuq8xV/covC89f2VIFZr7t3jeUClWe8NEt0rKJYo5Q0pkrD0Yg3qDpGZM4q4JTOZOXiMMdxjjBnuavY2k5AbJcNvua4LTM3IQGOC5VnhTu0xV9d5rr3gaE3clxCfuO5z04YFQpFJ26sFwK6saAXQKYsY7M7P82rJNDNgCiZuZ02xqMhKLSmYW2QKcTJmFXRd1+PxgF9N9e5ZWLl1SViYpXeBz4CARxtPJfzTiQmiM3B1qurN5UWV967ugfyg2+j6mjGjI2w3Q7cBAWAkdva2seWcA6BKF87RKE/TfOEVRsxMc9zj9KK1FhFR1TwOquLbYW1vH2M87IGvIM0XYmSsqppzHnNS0bWu9MAZQYyGwoCIjuOR2Tug4ubmtR6Y2WCyfUPPeKuQZQBlhTkg9g2jzMnM3Hf/1+vn3pV+K2Pot2Vxs5Xu3rGjTt5hDuydZv3dH4DGsMwYNiKwFavxkHcufO9fguDXr7X3msdxzAOdOiQR3I4xWOKB/1DvBFa3X7SoaRWGVeAmAUgBBpQ/PcFuWxpwdWBRxXIjpRiYJ7beHnM2EktpTKZ2+VIzIzIba11NOuAWeJvp+0YT9TA1oyODu6vZx8dHRvwMRAKFG5ClBK4wxkQ1fJ2XGhDI5P/d//6/JmFfjogEob9vrwpIqlCcsnQE5CJVPR6HqnCWDX2Mx+N5GIAx1uMYaiamRbS9F6dhudXQmVV//fVvr9drmqmZyPjDH/7w/du3JmAxh+eK/dfPH4/HA5YylXldF8qc67yKaZpF1hzjWhvwKNAuuSmGHl7AQNBrb8dd0t+M5okIRhlt3Fr5eDyRNt+GeWb24/MT/JDzPBHsROT5eBITGGBwb2fhpgRUteQEaGy2lW5Ey1xv6azWDTaZ2Vqb7oTBzOFeQD6LuhNvLfQ9yr9nSuj51URuEPP9B3IYKIzQ0gEleyuAYLzT6ApgN5ZMR0a8rqtuSA2fAX2C+9v3tIO93GusiwgrRwC1M0mkz3moqu+dWRgMxO2GD+oXFUEFMud4bwkXEVOr20EWX/Q8r0g3HeBBi7TpGAuhtcqM68ICURpmd6/j6zZWYmYRPc9z79Xk/Xveo71W0KoSaAh2C7vH3mvvDRwAT4xZAO5XEzfAK6Do0VQrw5F++Eal35EPbY2ZKbxDiJiF7o06Igyo5HW+mHmOSUxo8PHF0dXF7TqnWISbQSxmClUUGsnX9fp4fEDBAHCDb/lkRq19RcD/HGYn0rapczLLnANa1mNOEd17I/df14X1y4i5iKr3OEFUtFockx6BtTzUWzf6g+EEMrOJorN7E9X4npcC74acpZq20S01TnJEODyZb/5VEUU4ZNs4MJg5PZ8f6GgbriES4n37CyETZbXuD4McosqqYxwQ99a96s7UrmsRF8zV12pDVuA2cm9pbdw108yaDfyGjER6SvEbuW/LamAWXZ0FCUM+EZRK3D0uIdNHxNobExGAn+CGvLndlSCGRsB8AR1JJO6Ub3wYNhEl4Xn0MN2MIvyYMzIh8WBo9alg6tt7tc6LmCiLmb7GJV/CJKr6OAYIDyJiY5gaboKZVhJPyqqh8vH3//CYRxHtyF//+uuv//7XyFCVb99++f79+yHzn//wT6/rpaoqvPc+5tgRqh1qmWWaZeTxULrp+KWR4cJsLDYsd3hseMjZGDYG6sSqytwssq5rzgP3AQMuvuH4OSa8PPfeQMNTlcEyZOE+RjAdab7EGIaBKvVuILlfoYOCJveuKHrbPWaaKmE3umoTITDXAp2RCFygN9iFO4CDlbdGn+h2qGC+u9o2DUchb6Zr+Zzj3UHjCKJevsGxNtjGriaG8pnARCIIGu4RXGWW711U61rgnmbmGOOYE6Pykrr51BLizWTNfG9KBjLDwtPmm1kfkRFXFWGqXNQUcnCx9/bremWWHKL64EYHoKvpx5vvS1U9I70L28JNYObMk6mfP14HvOoQATEq4t5fRNd17bXV9JiHCMOp/3akR/GIZq8tow19NwsT6TBTa4ExEXLJHZtSZEAbjwYfqRTMwsyu/W9fmqR72RERAyNqGgxzhA8ewyxTgLyvtSACEJFjHEWF6SAwWCI6zxN0mefzAzkepEYigmUeFWfG6xXc+6vJ3Y/jwcxrXU1BBk1+2NrrHdCp5FoXMmtm+nJWvhE2jsg+qsLumwV7Pro6RumNJtgUBgwg4wcz8S1Gw//Hml/mJlB4xPaNubGIXNd5XtdxHB/fvhERVapp3K4ILBxULJyeZL0mSFWDGIeZqo7Ho3mYdOPA3IMEXG00i+d5mhmtPY/ZTvU9sYDdP8T2qJI4omC+ixsH8g5WKIowiRHcL1huxlTXGXmbWmfbudO6VlY73uP5ZlZVFBFY7FJMxGs1zCiq6QH6LLdAjllYhU1VgBqgbGEhEUXpesyJ5AMFnTu2dLiaZe84xnx/UWD6ReeyMabpOVtWR8Iqwsc8EDcxUVy6+VupGVH+8vuPaYOJtvvX6/Wf/tOf9Rg2pqp8+/g+VQfrResx+fP1Nedw30MV1WK4i9oYc+2LSnlaZpLItS4dbNNQtsR2GqzWWydFNNJ/+eWXtfZsRU8iPY4xmAmqirqtGbf7TbtqGNrDx7DyjXUfkBRRlW9HGnAPqLrNDIU89zpVtIv3Es0EqydLYEJXWdSWzkKqljed8z1F4HvhTJ/J6pgOO2s0FvhRaBHgeQlrBO7BM71RIOYiKqEWl2Kp4XVdrDrGBH0+glVEVN4uvseckFlh/5Rvh1vR59dXy+6p6PZAxr6EpJJ7AygxiejbRRyhOasinVnM2lixCYJZOHhYcqCqanA5zqpMFQ4WkdqF8g3jetV7T1mmqs5jojl758vB420cwk3lfK8CpXcuwd52NFL8G2+cKiTCpNuQh26eUhdSY0hPSfQWlBDcuu/fRZnhWTelqu4ZLFYylKrO2f4caFDcHaRVfAXU44kEmQW2EREBbuLiDk9F8NMhY5DnWIS4iZuIMvXeGMrcCEazhrA4TtfaVAUrEb6XeWFSEr1ABqVYgeu5rlWUb7RHeqEN2iymomPOHR69j6GXdxKxiow5u9bviMQIw8yUIFyp3qLCW2lDpdarFFBIPZ9PYD51c97wKLhRKALFIMIxXN1rr70ya5gdzwPIVbUhGFGvR4bqSgDmVCYYTW05w05JWWlmpgq6ZWTOMaqq161TVWGbt7CMIIf/fGTstdHow5qXqoQU5xyFZ90CVQyx0DNyUfFPzDOzJ1DRSm/OKHeXxCQIxs9d/LEKUxmeNeSsqCnQvzRvinnqMSaosrndfW+PICqCQqQSfQDAirVjrS9mVlhrmorIsPHj62sehxBTkZAw8efnS1WS6nxdv3z/ZmY2xh/+8e//y//Zf1nCf/vxa2y/1ivcX6+X6phjCpMUff/2HedSRS6CGac+5i/nWlW5txfRnAfwERUjJjbmYGb27fYwwEThbtBe3MEdsxGg6nuHqSVh1TWzsAmpamTCdo8wE2ZhJt9OPc2GGwmNOTNi5R43Lgi9EiXwfIkMaKYiQjpTCrL6O4hUUWWBWg7CH3Gr7UWlvL3s8U97enDzHd8kWHxCaY8BEAqbO5tZrVdK+CPBAxWaeKsCaY+dHePr1GKAngxCPY0xAGUgU8I5C+syMJvCwFBVVMXbvvvnoA96tL29Kr++vqpXmELDgyPW0PveG4WnCIyQ3w1Kg3KIBe9kXFXLl7sDVRvdMAk0yYjuKKDwZPRewWFmIhzRs0cUlU2kuFUIAIgwrmBmHv2K8fkH33rgW9EDLBGz1jlnZ10EPKbIYO+X0iPEqjmmqBR2ZmThCWPqOMcAnPjW2VGVCHs01TJuqh9Ty7/vCrGnWZC4wyGKRYiCmSHIGnO6e7bdEHgnQ4per685Z2V10M+gAkuSGvl+yxqYcYqwdQad673bgIjaB6mYuOnt7FDAiRKVqOx1pQ2MrABj3k+LuIA1tYsn32QeFNlrr8/Pz8fj+Xg8wA2hwoRWisv3Bi6eUW8CHj5SpK9rYcIB+5YGoERVJTCZyGbyQFSFpNsROdzM4MBDjijfIx29RdqURELghUNDEL6JGFI7DGOoiIvRxlEV+NosGEn2UNId20GLq6Io4hQMJlH2VXeiTEwmFYH20e+Fr2iLIwKinMiEbToD0ERzis6n/wPfwh9ixGh6fOy9oFfbvrMSPPfk3L5VjO5WLuGzz7x0i8hae5gxCVXp1mMcxCTMY9rXp6ipR7DKx8cT1pu/fPvl2/NJSfx3fyiqH79+fr2+/vzXP805n8+PX373O2b+5eO7YB/09mOOjCxoYFiYKCnNhvsWtbVJRFS7Y1LLMBWVvTaxVuZ5Xh8fT7oLwtkAtEwArA3Sof0EIZJMB2wl1KyoPJq03jA99wiL2kiOKVNMGESCEGJS06Zb3JVJYa8GFTYmVFsGqjSrN99qCRtGRRfWtfuWm7Pxm7gP5/S4Q3x3HljQIKpFvX6WOMGQYSbme7/H7bNobNubgtISpLrBkMzqNCBoZu2mXUbEeV7ndUY4Ufu2YtZblVXKzBHkHiDAtdcxMcGZq3LY4NajprDotHuxMPnt0i59OXpKT0x+48iUBMnlHBOZG4LWaC/cboOQ8jHCUXmTtR0/ZM6JxqKTkwjKWn039mDgcOuboO+kvoy98QpjYbyUqoqqeyoDfCK8SFkT8xUus3HTahGpGB8bnD/wHYgwFSBmJC2NtW7QvEFCDH5YONy3O2w4wGDOyL1djd/YAs7tuhYzqSlFNPnWecXKzL13u6Kui0UxLj6vc9gAJCIi85jYMYC0VwVBPCHrQwmh7UvYO8TxXY5jvpfGpGhVqmlVusMRFvUlM4uNkbfNDBQWgGiu9eW+f/e736PNhoEPHtfrdWJeBuYM0HaY3EU4kHQbBirTW8cDl9D8zR9m1mGIaSKSlPgXelbPzNCjOSF0YJaD3yvaBQEKR3D8s6qo3L2y5hygq3Vb0+tXiIsya+/r3b+KsNrMTN8wRLhb2+i/gfe+1yoqNBCg1fK92w5EO1Sx9huBBVFBywfmovK9oTsiYHyEvlVNECZGjQZBqwIgk4dvz4jkrHsuuMXBkVqwTRHire6hpqZ87fN1ntgMZdPce1RyfZ7fv39DYjMbHvvxOP7D7/7nx+Ox1lprf37+uK7r49u3799/+eWX31XVGOPa16+fn2pDhZmwkES/fnyNMecc13mhakPgfhw2bK59ZeQYqWrYFsttQgrfBCLmaZZZe+8G65OKU1RhgdQbSEA7II6MvR3C82qKHvE7wFe1QNf0BhcpsxBRbh4bvK9BLAO/joGTvDk3mL+Zat4R8D3jFREII9W0NbZv/UhRUlYRNlYzsQ7FSar6WWoBcUIZUFWmpmrMvDccszvH4zNU8xKSVDGNxzaYb98+5pwRDiT3uq7tnr0pexaVwrbvHnkBGImbIdNi0bw/pxkQj+y9Uf1RE/DIdvRSb1ok9X5tKaq4Y5zZe8aIp1E9VSpGIIPuL0GZjQhNoOSCRoa5APT32LawejFB6rgdyqixY8J6YcgFAAbi6YlwEcENt7ttAsrBRFAvB7UItu+diJi1FC4rucTM4AgGf00mej6fTU9SWed1XtfB8zYOwQWzcFS7XeV5wDiaKIED95xrr42DhtfReHirc2kMu6509/DAnAOFrbAQ1ds/B4g6gn4ToHvXfICH9f7K2L6A02VmmRwR2OzGt+iMWSE8wNtBu7a3v85XZj4fjye039XKL2jxiOj5PHoBVstrIR2NtU74ks55zONgouiRNTFz4dfcXxl2VcCjUCodx7H3Lm/0zPemW7KL2iQ8iIhYwRNl5Yz0iNwJ05F9vqCNFwVtSlGOxL0pE18HBx4OV3w/CCICJz5v8jEeHZRJXd/cKsimSFRigFVQOzGLgO1OGOhiLVfDSUxclX5TXLtYyZ6V41ASF1PZNLzXiuCSzMgM90BjleD9EnGGKtqCYqHtF0FTygL5JQqcOaca1tQI9bBCmBf4P8fx+Ejn4ufzGMPGmK/X169/+9uf//xHUf328c3MPj4+/u7v/+7165cM/fWvYXOsVwtZ01PEXucXCz+eT+wo/5jz9XrFtYp4zkPVMHwTkZEVGWstYlbjSMU0yeCiI+rlDD9xJirKKBKk9nZ2zqq3LS88Qatn6iUkSd2VUzcunYk5RbQABxLW1gOyuCMXCp/VdrvDQLlTva6zbhYBi8CsApe6Wz1jTslb9bp8czKgP7lX97zJTiAVVKaqgdkmzOOm8VC/HowTwIliYSlhVcqMUnrTEyPyOI4quq6zzd3d0wxsbmpO/cIjUOGoir3z/rLvVQ3gMOGCIQSjQNl7R6aC+iQ/cXODRwWR2CCm8zxVFWvd+mJjhXWGlEQG8iJAn/l8RqWwyb21HE0YHGOSA9ldbpNBsLAZNV2V3Zs93KP1+7hFGFlXwRANLQzkFIi+KP+Za5hFgvxHoGaxcFWaKAlXkfamFKKmJwiz7LXIi5mfj2dL6u5jE+FQRwNS2O7UZpstXLol5XoHBIGJHviXEe3bA0mRR8DhTsmIet1jbq/acw6UCBCx822QB1if6F7jWgVr5czK3AhbMJUjYjUdgnan7vG+UPvDY1VJXNdJRR8fTxVDy1VUpiMzQY1rOinGLw1XYH11VNFxPMYwVThuFbTQ92CtB2nUNxz8hxJh98SRwyiCiOaY7/kcFWGGiilFA/9vB8mMyiThr6+vuLEjNSPqaZi0xDobdazOmqJM9V6Qic9FgPVRE4gqDCLfrieYxtPNd6gq4GzRjzSr2FQtqVhEG6xoD25WoeKB6hL3uUrUSAvqHmZKSoreF0pUrCIkUsI05oMy4nF4Vq29M3FH3X1Ll8rCKuEhoi4upqa6dqy1xzCbg4qw9ArOa70uMQue2q/zpWZ7rzHm3//978c43P26Xp8/fvzpT3/813/5z//FP/0zu3z/+Dj3VZVjHGpqc6jo9oHp6zGGRuy1OgKq7lvzCXczEqISw9LziOfHxw381cAWkSKIDCoioRhkZdX0ZOKg3kfamDsVR+9OiSrJXgn5Hi/f89w+gQpSklRmtsFANSkb3Fw4UYc73zX7MY7NGyXJta5wT8NiEqw439JaGxQXBASAhYVZ1IKaSCotQC8uAcTaVYmgRCBqP4BmHNHNPmImU2OiRDkPfLkaWjNTs28ASNZaOOV774gQ2cMGMZlZBoPpyJnUWEcRcRvP8v0HdxQqwVbS1Y5T7nXWeu+DbKCmMChuK2ZuzqUwkUdQMxJZRcDGI4JqkODVBVNr5Az8cm97A9IGjgV1AAIuiCL4vUMEYwbY97OIEbNQhmDJGjZHcrUFdGYI1kayRAG2kqpS7okUMqKJ4X29Xq9jzIIDT2ZW2rAIp11FJMSZeV0nXkNEHsdEhdhIBTHkb2utrNybMvPxeGTkWgsTi732nDOzwInACzgex17bTA0eiHiVLGstVD3zOCCv611rVdSDFgFQNKcRVSbB+AHIPlCaDoU9xa2MEO1RU2Vd18XwuWRuEjmR9Kovh5ffdV2q5r6ZWVTTG8wR4THmnD02cw/mRCjnewK3EUapWwqgVWbmQVll8IbJxIpdfMCMWHsTE8sDzRCQsYxQGK8mRGay9957IfWiiorsE4fxF5CYjCoC6aBwurS3Z4E/lbBd2u5ckvfuExQw464/2nevgYO6n4AAhOT/4//5v0FV1XmGGbwC9FAYMjYu1ht5iopQCFPPNol6fpVCcI7sihgnFScMeXCthQufrT59q/eYitTEbOAhADYFrIygb2bD5sfHk4oTfuhzmqncfljVVcxUtet6vb5eEWlzhuff/90fvn//9m9//tMcc7XgIIbO7ZuZ1t5/+vOfPp7Pm0gmRGymHkHEO50ITKzaez2O47ouY92xpk2PHRFCvH2r6OUrIpXZVGLvOWbVT9yZmT2CmFUbmUkQdSKj92oRioUGcyuZenkszPHf+syiCs+qhGDHTAETV2Xv2GnstA0hMJfGGcvOPZa3Q8sbfsHs2dTyPiwdbO8NIQDE1UyVMwrcKmaCfJexFCUD5JCOpEWsjL9WdeMbDH9jXmut62rHY2nNV3fQN/2Je0O3NVOef666xQWLjHcNqKrYDj/HYJHtGwpebFCoWyKAhzPsbeXfRkBg7uO/eVsjoE/7uTHmvhpoIuFMSVWNUDMjrOPBgk1zf5H+8K38EIwHChAA/3QINzAazvMU4cfxYNz8IhXhtrhNVd1rA88c1h8edtYghwwbLEAefO/N2OVCPOYAqCgYBoZjSI4OIzzMxuN5oGsMD2wRiYh2u6pU1WHDsfMbu2gav761llnYRocfmxHAmnouIu2Aq23hFe8FDIhT71E2Md9MB/0tKiUiOBIA327ye9Jbt9+Htqot4tkxC2HRofhqt4fYW36BYrnelDkzQ+2Kx6iq53Wp6pwTnrV3wsYZDOzkgoE5xjBIDkAU8LK8WxxqQ1MR6kWVDGUDFKvh0dTwCHzZrLpXQcgdP3u5GwqCMSdaQepxYM+QpIlb940WkdampDGLMpPAQdcyU7ln4UnJ1W66xEJcDPf5qmpLgLofOpp/PErAgS33sHtxI7QJU3geB/otEEXWuiICbXXsuK5+rOhDvQpqFORwqs/P16eqwvaLzxdGTxANiaqa7r11mKkexwM/1in+5V/+h19/fETWNFNuepbvnRmtd81UNRuKfYGPx0NUJsl2N57btwoz8XHMqnqqpTsrM9u0IYiz1xmVh364b7l7rLW3SuOJHmmqJoYuDoVJAdW952YEB3AGVVzfDQEgeFSUb4RnmKy95TY0xqxfMLmp9nuCJUsIqoOe9aA+CliV9XmXorZNRk2izCVMQU1zRI9SjYqKSCWxYLVLtfySCNlIEvzxvsYgCwVl3Lp2Zo7wpBJCqUIf8pGViNHu/vnjsyrHnGMMvZtAZsH4pSgB4Me9GZRZmkpBJA0ZEbBuEXlD82+RBOHoVhvKosJgfk9Y7sUmGE5YA2JE7uGoYzAVr9tkApEIp/SerK5uQVQjHAC7zZFREY6H6u4oceAjj/zNYD1lvt2T0PDf21UxTM7MYureiyjgm30c851lBcnv5mJUlVYTXQDgRAQH9C2grEhlYT3qtdbal4jMeYwx1lrh8fx4DhvuPrEIN/J6nWamEDwyoWRBnma74XOq8MBuHLQFCGo4xnvtqooKU1NRmJd155RNuzRTzBfpXgQmgjFSQuVEDdC0oIyJIxzb5QAcYbAnKsc8UHAi/cxbeJVZVL0FYc4jIs7X6y22EGbTljcO8JRFbtOtrgPeLUu2tBgUAIXnRPUs075eX1WFaQ1cabvdKdkRlenZBUaHzfvQukdWUFF7wmNJQBUKyuPxAFpOzVnIVizBaZH4pjt2oU9tJhZw5mvFzbtNxsiyDY5BB8kCkz0h2+t4oeCWNQPPFJ04U/9Mug3XmonIRQWHCi0wElTgLQVeZjT3IKPy+lpUhTl+QXXtfr+5rmJgEnedL1Gl2zvMzHQrPiGzDDMTsePIcGb+4x//RWEJMEZGfDw/hukfP/9KVFz5/fn9utbHt28kFFmqZDqJ6WJmlaG29tVlEVIoiTBXxnWdakOZWNhDCWDoaNsJViZhE3MPLvKMx2OqGjyNiXEMkhxjWwJ3okuqKqIU0a6vAE620rtswA46mMebCfqGCEGZ9wgQVLg3JFdVmeowe9dZgPjo7Xx5ex1L28ZCxVOqJgVAvBNJZkaFiBSTwLsKSze1UDHd0BBnYv9i6616DMsMbjKu8MfHB3774/FYa53n+Xq95pjHIbhmcNpQa3czIjpfL7q/Nzbh1F25VzlzD9v7G9XPy2/cfmo3n5IKhRgmLyx4aDcduttwJUmi43jUDRvTjY+pKuzjodGdsENXNdOfA96bHQRG/BtGQ3kEaDTanqkpPQyZDt5f7w+g5pSD2U5VVQPTjHubjdwu09lDJxpmx3EgJ+W9e9LerNl1uTu4qsCqseO+Pmpg8ewcRIy9LlJw+Igesxfdszqu6O0xezssGRgTjsZ26ifhFYkWWj/qhVl1r/HIzOI+ZnttdwdrbthUxV65pKrIUhW8QVjruTsT2RgIf0UklIXwxczNzKkxBs4JWv7GM5QrEvjMtS4kp+NxPB/PruH8rpnudap3uk1RrpsJdvcf99ekyh2nn8SEvRKZ75hcuNcA7qFvZ2ZKof9xRYK18h2cozzhhYdRML3p47ggzEKAtro2Sgz85d6Hio8nKiZMddMM8CO4p/nEXIx9uQjqLFUlJEBLoY6opKIsVvQIAC652ANKa7SrUYW+jLULJap7URcGFaM7u25TAtMa35nlEeWOoy6McVrgW/nCTr5x013oulRE1WSoiYnqeH29mDirns+nqX08n8vdlH/8+Jup/vq3v2ZveqrjsP/+//PHf/zHf1KX799/YeZrXVk+xnzosXcw02M+qopVy0Zk7A3/Ih7HUVXhbjaSeJrRUQvqvuWifN/qYC4do4rKextUZSkM3apZg2jb6jeurUQ/oZg7+HJEmmKOKoDvuOMBbPcZJB5RBY8TeB1KISKKe0ViUWv0lYVVqFcSZjNkumug6l1vztQrc0GvbKp1VfFvx33cDArER2HqBkJY2HRU5XYH8iuib2he2+XY5MbiuW11YWnCVRUeTo6SMCLgLp43R4IiE5NMkcokL6xfwOi+qonzTfq7GeWo8fkm4YKzUVVNx6OMiDefGu1pYPVCtpMXqtE3+k8l2HzrO4gaVqp7syYLV0K4R0QUHmqqqkkRSelJVNpLcftruTsXvAhHZpEp3RU9BFlEdLt5NYAHxrCI8F2xIt+LKoPgRZQZiHR300NmFhnGN/ObOTOO+UDtRTcQgWCkKqYDEApAHsMeFWrrU6yg6JielXD7kE75wAa7mq7mg0V2L5tF6fBbLxOb47gNSBgtIGItdM5AUXBPUPIzc2VuLAolrqrccZtZN4mBsNz1tojIewGGqh6/HOFxE47lWld4kKrdQk5gd+6eGVUQacs97rK9N1WNOcLjOk9PV1WTCYw+PEA2RS1fVYLNLSxv5RDfA7b+phjnYqEvM4tgMRkuh0cw/eRDd3mg0rwmiPmJkIwzHVixCVSszNQGZSwsb9XS+8TcBSkRVfNGkNmIQXG8RYBN5mMRSiwna851wAbkdgnHNAv8IFS6N1e1Ebjb9N/3dt8b+hTmXirLlCC/M3NkiqswqSl7F1UbzX6Rioxpyvr5I1BP6zBmrPnlbx8ff/vb3x7P+Q//8I+Px+M8r/Pr9afPH//2x395Pj+ez49/+Ps/ZJV7TDXUrcJKwkV8HEdMuGs5Se3tLGw9Bjaq8r2V9XjK9jWZvl6vYwxKKsrYm5kIcg4hIgZX4/08AAvizlAPA+Ten4NMwISA3xUHQR2314rek8NwjGKiZtC/u8ob116x1PQNH/GYUmmqa+9hBs4pNXNRm5EJ1ooHmfXQ6d4NAIUgcEK+16iBxwC1SzeeHqZ2E2ThQYQHK3Gv1iMivRFezAOKqKQ3CILrhr8cmQJPb+6PQajQUoQwQ86CVo5qb3hSmZmptc0GJlhcN58HPpFNAO72iG5PtHebj6qqGj/rrpyY1lrXeZkZstd7bgzqF6soK0a7zAVkFhppIg2PvVdWYn3ju0xGfgWFDx8AIzz3YCEmzozXeeq9rh0mYixsKvCCw1XLSkpG6gII5ud5r4nuzeNVNcdwFyyVA/nHPZiXe5iZDlBDID3NgoRbhJIzk4nBQK+2K6e8/chEBacZVR6KzSoMvyvSwfjvRcAi0EYjrz8fD771WV3DiqBPRodLVRRvEKYQ9bA2nYC2mxJRdM2N+rPcgwi85FE36pJVcMoztRrle8NODoJBlDgQf1WVu2/fyO56g+/oWjBpy73BCTSxOScrY3G3gThwa0SIyT2wywHdFNYk6E0P7aoCbMPe7AYjbuzdFCZCJihqhTROgsotPAxUipHQbSgzsYF7jp6XiFRuyQAVLFZQMd1/p1sE4EpUqcZUvU0CcUpAci5KIcEvYUUjwkRN4e2tUyUsou3hjekeslA/MlUzG7OEOCt9Q2jga233nZmcbQsFTASxnllypWA7I9MiOk8hShtjHgcVLV8ojo45Lg9VVpZ1ndd1UuXHt+c/fvyBRa61Pn98/af/9N+Lmtr8eHyMYWNOxhDsGKDEzsdgUhYx29faZnoovEXpeCYXZ6TYEJaPp0Fa4tupStrGHQ/Sq8g9RHuvUEba6PIQ3stdPqMrxFXGBaJbDFJMiWlBP0d4KmQVJE7oyYjvNMClZmgtMbA5z5eqgvLeByiTu1ltPhPcvqq85ftFBdZQ406qwgHFlwASbAPtLiGqqmrtRUVyz+1hg0bNecc23c4NCOuoSTEoaTEakRQ2MJfHzpUsjPUDmWhLsSwXbI3YOyNuN1bRzMpA90a4hxAiQeL/lizjHqLCwY7Zt44Xv4WZgdpVVWQg4rypVtWAtVSVMAcsYpQJe4wR3FUzUm2kQ0/TOydA+mqkC3gPFRoxcFhFWLQywrO2Lzz96mqRiTkjr1zMfMxpCHDNGW0wpOkGoiKy9tpriwoGErClrOoNP+09JZwZmTrGAMLeVXa1CRkTYedUFb2XT+FogZ6IVAkW+t2+KG5BelulAtCgNttiokIjUlX1E1JmsG66jbi/1D0avdWsVJU1xshKblAFz4DfAA71CjyiW/HX5S6GlFh6xvT54zMzjuMIz5IsItiEQHzKkm0Rj68pIsyq9l5q+3g+mYlJsiBE6Dfl+17RxTe7AQVzEd11G2alIlII8VQmLfejN5ULcE0JZNKVif2X0uQbRJQUiC2EVcUjBtwNkTBIiBqWYeSQ7pPoLkjvboDuGg0BuwjJXIhJS9/BhQuhvDAqAHkLnZ/fvmys0DzdP66/D1FPxXveIMKUPA9ReWRUVoSjOdh7b6JCyZMiniEMnhavRTZUxSpJTXIVduORiLEWxXX6sCEq+zqnqY0Rmb/++uve/ng+WeR3v/8+x3Fdl0ee59ef/vjDzD6+faja8/lQteP4cPcqVlX4pMKGlqzCw+TD15ZD1trMTLQ8c8x5jPHiioyh5rmLyK9iLbSv61qqwsLX2nOMjCSl7sbQN9/qD2ZBLQCJfFVuz2F2R/niLqPq7WBMXSfgCGJFH5Hw8/m8l6k1lQIWdUTd12emqJ7X9TgOxtySFGgJWCgiEu4lmdWVOBrnoqooWBLJLcO5AYrGibKCGee7RGVMQWYB37zehTYTM0N5pPcCyFm1l+Vswuheu6jmHJkgnODwNwN9zgm/cWzE7VNtxtUOFrhUHOXcDneZ6eBud3lIzTrFFAaoSfVIHxf13SdBvUEEo2lw/HqOQ8xUPAZU1gwwNTOgW0Z9c7OJfM4DwKzea9yJiYqpilmQnJhLoMHGHI6JRa7rmsccY0LhSNQKZIDTgOZAl8xM+B2JiIcLi9lgOBGRZDahCLaJqK/rbchTVRn0trm/d6R0zU4Zb2NnwqDI+Dbm7B7CgxtUIAyohSWr5hhECnUx9ChIg5npHoANMxNyqjEUxDYSqqp5zFYIZuy9RZq8/h6VvUNTs12rgOHcjADJdCa0Gr73ukNwVSdnjOPIzMDWa6w7S7h2hIpe1znmMBkY3DXGC29drBS+t1WD0MkiFInUwrcaAA3HMQ8V2Vhhcs+fuKkxeJVClXh9OF8gX0FXT3en9cbQhtk8zKi6fjTIYe6NJT2cyJI+Zqj9m35xT/CgBUVx2oCvp9M98uX7WcMLln7D7QWVxNRIs27s8k2EEFEm6QzRZvGoUpmFpFSmaumYE3if7729a9K7Fykm2lcs2lQkgtGUnq+YcyywXVVdtqhmxr//+zoeD8z6/VqLiFiKaI+LiMY8fvn7v/v+8VRVz8jIr88f7n5e++Pbt8ecH9++D1EhFjEvzywbWtUTxKgSJuKpAXqDj3FIuqhQMDEpS0UI8dqL0dWLGDy2fi4UBa1Y7zk+KLtEVKrM1NU6mOa3Br2qoBBuvyYCHzurmFSViiIDwB3wq6piM+j+RRWu2tXLyHpPzTs6gwHc3NMoNXN3OEN0guc27LxBQWZmgzEDFROzcmLP1z2h1XsW1V+EGu9ipkr17E1nfG9qFZGPbx+Aj94GcNd1rXWKiA1DXUHE2KzNzSRpygRC4d4bmimM9TGnjcgq8r3BXFIR7JHvAvq9aB6VuYgwe7jvbWbNT78ZJghsPa68932K9EyeUU4ymeEQ9cJiELKP4wEXaGwT7JaL2SPudqEJi8MMe9ityZphYyBDhHtlQk39DgSWtvaGkR8u5phDVDISsb6SYl9ZMa0hFPzNx+N5XVdGGFndGZGoGsPpRN72y2ibED0RItGG1W1cTO9CpI9T3YWjAECOaIt/PH8iCmrIAdXJPAZsZc/zHGMIiQ4DnEJMgC4rEyRPEUFBg3FxZKLHurtr8thEvNaac8wx995fX6uKjuPBbdDbbNfGWoHl3YMcFDVV9Xp9PR5PUxPl7fs2FoSHa7x5RMwhohg8ULiKorvKO2gW1TEPvVWT+HdxvyID7X5W8W1Ykr0+iyhyr4W7z8xEPz29URbt5fx//X/+v4goKkGj5nvxN/5zpmfm3ZJ29GdmNJONunJFJMOXt2W/3fZ67Bs5RSHJjUXemgVpU/U30l1yy0DqzVui1tGZSN7fOyvBnMPEg+CbnwGRQVXGdpjM/KzC3Js4nCkMTWmaGgIMesw5D8gOiFnNVA2+Jd++/QITi+fHo4jFtIKqyJOude29iIpVH/N4Hk9WOeYB4FNFHSt/EhXBygoR8dhd9VB5eDHttQbb19eP7fuYBySs17oEWZGau4LaZ5pS74XvmIXDm7drNP8GjsTHqCrYi7/Lc9gQUt2vl3sSoG90ArRLYjggAppDlVB9quSeSmNIkO6O90I3aP6GHBrBaefkeK8Gw1+r9gejd3OAthU/Qc1upJIi0vcG6A8rqjcXG5NwcNuraK1rb8cYqarMbI6Bwa+09l5xvJlZ2utBUGiYNdDUU3rDnobew2VjgKuAQPmWjzDx5+ursuCGm7/hs6Mcfu8IY6hksIkhS1UxR8Mv6tXE4Sr2BjOYGetc8DzhHN1biW6O/I/Pz3B/Pp+iuvcyG/CAwEeFMwezrOtiZqjc0WoX0YAzZcR2N9Wbnuft6agKfgVgfb+d9/HnfomN3uC7vPsDMJF8u5oBDFDVrGpr0j7D8h5OgA7Ev6GsMGDtLl6av4BqGiUwPgM8JwBEZxtCJGMvNzZwwozTTFXcYwyrah9W9M3Y/bv3gsEiqIk9E34c75kbzrBvJ2ZVxQIPEC4A7DBzVjyO583iqW47qDBLoHs3QEbmvT7o5pxR3IsxcIHeyob3ve6yopiYdrPkRUWvtUxhdhnoWuBPjAZd4ABf9/YpJv6//bf/HTFHP+5kguI07y7p3pl+S1RadIGaiuiuRvHWmG9SLreqIrIZKeigf46SMeBFc4+rUugTqW6yR7Z+usdfHUeyx0e3hUXXAv1WIgIPXIShHkAPCIA1G+CrSmKqe5G1RAQVtTomk+C+OQfSQyV9fHwA80GsEZR4It34VPvWrrXXda29zOzj4/txHB/P71V5XqeIJhEUN8OsuK7XxaoZWKhLa691ntf5IuY5BiJCtpVj3rxpqsrwVKG6w2vddPjA6vkivo1d8c6yDe5bt4Fm6o2lYEaHwQ9AV9y3BkOY0bEBhReR7D2xGeHwo0VkH2Ng9crj8TAbvndxGdxY+ac1JmpYbB8Md4QGnNGbuE2ZvaIOh+EtghdmnLc3Wk0g8vcK2cwqGCa//907iEdkoGjFvTK1Mcete8dvUfspniwzvbtVImqnpnfrbWaIJvo2pbu5pOd5ZuWwAeDCfffQgllFbAxl2eHIr4h0t/dEvXP28/FAfFRVdwcfCSEDyDsAKwh/ruvE+ORuf28x1xgDpM9swxn8LnRI4PUy0xgzK00NKie+rWwQWMcc7+k6WFVVBZUsFfZz9fHDeglmrEnhdwea99rI94T2Xe9XR+57AyUTiEYNPlf7x+pttjPmAKlfVXsXN2xji96SI75/aWWKKsqmpphX+3eKyJvQlZkqOuZgosyE+S4avtaoq0ybJFRZ7vBPJEgdEQxFpNm02cPVzJpzcvdmiJd863UAwki+GzhhvBdkifQoQPJ3iwAZGrgVGKffp4UzHIorXHasntjXRcKmRlRjzMzAWq0W2RGttdqGRMVYlIRNKCqlXYYwi+uanwHJIwdQFVO0fBf0uALtFL4sUvA5wO4wEWm7NBHD5QEwjXZBboIgA/+7p+HFsDAkkGKKSA3MGNBA+wxhbFhZZqMH7u5mygWyY9FkETFzhIxqYrXsvcG6FK7m9jER0bWXsnTNXJWVmzeCxY8fv17n2dsQi+ecz2/PeTy2O/CB5+Moou8fT/r2kVGRsfb605/++K/+n+fxeD6e3799N9FdaXMCFXgez+LiMZkpsrhqfIgqu8fz+ZjjWPuCb9ccY29nKRVbe5+vU5hJJAEmRIpqRBLz9t4tQ91p188rwQmsT1QkpeCYiD+EkWBi1XBHPbRrVah/8YiEJbkti4EWIpCJ9OY/IEV1m0mgdUAAuruQVFWOHircpucupDeFsd73SriPJCqgYSMiv75eRGVzzDnX2ihP45Y0IyPDapD6c8oYpikDE+/mvMfe+zxP+CKoagW95TzvAHG7chYzg6ZZVCzEAnN66o7eY+8tzPM45jExtWvE7S6VMtJUKcvJ//3f/yIkf/jHP2BJCVi5mEGYGXjAJpo3QcPGEKbt7ttZuCqv62KRUUTSzgrXuhCYhNnGOI4D1mOIxVW11kYawxuxoTCO33tDRr7dm2mUScy+rjEmUxOCx7D2Q4a1/f0nwonZfbu3vbOZRbR9rEfqzXntfhSmMiyoEbtduFezYe+Q/OSeMBJhZOpvjGY7mhPBoq2nkcAZiagKywDWtagX7TI8UNGUNEomAqlKd64izZIQiogIYL1BzFlJ0QtZsVxWtDFxfBGw0hogInocM7OSMtxBB4gMDgKt4N1kM5UAsYGjdpe8KCmbSN3pk5tHzncbgQ7gXQJWZlF5+FCbx2Fm13kS0d4gvMC+tzAeMLPjOHxvYjLCmSZS7k6qiIS1Xw31prDq/838NsZjyrt/ZyJu4QwRkZKiN7k/ImJJMiOz4ETCixzxh6iqFCbIeIudEvHbUY3qvUbj/bXRPfTEpm7PLJytJGI3s4i2b2sAt8TMitLXzgpwsYioD0cR0nLsqCjcRqfw8zLdvpv7da3z1x+/2kDdOp+P55fvMY8MVzUmVqGP5+Pj8QE86vU6/4f/4f9LImPM3//+71R4jKlDz32pSFZmBiub2od8w/guI4dN0wEe9LCBYeu35/E4HtScqMjMzVtYtm9Fq/sbjXhLMe4l7w0TAR+hgg6JQH+OvJ8zszJVAsB9gzko4YMCwJGKoOlRUScnpoiYYyaV9HLan+v93hABExC+ZBGlMh3YdXfMBwubKgdHRmYpN9jNIrdrJt+bQxLaaQAC1IbARUSiOlk8HJRnpxTpmd525xsM/fj4oDsmRkRmvF6vlnxJWxO+z1if1iSKhi4L/e49qHhX7iwS3opuhiaO27qDiccQJlAAPTzssMy6HXguuDHNOSFbG2Zr7+s8I0NIjkM8EqaezOweRFhzXUh+z+cHsiYzz3mMYZGBn1PNDaxmboUzca/cQjNkdj/AwgAAgJuoCQs6mMhYu1qK3IbDHBGYncqNibdDkVneM3MzwwKA4PaK6BtdSUHvz8w3vmc2iAqAVRFvYH2iprq37/12D8VThdEboVNHqsD3igyqiu60GIu0QDiMDDQkTRJKrJEQ7LTh4qK69tW/SOxnsiOAJ++aCcGcK9PDM9NszDmrl8jv8FY1Z7t5l1XVbfeCSgXiJzNDH1AVzPjGis8WTXqJtufCqcxkiDmI997uGxv9HscDWNZ5voYNTNrAk8SMiomP56OqvFW3xP+P/+7/nZTSilNEXL7HwESVSEcN7fRFA4bCVBUFjhqpGANvuJGHzvaYMt8dLr523kIVuXc6103aQq0Ha+w3yvE+TxjZ/0x91E7uxrpjA2i998mlR0BXSQW6AlVRRESGdc0Y9wrpgrg1t3sXF7jGXQliA6yaDh2g8YmJ790oQdbxOKjo+fGhosL6/P4kAo9QhDUpRQyWK19fX9d1wuTg28e3x/MDy5ThLAYvZRAwQKTbezMLFANJhb3qqnxeJ/xyUa4y03Ut0DMyeg0puirmXkfGDLEX8c2EuJ9qvaX8fK8BQPXRj0IE2If0lt1ASWBm6Is72YuA9wZYBBYov6npe/6GF9pAcIOEjdUCS2UUVtxbYRtuphKRvfd1nnv7mAM+S3kvZFb4pWSd5+vj46OK9l4AXvozZKrCJZ+AfOGoYqAKYATNMiZMZtpwBDPda2nBRwKY02VQVt187e6KYNmEmUrRPCZmp+90SPdy+Tt5EDMBcsEbeTwevWoRHYxZVq11YW8UEW2EWuwxVnsL997ToKoKbFlAiVN1XddaC1dp3K76epPZi+pxPPA31RRL8bg9hLsrFsJykvaDcndAjm9mB3hBeBTU1kkFWBVoxlqruUOQklCDPFAzqSr865GHMG4ZY5zndc9p3z+8Tywml1Slqu/Nz3A8hSEPQHWzgTpUtIEdRLdGqu72txscnNtMRFhHABFpXSHfBNDbVBV8uSIyVYDsu+0Lf7MBmIibylmoEtSUqrYHoxSTFsEI474WbFMx5UKPzncQjs7ExSx3HdDzGFA9mKmSPBzzl+fHB/7dOcZ2P8+z312WMauQUFM5EWka7WEwM9/ZmQi8aeokIZllgAWxZbIaLG3aODV56I2CQe1EPUTADKKasoLskcyViFTVvDnkYS1MJ7icg3oPdeLwYQ/XHMf2DT6RFEdmQxNEv6l2S1SNCh4MEaw8uDq9ZYSOA6EBvvBUJKxU5duVsS5toZTWUmjqsBXufJ1V9ee//BlUdPmzPD4+Pj6+qcLJjlRFzY5jfPv4BxH9/PrKzK+vzz/95U9U9PHx/OXbL2POYx5zHtuXMJnYXUvyGHOt9XWeRWRD917CMo8jIzJrTsnM42Dhx/aNLpWoIohu+6AbCE4mxiZL8PeQGW4pOaPNQ3fV/8M4u4rXCkkXlG5tJc/MDMcSDP2yUz5BCchv1xrkCWyILK7uyaBqZoqIpGTqIfZN/Egzg0Q+sAlLdO9VXc4rmK6MveTV7B2YavF79xb4Rao3HlN0S1FENTLHsKyaczLT3r72wtDl6+sTSxFR8sF1UITaX6EtazIzC1/1plEkLK8jhAWLyfImp9/rNLofh1YG8syhxrdTAhqIHRsuunBRxHlAqfDt28dPKhSLmX19foGFeRyPOSe2L2DGiDqGqFF7XD+5FxaBHIG92Vmdt5rcTLx9qdq7VsNggxlQbtcWKL1Fm2CjsD51NOug/ACYLJzobLTgvfm9S0vm268K4g/P1+sFh6jH46Gqalhh1By4rKaT7L3VVNUwba4qJBfD1hFuKSLzQE+218KmOibCZd+1mXmOMadBdYS3AGSJRTCa6hoo4h1wzRSMhswAg3aO6eHxG4MvRlCuwjQU8xhmAu701sq9lStxl7nMjP7mrqffu6zhoO6dpdyLcuh4c2oiNxMfj8N0XGv53lk1fj/23u5Nf1dT0zGoiCqj/CZaoN1BCG4m38/GF5GcsfeKhJW40KgW32GjewYwSOs+/RjroYekbsGQZjpME8YkaAgq/ketA0MaQMLWjJGMbKSMOLXHXESl8Ew3LOq8DQ4zvYKJwX1U1ayUMRqsxGdgMhKmcXfZhWCaVblKRc0GZOR7b8LipCpi3mupGVPNOfZaq0iHLfe//fVvj+PBLI/Hg0XmHDqmCMM/a475eBy/1C9MfK3rL//+58+v17Dx7dvHt2/fH8dDhUT0GPO8TtyHj8cjs6iI58xIs3FeL9C0VRVhy8awsbF6FxJ/Yoq1qF0TuCprF24gLsO75EexT3fRh3BDNxsLeGVSEnGEQ81bd5mPJKIqcNCpSlN4hIlIcvXAICuzSiEcg/MdSA4szDntqGY49IAnI2DKG57ELTtA4MeUVUT7fBDRvZ9PFeZxu+7RJTFLl65U/QGgqqHRMl3CcVLVD3uC1ARbGHCMmQFEE7MCaUNhgTYPFTETJScnZwbUbZmxVgwbzadgouJM57fDNvFNQeGoICdmPl8v7CoJb8sXdwfHBqNjHQJ6UiOoTBfKZMIGNMEWZWHBysG6bVSaKyVCBIZrEdVxPERkw+FOCEFVrZ3FcOwZnM7MCKDS8lOu9bPaRXeV13WhtWFRsHvrJpAwExCJY8yEyzGVe8554DlgnQhC3nVdALVEGcyCzHaZpaLM1qAknnXU5/UDZy+gcrIJzA3C7LgRMCbCOvXPzx9oGhBb1JRuuhGL6L2Igrm3vAH7wYVoW9ZoAQpAP8zS85Yr49K9oeyOiMJ7Le0lKIURLhPBS+3dlEvLyooFihbKW1ld1HAcKBWwUSiWQgvjISJEfBwHC399fTUyL5JV4Ok9ng+PyCRTtUyqCpiN4duLIujTzVkuAZ7bXow/CZpdlytMJaNKqUj4NkEj7Ad/w3x4AnSTguiOPjcFAtRSpu3eOOybuAInnYKaA7VrCQmShoneJBOF4izpp5lwRNcd3HpU8nCsgxdCtjBiBr+ViYR1TKxstDmPqERGJybPhKAlAup2VtLxfFblWnH7sSRVr2XASoPX6wsH+tv3X+Yx5pjMYmbFNGyMOc3kn//pn7LoPM/I+PHj17/85U/M8u3b94/nx7DxfD7hLV7YTVoSghZHq+p4PtJjYrwc6RGmQ1QqKdxZWB8HpDQsEuHZfvGBSjnvAyosan34GIydG9Cr6u08mfH+b1APgI75rogzi8h7wUTPgZpiRy0giDEOesupMODKAJTxHsOiDkppSVFKEtF5XU2eyyzspUDFHQm+Cm7ULVLt38uwKQTGxWQ20fqUVqvqpV3NiQgLM3BixzD4v4KGv9aG/LAq4Vs+xgAdBfwojMHxnAXBvbFNVMHxfqQ/6+Hb6fq6rvO1wDQrmBI3NA8dWb0NiLJCxfwWl6nauq7MhHmG3EORyrrimmPyb3DztwYz2reD70WPa4xhakWFLQ5UzXURFS5FZ/y+9VXlmVAziOAapu/W9A0bay96T5W4bTaOeTAzmDZrbybuFRSEQULvODrm3O6v1zmGmQ3Eh+s6j3kAe8GGL75JZZWVkee+MpOF55gQNFQlVSlYOkC0eyEH+XbIssJDpzZELhq+icojoIOZLNv3WhfSgGgXEzcK3fyVzn8sVXWtCyVmlyVK8ZuBLUbK7+0m+CPNEWYhbOIjfZcUzIiiedMAMTAFu733dHLBIAg/k4XHHFS09washE0bqho7Rps7FYguZmrJVARv0mLg+biqzAWXSgY9FJVWsWi+cV0O9G1o/YU1K4SMmVn//1T9W69t2bImBsWltdb7mHOuS152Zu69z61c5sVC2PwLJIQxsi1bxe0FS0ggWUiIJ574J/wAxDs88IRkGSEkY5nCCFWpqqiz98615n3OMXprLSJ4+KKNlWfpbJ3MlWuNOUYfvbeI+OK7QMztxMSOY3ExCyNX6qVW6ImAOeQhzlJUMdiyMEmG1VHAbkU4WAu7xbAOBEJLJTOiNOcLIaVahPs8VHWDsII83ESVmUVreoepCJWEvKTq+oIJ7WCJQkw2RFRO6HNpzok9KwUd/cIi7HDRqLqXMUaPXmodYxJFUMafCouqPj094PwqFb4SDQq1bd+fH5/q1lqtyrrd3ZDfTptzzr/85U/Msu17rfW032yt8ZyidbqNMfbTbsNEJMQ33fDEWphbVjWkXwXh+GBzQ+uSChHh9JqKQP+FXXoyF693LQpAiFCmyCaNYaWMojAszA28LygSo9SGcyfhJYKXA41plJsk4oVCDZsGC0OFgybhpm+lxQQnXTO7MSbctTC4XFfclBmnV9JhjupB4ZZdFf6YFkUGIbg36EGYWSEgilAVkPivLMbaWkRQRO99jInDFCdja3l65nbF3ZcWOm95/LlY8skId4OJmyEtaTWnc4yj91ztCGOQ2rYtKGAoX2vFVOxmTj7mKJqzaZ4qC+dqtdXazO24XHofAIiAe+QUtWzG8Xf76Au+/xYUkVs3EDVU3WW5tjE6YgI3z8jDoAIRkdYaMKvcKTKoL24ZdwH21KTV+fYxzGZRReYrwlxB7mDkHWoBMBCJ/CBvTsYcl8uBr7WWWlTheGqJ2Cj4l2MMILFL7+1mjiSNNZuGhKMx18jO3d2DAtFac06zgM4RYkZ0SXGdHyMC/hbMYEZR8Df2M4VjqRshRYjS/JVyYWPsi9tC33ZUvCJ/bSmHWQTkF4oomjuPaZOdRbjWVqqa+fv5/TiOUsqHuw+1tTHGHLNtzUeaQEDnwf/kf/a/ImKLCJtpe+gOci3eLTM5dtBYvKxdLurR8gSiPDFZkhvK5BHB7mTZKaYNUDAj9dQtDOAyCwNauM4WsX6JCLxw8ta0yENKmCkLBic0gPkJpmrpRCbpLU5XTj2+qdW05nyjWnADybITydW5CgUx0bS5hgdC98TLri4iI2FF8TjF6NPCbc5vfBFmT/GaAlhECENu8Iq22mqtuJlqbXVrrbZSyrZvpdRa28vzc58jLHo/tNTbD7e11H3btej5fBmjB5F7mM1aG7KfmLmP0Y+Lagliizl7hyZIhMmjj46+Nft5XMIIIUSnosPiUgpI1gLjX1niXiZiDjdaSqJw4G9odHh9fbhfGNANUyIAuA5OMArOwyUxBy2Wfs4eQXNOuKKuTZfX1vD+8ygEKKSKk5SZYVjPSw1wfcauT2mtRbWYTTwkRGxpCCzC4mbXXiTSrsCBLay5J1Q0gnrvY/SkY42hIrU1Ydm2DfcPPgtaGdBxsDi1OdGwY+0P2TGSatx9edslCrr0T+n7REGZbSLi7rViFmksDN4huOFAhMCOP44DODM+CCp01icmm3aNDwS8g+J9HRoWUuetNez5Oeme5iteCrMU0GQPnzZbaQV8JA8RhVotga/VXixu5cSnwI7GzS7H0WrtY5xOp2+EwCB4UEMnledm8jXSt5i/qYh5DUJZvZj5cjnMrYgiZPDaH6yOgeBuy8I2nYXdLXtuImZqteF2Bn0Ltze+38DTDtUsM5Yia+5MVQ3hzMqjKCBkkSV+wtm7uAAxhxFnGeujY/tFTMflIpIuciI8xgJFKLZtC485x9vbe1C0Bi1zxb4at0o/eqkZ8U0Rpe035s7mIRrkYcYS2LBKhApL7jstOIRDSYLCwolCg5jEwklciJlkmmeHLyJB5iQhLGtEyQOXmSPE3EmVCbOFZ2sGTEGB+dCcPpWLkLa2zTlKphcQ5lxJ3bJndAHT1QaKhdnZl9cms6iSuWVDAhYWpA8cYMagVGCuYyYt6eyKBtCW2yqgLIpgkUqUpEvKgc6daqt7wVQeS4zuzBwz734M//CZmtN0pjJTmLd9m3OM3o+iRFRbQyKHFt1qbXeb223vY/T+9PiIzVjbtq1tp/2EiQETZds2cPi21kqpJDysKBdRBnJKHk1k2sT2wsY0Jy1o0gK8vnAzZzOjpUaBVUYQKfE3tjLlRk8Yqy1JxlH2hgLsNffMEemdIjm/A0awFYOuqiRpi4+nHke5ljL6UBUV5aD39K1jIjLsGD3MzQ3ZvEkew7PNMD2PcPI8WNf+s9ZWcpeQ5BYPIyZhleSABREruvtI7YWv4b22CjIPFhe1lOM45pjnyxlQbK2VmGqp5HjKGStKw9np6aTNwmwRFO62ziIKomkT0C1FaCnY7U+3aRMtZCttP+1w5L7i9TmhYnuJKJhSlhNeVpNSKzoaZpaadRq/3C2WGDsoAMlivOtH16JFVKVc+oWxH2We8xsdFg9gKUUUIdY0fQbFnElaw+oY4pWrr7i79+PwCC261e3jx4/96Lc3DfdJcmeLgpLVR4dlPJqnUgrObuh4ORvONbmCorp8kIVlWWPFYqck0wWoSAQeW/BaJDiEdbmuhofBMfN6uXKwpiwTeB365uRMc451BgEAZCbHi3yjx8DgkXMjHBEs5B5MLMonPcHmwN1g2QTVgBmC0himrZfz5egHEd3c3JhbrRU9x29rOfYBUEJQRNn2U1EdNmxYrH7WYxKFT2cshIxZlWBmFGHhhYv5JCyyXda9kr6V6xORrh0i+iliJ+ZwuHOUSCYtzoBIA9KMmYxgJ9PC6jSdmEOr1AhTVTfCy+J/8EiD2ITFiYiVU0ih8JnM7ZteY9aR9YO/zvrtHYPMgaOLGc0XLQ7Jlf0tfL1UpiqCKZIcBC93N/eb2zs01+BUjDmIxrTMU7XMAM15Qtag934+11pbbfhJIrrfnBB/1lo7ny+qWopuKyqrz+FmX79+6f24ubk97ada693tnbsRCXJBwb4qrQ0ZY0xwyd1Mi5do7u7TpGoVmnOwSIibsM1JosDf3N2nq4r7gM5TaxrN42CRUhdVWMBV4MW/xDjH64oSlr8JkjMv6xEMV+iAgODkM5ml1d0DWDk0/WBBIJYvyQGqYskgAkL6D34JG1FhwAhppArwSmGntXx+6PomIdih5CXzGpPMk+d6lUCP3qUUNoPYEt30gomGmVs13EXoncHCShgeQhBzNP7IULyOY5SO6zHnzJM7HB+/VGTxFvCFMEP4HFh28cKezB1MaFxSGC9Ioufr6WAK+OosOg5OjdXX82LlInoBoPbE8hzPsCC/GTi7wzkVI0gQUa7ogDitMbr3QRTCDHsmg4Mu0ZIB8ulmP45ORHOMZXbPEfH29uYRqrrp1lqNK4OImFfKpllmRWCGm2NAyouZDDpYXErca2Cp0NVoz2llygf25MIp56bUnzjuaALGEJTBKJKZG1kLsYUIjyDYQLHAs6EkupUV12N5LmAcXAcO/BUk3CkowkG9ylKqIiytbSL6+vJiNj1i2zY8Jgvz2YSFC0OIzJwZG8L5lZV/+7/1b3+5//r2/gq53TjGNA9HaTYf08M8/XZimJN5ElfDCT60ysKM2Jhr4AYrTGLR7xLHOoWZXIJImUI55ekUwRKk1y+SPEJk+UkEqyrwAyO4MDoRGELL9EOTU+RgkYvA+1boHxiaArgH2hBBRdN1kjJrK2kacMamZXkElgMLHFeJcsb0abnqwYyJtQl4OFV0jIHDCIyrFpvtc06jiDkH+L+9zxwMUc04RepICVfRiAP59XB0Oe0nUF+2065Fayn7tlMpN6dTRJzfz9Pn6+MrEcG6Zd9O+76POZg1cLFaFRZRHf1gYXdysx4HEeVdQkREc2IAkjEGTnqVdRSjYnl2WjxEhKuREPsS/AszEoyFCCgiACInR7QyQawgSY68EgFyZ5jfBQunhZRI8RgA6PoYRz+Y+Pb2FlHJcJGdM8ac2TmvLxPTmVBiVqqFOJw9FU8LbHSEOgkLEhPzTgww0/En4FWevkPhwhpXyzPRhYzJdZ4QkW1L64X39/eIMJsAmmpk04o3HEsZ62bOQgKX+VkTdgOFgTH7EzOMOVW1Xtc8noMmBVWtiLsC/8dhnSKC5a2Uous1YZtCREmmiW+uj7wuYSkiLKQESEmXggzAp6xmyed0s5CgtW2+yi9gIiSk/TgSkXd80cSC+Pg8BGutueY1O58viW4Rs2oh6mP0tw66wda2UlP9AFaSiAJ3cEcsD08YgJC5O/YHW2voR8CnICJRUHqTAYCjY7XzlB1xEGcbFBFREd0MDdoaA1iEkEaJtIBpeExwiEO9kDuwdZ+AxCUClDqLOn9jZITZTBtjT+clNJeXMUBqUhUKnnOO8Y4zCmt8EeljUFCpFdtgIFHLMQF43XK0/q///r338X55vf96//Xr/ZcvX/7y5UvvPdznHGQxxxx9ODmGE7Bfls1PAnEBRofA1dsjp/mIFRYzfTIJcBYjp2BMEoBccgW8MF8mcjL3NGAhuJvA/M9hRGOAaoLS5oxyVInIAOgMrZNvjsfXP5ALGxXBf/Fwyuk8zw78fw9b4yEeePzEf7CloKSg5X8BFIR/hXV4FvOiNhNi5hV2ilI/bbr5cVzwoMaSLHybD9xUS0Z+E29b27aNRT38tO+ium+7iIAvkVwG0YfHR7y2KImWTx8+a6no5ka6p5Fw6aMT8ZxdSIgY+ekR4daP0cMT6/BwD89NS8DSkSKMmBDDW0XcoogyHCVFaqkkmZzH6UB37TeB2zh5ZCD1FfFYscZ+5Q0vDaIvUKKPfn6/tFbvPtyNnlVhgNziaaWAootiT0GsQol+pLonywMRL7NJzM4sDKZKLoqWyHNtqUFuS5R5cQR59G5u2MTiKElQK0iLRGToPDNDgxOrwyciYLv8m5z361wsIjZnrlg9KKLUwixF14FCHPDhz8VyHoXyG2+M1PYEBhoBuLGS6zPgEEBzJPsr0XNeuHg2xQGC/9ppR6yYX8L5FRbY9Lp7ay1nnTSvzecX8Qbw58E9MMcw99Nph83tvu25Y2ByD0TcuMf7+R0iQYWzhIqb11rwSQVWowiMMtNlQIJbzsy2bcN9hS8Cl+v6O1kziEUVPxeaxOztmJkoPEBewkcmhOCqEvMCllPkhZ8LQ4FaKhNpUeLMlA8KG1NKMmRwlEysTdJmMQli7iaq9BvR4gH4XuTKan1/e0fPBR3cze1tP46Uy2gBZovSfvW5QrmygdQw4v/Pn8+EAxu+EyQe8fT09Pj48Jdf//KnP/3p5fXluHTIKObwOWzMEU7uE99likgjZkx2i+tjh71JrAYKkJw7CWFeck+hYHyjxQV5YFhbnRETUiYAB2N5i1yOBPxyC8/ZmOT5go5ciKZNXjTUHKoBj5LwWs7kmohXGci23/MzJKWVcpxZHIv45pfwm5EvwPgLIhbVUnQuXMgXbUBV5xwYq6dZEknMwN02s9FHtidrY4EWj2GzI6yaQYBIeS2lxLL4gGN7q4VYWmuXy2VOe39/D4lW99Y2Zq6lnvZ9zqGljjmqAsCRMXvvg4IirM/R+8Rxhwey98FLvRWrcJpZOLE7ReJ8OS+piLBqqbViF5D1L49jgCF5ymAgCApmZSJPjtgSJy+VKe4kBnVvkZrS4gpQXWQ0EiJhY4HsIE4sglMOi9e123X0g5dyrRXuEaBJ5OFIsWhF7oHHxJHmIYrlgWcBJiKiUsqEdc8ac+3qN8mMz3s5jlTkuzNY5YnMpLwg/TcWG6e1luTCJSdOHg6FljLHXFtWR0PWWqu1EUJmAuE/DGeB3jtfs9cjPN3L6bfHPYAknN2ttfAYNlR0zkFLnYTzdLGVMgbe3U6nG/QuNidAVVw9M0P9Y+Y5JtarHnHa94jY91MfnZN6ZIytjEd6KTOVWnXlnqNS6m+MYCE8tmlXPiF+EKxb8dPBZfjWwBE+KV23TfhNVJ20JXTDpViM/lhNf0KaY4xrUXT3Je+ga7FcdZeY2aGbIbI5cc+iyYB/AS/hMaczRJhNEcXI0rZGi27UxyilFC0g7Eyz43LZ9x11D5PHvu+gvcZVrU201uxBHKrK//TvX+GNDB2jp745KJCKrkfvj/cPv3758vX+6/3Xh7fX9/Nx4eDe+xxmNuZMTwWbk2KmpncG7qWrGx8pMTa0MLNjMoM9y4oxyg15crxk2fzhWeWgCMvYzojMnUdN48ASDP16hIFwUlhlbd1xBODV8Pq4EGA0h6+kOhw66+xYtT+IQkS/oQv4fUcKRNpcX49pd+9HF5Ug2rfd3eGyi5Lu7unXRteXy5fK+2kOCLjmtMv5jFs5rXe/wUVBTEAwSy2SQDa1bdv3rdbGzOC33dzcYB2HVujt/N6Po2i92U+K+VpYSErVcBaVMTuTmPmYs/fBLE5XBx63CW4rebh5mA0wlwRbcMznayGWX9yCTWqpKAZBIVoo/cadc58TQde4MfJwjkyOhY0HHi1c/N47RA+RxMTfWHqpgiqK4uTpVZdnEI4ARMhiHMYqFS5P06z3nnxWlX0/8bK4wd6Y8g5hYnG3y+WCJw39CiIniBlY8Ohj2mytEnHRFUe8VlywIF7fJ02zy+Xcj44fVEsFlo0uBJz0CoFbKbSQYhxVOAWvgiz8pW+jTKTXpl6dQYnCw8J4OdVch1o0Snif2TQR2rhUwLpndgq4K0licYdFA76avD+DoIpfQHy42xwGNwHcKuAJ1NagMaytFi1jjKMfwuJuc9paZ6CZJFqVGF/HdX2KsC3M2cCOZOUOZVFmBt3gGrCV+K17KTUFYsK8fNfx8TGJruBfvBSvOSBw6kGNSAhDjSC6BjSSmeHxNORqeOAbRKJpajJ+k7cba4W+DodAOYeeWVjGGMdxAXf8dDqhGBz9glc+n8/H0UvRuw93btG2JiJF1bNbRfuoxOk8V0rh/+pfPSqiiwR23hkHHx7BwazoANGJ2/TX1/Pzy/Nf/vyXx6fH+4eHl9eXOcccc5qNPhglcFqEzZmbAzjtDXe0/ukBgvCAlV8cFCkOWLsx9BJAcZk53ISViIcPTpiE0aygAeHrQIX5jIiYzDv2iX5lqmbvCSoOYGIAc+4e11OYFjQaEcI6w4WEOD0A8NSqqMc/YIBdd7kUcekXlcLE08YaprEyJcMdlhaJqDTZcKHf5GVOcrlciMjDR++4XQAvirItnX3k+otXe0giWkpV1dvTDf7bfjrV1kqpwOSIycbUUsYcRBQerbVSq4CTyzzMx5xMAmzL5rTwyPzBtdqiGGMwmjU3Ms9Sdi2csAlaNT8nA2FRbW2DSKvUwtkZea5SCA8ejDlxBjEzw34As877+X3ftuz6oaTNhD+Ys8MKNA81aIYjgokh/F64RPoYugcL9d6h7TIzDHZXc9CrxAHtCdwP3D08+hg4xwE77NsGejgY33NMwBStNbg6r0Ys4DSJMxej3pU6cj6fx9Lu47yDwzY8O/EBIYlAq5YESiK7WvnXSsxba3h4855ckr6iMMFfPPfIxEe0FBHRWovVJOMcBGdJJTmpTCwqqJpoga/+P2MMSAEQFr82tGFmvferZQ1qNpbLopriVQC55CraxwC7WkvB90WRzQSMrK/DsS0qJ6o7ZbTLN0gN1WiZjTsRL3WFE1QpwtetT/xmV79O5LgyXAV5CaOPAbNYxaMHLBQTHBP30dcY902ekvWeyaYBPBQRDLJoMq4jbHigCOGrifRW4cvlfBy91nJ7dxceRFFrK0X7GESkRZ8eni7HhYI+f/4MphNaQxYGtxXfb6mFgmG/yv+v/99jLKEvpZ1JXmtidhJ01bAEMnOoopmFiOccr29vL8/P9w+Pf/71z1++fHl9e6GIMILjrrvbNLjIgghIRMvIm8Ij8LGJYtEpGb7WbiIcZkCL8H44yBe85JTrBQ40FzOFasutAmdDUCdKAsq1rnomj39j/sTqqmlxCfC3ATwQEfYduciOa5VYdnWRUzkO8T46Pp0IX932zTx42covLX7OoZx9GW6XNZj7MMt7Z7UGeFwhGkIMGpovQUpXRLjDrktVzdKJrEgptbCqsGiprdVS215bLZWLoGk6+gH+OAdpqWYBEAfHipnBM2fa0FLmNFX1sDGmezD5OvAcDXW4jznnHDhZ0Ef74k7mELq4NJqovSaipyKrPEtmV2l6CK8sgff399PpFBGgc9DCcGJtMTmjxK77VUltLSW4z8v2xNf5GGCkuM8x6Jo8nBCYXw9cDAdIyhURfEz3KEXnnFgz5m0WHh4we9m2DUu/tXuI1Ub8A+NfLDzmQNJhjNHnHHNOtJbYA3GqEzDNshYFGDXnQHN62k+nm5NHFFUkgslCrmhZ+Z4vZ7hlRDgOtTXZpBf0gjHperaiEqxb1NfOOHcj+dcBHGUGMpRDvhBTkN8mOr88dtcAtFh5YZbVgjkVDygtkSAt21rJoAD4ajh8GRChPWfmgoMvgb5sEQBzaVGg7djHrkLojvDURT+j6z20hKsYQxFFeTUWxFvKw5NYllmTrB2xqnokRfCb2mbx3OYcEB7zclGbcxZVZmmtMTM8CnFB8GFP+w4ESldsNRZ74+gW5h4g0aJaY3QDwD3mAHMMvgYqyv/Vv3pCc4D3yivwT4iYZS4DkwKj/IgIYNOMgYjT2iEsnIPf3l/vH+5//fXXL1++Pj4+Hscxp9m043zkR51zzhlw/nITBEBHYEAOXkcqx7SZ84FHdq35VfoaGsizEFwBGZZUVeRKAe8uqQ30De8DhBK2vmgmWVKga1u9VDNMTE5kPjlSrADmA2FAX56CONqY2UH5Nq+1jXEA6Mbq/CpOyfcc6EFk6fJl/YFvrcfqSugbBu2O/E4zm2OazzlszhG57meY8+Ty00lVM1mTsC8SBcWn6M3Nzb7vCjYh4vfmPHoPVlV1p1rr2/t7rfXuw4fz+VxqHTZxwJpFMgzdOQw8NizKIuEfHz6ZUcjJA9+5UVwJ10aUTlBMJMKlNi2iia+iHRFmGAYQJoA5x9E7fNOuzzwuIyZxXLic8X+zE7piHcy/ebyJ0m46koIFfVmr9eidmUopTOwLa7I547qdJporEGIRyKMUndcgF/S5xLVWWxYadE1oWYQTJnTBeGXp/RDRa8MLVA0H1HEchKEEkS9FS61zzDG6hxetrTVc+tZaRJRaVRUGG9cFO6YiTCql1G3fYhk2vL2/yTrQwQzyzLnNE6q1SlgzpK4yJyT3qEgxiiyo+KE4LiPSy32a/faSlqJXRQUvOlOShuB4mk8tUZAuvwYgCiiZsMy87qLntFqKpGefcGKVINisL124wl6CKCEsJA5gWNSCCxXw9WOOTIVzjKSAkpJsQjxs+roDUQ+AnK0CmaXxWtLCHGM3mp/ciAhjOhyj45TY9h23EzhRzFJbFRILWzXGhKXWEsveY7ljebhH+DTb2gYqBIZRM9NSWq0o2qLq0/i//BePGP0U2s68RYmZmBiOJMx8DRTHpmJduGDJ9pkhxOBrz0pjzNeX1y9f73/99S9fvn59fX2dffZ+jGFzjDndbbrP9PeCw9FaQkSE2cAZTBGpTHYP4MuUSg3K5QGgzIUsrRnBKYTYwjI1yEHVRZYkWxgn5zAlH/hLS02WZzDGh2BogjxHz/V+OJYb1+oRMMaiyogqQsST20TMYMp6YOp0z6GBUJDWKIaXYv4HsXZreZL1AKvsHHiJPfy4HJgJiMnMbUzopZmFhEUI0eepbxFRUUDjRbVt22IQV1Ul1WlGxOY2hrl73SqRtNaKVphlqFQEZri7Ww93ZjmOHjkEmId3m8zsyy8apr74HiNTriLhUfMUjTALUamlZIxzYRapy5ebJbCZm9a2TZZ3ZqylJZ79RR5lgHOi6RGUW74s8qnA4EXdBqNpjqFatn2DW0ZdgikwYfERppmZYdZEVK+7jz6gS8rmNM/oorqO1CT2Z9OAIwAiAPx5WeyatdDKiQH9mi9ZKVH0o1+ORH6hf973vZRyRY3xr5fLgUOWiGotoK565E0F1RjmBlpxhr7S99BqJL/IXYrGiupD33Q9uHFqo+REwu4JaoGKCtoCcH8w1nyNzkTEKuGQ1XusZFBf4kpcjevKd01lZNNycFloPhGJsKYtGCTugbYGhzL6fdDOLLEvQDSMNQ8wwDkH7sOcgdznKt7IiqDrgQNmQfbEKO1xfYcMJBBz/Io4XRUCmwa+jn2qBZMlE8MYCkwqXaQ1WTkQpRZgO7wItRhPY3nTZnIOpHlLGHE5Lu7eatv3HT2HFp1j8n/xz+8xFAfiZij/l6D6Nwwiq8J1WhCBKyddG1tIQBHBzYtmF8FCPM3GGE+Pj79++fLrr78+Pj2+vr31o8/R3bz3gYUPbl9Ppii0bo6Dm4mmW/i8MtJ4SSRw16HxR5gVxDPEqb5ceycL8pSAphJ4fVpmbG48MKKiLlD4Yggw9E3gP14FCi6wRsC6AFFowUwkiS4lvxG8MFqHO8W3hmTNDXmpY/1acOdKlBVmGKwvsIJ/s6HCIJYGFWChmc0x3cNsBlFQ3oiaOavK6xzEvI+doSRtWU63t6UWEW2tWYSIfPr0+fX17fntlZxshpZyc7ptbat1sznIDYtNy64skEwwyccYuqKbgUOYmXJypcYcVzwk3Of0CA9LO0IiSspzqZB/Y0gyeHWJJLUmr2KsMyJNFwC/MOXC0N1TDMUMWJIXhIH6BDRszFFrLQVuawE6na+ATLRaOdELT7PjfGERFhp9tpb5wPjubdo1upKXwfVqLSJWGOocOVVwEoRyxqVsISkW4Rq+87gC+H6Z6TgOYmq1guEaFKWU3rtNe3t/w++oaKkFLoQRDl0hLe8TWOwF0TfjCmaisGVZjFbgCltlE4ak7lLwe8qKcwmKolhUV5w11/lVV1AwPjJiheaYidJEhDvaRzwOHl61tK2xJDYI+GkVxW/76tYaUf4+pz24Y52uKWOJBIIAoBGjg6aIaUaRAXCcJpJrg7XsK64vgq8vrwNfD4Tr8IPOXWIlQuMNr66DmHmZ6+RJQAu6AEtKRUqpbWvhYe5j9FpqWckNBGYjy7Y1iP9UdWubZ9yxpgSsVXQbtVU3f39/Y5Zt37fWzH2OVObz/+P/+5UygjJvwljCk9TYxlpJ5+flCMd8tzBWvpbfWI5xgsY4PzIBkQWxGt/66+vb4+Pj/f39l/tfv3y9f3t/telhPodPm6MP4phjMKXljqQOGwgDhGqG5i0iFwyRW0TcngmwBFFmPJLnaSvMIEJlt5FPJUgCa221VsYkEWHknHgtcRI5gLmbwP6IgzBVsLiZYOMQ65RfID6emxTo5wAb5q56XTt4LC4jM4MFwde3GEHLd3eaCZNbrCVKGEIH0X6ayzcmmU0zophzYLU4h8nK4oCSJzJMqvHyfBaRtm+11LpVFj3tJxa5ubkLIptAc2IOAwfu5rTV0lQL9JyeMAyNmO4BRdBySJdwJ5/u5k7mln4snqgC+D9zTkeaAczfpeDsKFq2bQvKGOHwkCKJ5MK9XZkI66LgNbKCYIm+ASBvPsQ4PgSKM8MF97BrjjbWmwzbMs6n46oUw+H79PQ8xqi1lFLu7j6gV0JSAl6ztRbukJihVCe6t9S5K0KSsEv4Vsiv3NZFhA2KWKhsloRSRGSM+fr6goexta3UMvoYo7+fzxGhqqMPVd32bWsb+uVt29CT0ooAwgKWiEstWEuiBmvaGnt8i2jPexXMV1A2AdB4xBgTCAluVxwEWE1dxywiTGMExN+mXW9+fMzRB62T8XQ61VISuE16lfE6jkAGEeZSqy8KpqdQFpwGUi0YF7JVJRZVVBJd3H+81fVOrn1YHoI56OdpTthYXH8WsyDbjq6aC8LCAPr566wJ8FjcvRSFP52sQYGYtrbNlUWKoQfxNcx8ujkx8+VywPk1Im5ubtrWsGWE0AE3BqpprY3SzTsD2rCG0SWGyN7n//ZP/4TeipY4AmdfKgKXkDauFDFKUB1PAy0glYNCaHoothOocswBPb0TC8i5a4wgEVAphCzs+en569evX798/fUvXx6fn46jh3s/uk/LNTLALV/kWJ8UEeSZ64FxFXtfSsDHaWEt7BzYXBlRHr5XiRhFRLCH4RZF80gEWmpApjRjMjNHVsTkDjGhAEybQVSkOCFnZtLCdt2MSTAVBQNDSq5Rcswju3iMFL8Z84mY/DfOWbCizWoq4mFzJF9N+NtK2c1EFK68KJXAyrNx8rA5bU4PAxYxp805AAvQojQsEFVE0Hhra01UilatWqTd3t6NMbZto+Dj6Jd+MfPWNgTgaKnCNM0CwRfmV9VLH5OIbB5of6ZP4kCgbiSeZD4NiFGH4zGRD8uzLx9Ebq2SsLKUVnJAwk4sh66194mkb66ng650QDSD1w7OpqkqJphSirmrCiKlFvySkCO+cU8TNHl7fb0cB37E3d1tdj6cDSA2LgSCwFo8JmLARAGjG4BIsDZbjSqGFASt5LZwZnn2q1A8YnndQNtseEzMB7bHxEQYYgQ4/rZtWvT89m5mtdVt27Gxx3KbiDyQOmdXKANLeEllLy5d5tyWBP1Ji2Z9WqLiFCpTCp5i6VfwuaAPYBGiGHMIK9jDYIyspzLvQ/QlZoafjrlWfqNZi0ir0Voac3Jka2sL/vrWkkcEXD3kt2F//G0swAGDwo/jSzKxwFZXhhuBrmBOvvMJyTgsbJ2Crl5P1/PztyxSswkLEzPDzLdtW6sNeH1QjDHROrDIcRxYsfjK3cSHv7m9hYYU/xU9BOdaS0spb29vbduYqJQy5oxwSMMg1CcK/r/+F/+COLbacK7TtwlAAJhQJhELgG1mhuczrsO1DgvCBGRl81KyHeQ3jj9EkRwNESzWUUiCI8IoWLjY8Lf395eXlz/9+e+/fv3y8PD49v5uc9pwMzSRy/M48H/pJxXu4EDn5IjdFba+KR8w91z1rN4qv01PQmEAXUEe3hWd+c1uA22LR4QDhwliYvMJECeuo4Q5LympI20OLZ7Zb5ZLsXDkLKO+qKjXQfUKGqzGkb41oRTCOmzgSFpsP2dKqpynKAHY7rcAhjkHE0sQ0KExep40v8knmXNmdCroDkVBN9r3G6KoWtu2zzn3fW91I+a6NbBPX1/fQEpjodubD6KVhQNaTSdf7JKIudgQ4RxzTnLkpgJkdwq36RCEhzuvptnMPJDnRwg8AVYGenVKpleHsjbJMJ/IJx9VEKcH3grOZU9TCu2j68Ir8qQOIij4OdVkuPHxjVyryHEcK8+AixYiHqOzSGsV9wAvljCvKgFWDAWJqpvNaVAO44BB03qlLVlipNnkJmzLokUvl0suq9aADKnw5XJJikjRrP1muJKquu/7ourP1VmliQUuGo6qHDdxuTy0aK6FS+GkTmR+YVlGRsDlk8PgTsnJkd77NUoILakZQF3G3WhrUw1DG9wj18idNQ6KrEcYcopUfnColDFHP7qqbtuGbXlEXKM6sWtcvnIia1cfgVyjbMJAwvkNdLNsHMNFFRGE14cRQUDBpCKA6b+NMkzkQRADE2KC4kpeqLW5O/xNKUhLYb62cR5ErbXjckF/W2vd992SSDFrraoFfwCFRUuB8ZSwiIL3KWOM076DVOrLlx57eyIOd/4//ef/79qU4FB09S4nFiwOhVb5IhZW1hwOVoSsrPYf2i5K8Rst8JXBu6dr6cQVYcI55QmGZkxoBIWTKPsMAIK997fz2/Pz8/3X+4f7h4fHh/P5DLvwSJV+mI3RO6xMPTyAigQFk18tgCnCDcT2YT3nkGVVBCEPO4XI4e9CAp6rSDKCJcRpEoUb9BQENJbA+cESm2Ptg5liEpGy9nEEuYiSM7N4QBiptAimeCfdDBUKD95VuABpBsonYA1VWRIN1rXTO44DC89aWrgHp+URCcE/nYWZxKZBev3Nk25OdI64akSUy5jkRXjEoodncnKU3CWSTbu5uf1wdydaROV0c7O1PYiOPmutc84+xjFHKaWIIgMZQ/OYplJyBRwpW7UxbGGmOCY9bIzOwhHO4TY6s845sBVcT3JGR6F5I2Kwn1vdamuSBLjsJ2V5hCwYMAMeeMmUkP5oc0JmieEdgM9VPUQwuSOsKoAzJ7I85+TMyCM3nzZgQtDqhtt7YSdZPzhtDHiJXnIWjIh5JVPRWr+tAjDGgLT1uvdb3XEmcc5pgJgxIuA5gpI2KOacvffjcozR55y3t3c3NzcCUo05zLRxXKoKrsx1WUK/WU2hXl4zQcEKQbWIuNquJYPTciuQm4xFY81B/RtFEvQh1VIK6PC09LRrKCYPVxEWTfJlEOzKY5k0XC6H2aylbmsrjgyswEaUBcQtIrbl5IHG/FoGPKJoATbL9K1m05JeXmcjFAZ8Ue4GRBcVPWf4pVoXEajGOEXyOudw9zmtFD2dbjBR1VoxGB7HwcTTDC0FyiETjTFqa7RwOfwZ7PBqrb33WDEJKGxFVWuxYbUVCprToEMOzJce/H/8v/zf61b3/YQiX1SJgO+ziJTlXWNhqtq0MZGHATQSUNBRwXFEQXZBDq1W3umeZiV53HpkF4wHifIhpMRV8hVBZphj1laBuTCzmb+/vz89Pz09Ptzf3z8+PTw8Ph7HhZBcM33OsVra8IgxJ/QGyuJuY3aV4m5M6eAhwj7dHTYQFipMIIXEdAsiZXFzbLaEleBGF8zEwTRiUlBBUSVPl6ycRQjGxaDlgA20dulwGVxG/Kip675xN+A2EOLjkOTrQCKw2wgwfId1GDlgeFXN0nKdhJJ0EgyIlqD2jmyffdnu46AB68DDmVK+B//YMUZYOiIQc1jAbUpF61ZO2y5SiKmVZhG3d3f77a0Qn25vtNQxxuVyPp8vEUHMtRTRUqQSK3F4BLMmRZIVDRTe4rRuNpwo3JQpbPoMD6wKffYBgAX8bRszXUhRKz2XJarQxKUlHy8FAGAz9AWQtqxleCYC8cI20aag0AJBwpOH+SX3L8QR3ntH9BI6HY9kBy5Vqi+l6LcdEpArKM4SnkooLzGzcMdyGxf//f2difd9oyBdVOBc54N+o4KYT0+fFYlAQB2a6WyoHceVuZlh5ebu275DcnU6nXLzRwxCATaQxIzG2eAKO4aZl1pKKQAcEhNHhMvi2yTNCY9/JJXew6tWUel9wC0ZE1ziyYsUwSs8B8MTFryA4MYY8LvHFYNvNpaiQawimAAi83VdRWk17PgW4Gmx3vn6xLmdCf9N5kw2wcI+16Y6+/R0RsPeGNhE8m7xSZO1yDDwwZthouM4pmHDzLWW1EUKuCOYIKDtmNu+h/u2b6gsZlZKxW4VuS6iAh4qIp2JeYxxc3PTe59j1FoBgmOWBXMBFBgw7/l//3/4P5dat23jAs9wLrWWqhFcW7Pl+4HBQZElFFRU0dPLQlpB0sV5nwMyA/AJWIGSZDYAzprcI3EuI3AKoWqivyOK9/Nl2rw5nUrRPJgw6BLBd83dj348Pz3/6c9/f//w8PDw9e3tfY7hFhOm6TDD9Tn6AVvv47hg+g5Pvv63W5YZaoZgN58LmpEID8iPSYLcw2CuSIQjnyQx6KQIoP1m4iDjICZlCheecxYW+5Y4lurnYEK29qIYRIQnUOYwqsvFITEtVwncrS7MzMXdg5w8pIjNdDfKsyPpTosDs5x+cUxc/SmvtCJmiDw7C/ZFU6W8n99zHFnbyD6HTau1pKPRnB6x7zuzBFHbttGHqNzd3u2nXVhub2+3bZ82X15ep83jMlm11lJLZVGLKKLDJljYRYvZAMJ7jOnTwkeEq2LITaF4H32tMTMQKBYgbjbxfK6+mzljqjZREebUl2IxRsiqQMYLVEUZdIyDKff9KCrKdj0FoPWjCI+ZqY1IdDERNXeO0FIQDrPqcZZiHDCMiu75jfNvKjSOJHC6cLOA7mlz7vtJVbEewI1x5TVit7HWxQFSIGAHZkpgMGLaFBGVjOIaveO2UyTWF0CIBriDlucKDjWcjERAOLiAp1UrCisiSFE4j8sRK3zpt65w8a26BIywRUS1lJpm0bwEzFjwqmZqDajGQWQT6RSttrJ4JcbA3FhKrShUsToqIlJN0bJhn+EBtmhVxYobY5ZPC/yDO6zooHW/fiM4n7PSRAQ0PblGDqTS2+rKMQ5inELri8afmfsYp30HfwGTJUBLkBd6H2+vr6WUm5sbzJER0VrDfCCiyDpWkcw6npOXctjdTjc3ry+vzHy99ww7jIVlqxYgveXt5X7bT+NSZljbdrhowcaM88RnYVEtolqKFK0UPCXNDDAqqEiEkyW/RYVhUQjwRCB4CTJ3IXjyJM+NVMiJJchjYei56CXmy+Uyet+3TbiIsE98RxzkIXBf4Zuyb3v78acfRdRsPD8/Pz4+3t8//Prl68PDvc2Yw47jcDOfZmalNA9YrToFuWUkIuAddtPCTkzOyXHlICeKsrRkwgbsz5klJV80iTXbhNXkE7FSmT5TTx2iWs2GVnXD1jeIMLpk7wGaChMjLExYuEBzl/2bFlEuIno5elEB1orzLUJgwQ0x1Gpp4YTKJEyQUDIXyeRCJjZjzNq40wM5vkwsShwsotRE5Pb2DvAU8NM5JjGH5iPEKiBSYGerVf3io499a8+Pj89PEU77aatta63e3dzdne7mLQgE8fb+3kfv02rR1varBXithZydY2t7lBDxMYcNU5VSc/rW2gwrZZ8wWkhuijqrkAc38oWkQ+njxzkC0cdafuMmVqQQYSufbQiZYw4mm9dH38yJlZI/gN3Vt/3wnPO0nxZJMp1jk05z/cXriJMMhRBMk9lOSQ6EaU/mIow7Ahzb0Qd43JLWF9jQ5o4dK1Mmjjz6aEZC6nkoA9WNHFsAvTaqSBL2HF7T2gHeMECgeu+4CGCUiXAttQiv1Bdyy1w3fAqYnhJRKYWFi+jaa7gIbKjdlxOGplVUpqHhwKECfYnhNp42EaQDTAl/hQGEimId7RH76XQdsDJH1tPycymlHaOfiLTSkI/tFim1Sb9awt2eJcTxu+6ehE6cX2tM8cRIbII5CiQKzk2Ulq5mZkSImCdi3rZt33daPWMyFjhVBSyazULEmPPmdALLFoicmUfa1WXKWFKwkJXEMol8WqZVQ6gBPwIqkgSkQqupKf/6X/5LqU1VtZbb27tt2+tWiVhV27aHh2gpWomJSdrWhLXWAok5BgMKniRFcfN5ZBYHOnmYbYEH48wCol9QgEVFgOvNhQRp81gKENPofZoR9ITO1mepajYpkyHw7Ud4KAuLBIWU+uMPP3z/3ff/6O/IPfoBJdrXx8eH+/v7h6dHdzufL7gu7jF6x3yaYTRucvWryHnZmUJUXCZjhRsuBWp4yIZJIzlkV5qsCDuIGcwqCr+faaOUWrSi/SMidxAqvi14nU2lMDRBIkuuKUROKqIMKIlYtn1Hq4X8UZ+RwyMlqVEJVCgKchLCa9qYXAo21R6keH/BAV9AxDoHEZGWShFaKgctdWJmy7jw6abFkoLCiQUjiLsT8UyPs3h7f9u3XVWnjd7ZzN7f/PX52TxOt7fbtt/enX784fO02Pb9+fkpgp5fnoOIWVrd4DtSy+Y+q9QZUbToplikjzmLlgpN35x8EpvW+wjCCW6Ibp9zimqYU62Ln+7T5/TZiSOilqJF+QyffAHWsSgxS5W9BnlsfiErE2aOpb4BsKOKXbGoCrGtvToO6JJq1Rzx1/YHvDWm3/y6jmK/GbKDSMTk5uYGtFTAyrnhZAXDh4ivLHv8rLLurTzz0awy19o8DMvytEjwALsVhwnqJcZGCNlsBaCqSi0VXg4RMXr/DcGKichS8T9ub+9qKVh1YL0MFBcQkEoRJSAwBhbTYuWiPbTlaG1hmQJEhIhxLeoe5s5JziEWKcy1NA8LCpu+iA8MpAsHqioMJ5wlNJKj4emAidZYiTjE15CXRm+oqEuxz+g23AOAoOWL0JWPgEJ4vlzG5aKitVYUGyE+7bunxNeJCRkJlLQiiohSdMJGl1jXQp41lV9gNosI/BCZRZUADkdEUFq3Aq3B/dy9X3PhFx0n+9Ty+vakpUXEfnNjsx+9n/ZTaXWO+eHjx9a2olUEwZ509+FDa60fup9OFKRaFPF7LIZ5sWAfzsSsEoDCWLgwB7muFicohBUnFADZCSEo2H9A/6cBYSTiOTuLsHEsJj5/g0rTEZCJQ5Kk4RTMVJp++u7Tp8+fMQP24/j6cP/6+vr6/vb4+PT09PR+fuv9sBnh5sZmY46Onpw1In3rUOglwoQZ/M5gCqyDyMFnhY46PDiSXwlZ0oxOOOcrEYglzsxiYcQ4BoBKR0SwCzEiljBbSWoXWBQrAQrKlYaiKYFIp1QgMwRzurxCkds44PZFy5TZamPhMQYgrMSLaSnfIGcnYo+gUNE+OlQJ5qZSWFilYlIVYiYSRC8la4iGDVEB1LjXvR/dw8kJ+UK1VtPCxE+PD+5+Om3u3rb95sPdzenm5ubu8+c/sshxjPPlcj6fx3HMeTAxxxT4wIiDPb9vWwSNPkVFtDCJFivbvkDeUUrNyoQ1oxm2xE4WHnMYnEDcfR6dIzr2wjBbb4WoQagpS1qB4ZtAayEKzrzvtYWm8PBrcBX2XwLpEKlIHuSrR86KHyIswUkgiNw0JDEf/4yzR0SJJ5CEHEFYlBnhvZi2eRGCaZEXzZBq+63GxOIyCe4pZnOLMJiDXqOymBmUHnMjitpaSWvkb85rgHbt6ufoUUoZo/c+MCKIiCWTK+nwvfdcpJOoCmzsImte6oRRLzkV45Fj1rpuIlK0qEgaVy8Du3UCHiICuFxYEnRyd0s4OuLqMEi5cEovErryxtYmMt8ZmFcoJDlYR6y/GwyLS6Jt24JCRSgI+5UxBjHB12SBjaACE8PLQWQxkjXpI8TTpplr0a1t7l5q9UU2u66X0cJzGi8JEVkm1vhSayehC6ctxhUwHdydhbEAco8iokc/3P3t/fz5+09jzLe319qam98/3J/2m9qqsByjFy6fPn93ujl9+PCpj0O11NZkFmHImIuo8MTmrRCTW3rdUUTQVGGX8JmShGBjjqQZrN4B6CKW6WMMD6PI1CaJxRgh+tbj0Dc36czUTM9rNgtmBZSMJbaofPf5u3/jH/8j4CRmdrmc7x8evn758vX+68PD49vb+ziO8Oh92MQFsjndfYbokha6mwdTSE3mCRPXhe+6C2H9587BRZWqYw8SIUwWZj5ZhCOXzeHBKliAwLsACz0OJmJlMfjEpXotiFO/5T6Jk5mD+Yw1GCBOHhAg51KET3PgeAgUvbJeYU6FATRxAWHyKLUcvbswpTUWMZFoWfdiKugiPfmilLKVNs0aVZRkX7kfOJV6P8gITlBYwJRWkOc1Z3+6/3pvX0pTFbm9+9Bqu7m5+933321t78dwp6+Pv445iSRcZ58qKqVEhIrMMbQUZszsFMGlaLgC8rblNkgUfXSLMDchKhWnP3xOneb0wDTo8xiXAysvYcp8myQ4LpYOMyPg2i2poox4k5mWkMB0dQF910UE+i4cyLCPTWJ0rnmudrxJoQ7Cn4wIF5Heh5udTidHDyuqWpzdLV2VsCSjBAeECCHjRLRoN6mGHZTJjvDM0FpXcHks4euVOgnQIKKlzqtjGXsdlWxahMNy1T1EpNW6bRtFXC4XvA64kri3a6k4GdZdtJwIAL/Eb9jPV2c/gsOoYl8IZjaWxkaGh79QwckrIvjEOFWdkmQ4J3AnSeiAXAVMuqB15l+zWomUUJDDIV67bsvWHZUPmmZ5x8UZGAFxTMN72c2Oy0HMJaM7AoIVyWi2rNnZmaOxdQcbS5K9Ad/71LeGx0ynyOTFEpGqsHOI25zQxGVHwszCwKbwVWIxqso2vfzyyy/HnG7zfD62baM4mFhFw3z0PkXDB6R3Y0wRj/j48vxYS2vbxlpu725r20SUWWurtSoLkupEhdWLCopVFC1M2EGDRwOHqQrCPUPmzZwQEQH189ZkzqmMwN/slIiCM54xn20S8XXwUWTCjMVkktwOMiN4vZYK41appdW7u7ubv/6rPzDLGPb29v78+Pjly5evX+/vH+6P0fvRbXo/eniYDTNzm7kHY3cLm5Nw6sCwpYaNIVWFGPafXFphCgqhRUBkYpYZlnvXIMHyGV3m8oDJ2yACyau8nhBmmTZFqWiha+pFml1zEfjRX9fahKolHk4e05mEOGqtwHOzMwTXKNLBQ4oQc21bmibNTCgl4ooTzSNPJXbMEBEuKpvWaRZkTBwOa4FEKosXIlKtEWRmw/y4HFMn/Ijy004VkXl0NyOW083Nvt20tt3c3P3uh+9Pp9Podr5c3t7eeh+g1ZhNioBTtHAJtggupYpWaJfK1gLGguGNNYTNJ5mFx5xDVImN3KUWdPbm02bKEWxYRByjS0oNiqrU2oiwaotvD+0ygVkwSJhlIZdlUwN7IiKyyCJxpULSQiDwFWS1IHZ2ZhIXUooQYqMIV71yphGBUko5LG+nVfvT3QhnRxbwtcAkolpLLCpZ9sWsgPhCSVSP47gcF+AzoJOCaYr2LtXRZnPM8+Vsc2qmFBgzXUVJadQMwD+CiUqt2JOD+e7ZtJGqmAWMKHzmfZ57UWwvClY2iSbl5Lp2y0A8Si396EEB9D8HCMogs+tmURJfcln9PhEV7JkNpqEgR0wUJ0D+S6++yhQUvFCbEzNLHyM7A/h0JdvRKJC/GKnWpIgFvySHbCHIATZ8Tm/Ubho+KX5hydK9c1rsOK6Pm2GloaricfSjXV35PP+MioSqqsyR9P9SCnIVi81RpUhp+3ba2tb3PuZk4ZeXl63V/eZ0OV8ivLXSj8vL83Ot5fn55bSfKEhEX/ZTazurtv3UWjvd7AUWhRXmwwX1TUVLqTl1JiWDRJTRKRMHuI0LJCUKAhSuMqdJ1fRuz35qaa6IPI8bSA0IrBkQDdwjyEefFEEcffRt24iiIEaYk3HPRSOi1bL/8Pm7jx/+7m//1t3HHC8vr/cPD3//r//+8enp6ekRrPPL+QKJvLnPOdzcQSN1VylB3mpDBJiIU5CzhUfBGpyllA0k0Vbh3YEdcECYDljY41ttQ8qSJCuJmTksitbpg2FlTgGoiIjCmVUjECsr4eEcrKyuJGThe91rKcM68GIMy5OvPgR52YuqmTFFEZkeWmTadIL0AU9R7jxSoxohpYBmEECrRYN9V1kceWheLDJ7PbbWAFPNMQNCMOI+BiwbVIqqXN7P/dKZ6J7/su+7qH78+Gk/3d3tt+VT+/DhQx/j4f7Rw4/eLYyW596cQ5cxL3kwkZKQCDOFsEYldTPT0phjmgWHjWPOqSTsyuo+Z5UW4XNMMDLN3OYRFETvqoqADmautYK+oyJEykzLeM7XnhB3rEYYJLUU8MtKekz61q0CkINCLJ75agsxHLwdR1FlajlBLBtwXcFYKZsAofCqG181wCNgilBK7aNDXwYuCxGRQTXta5AQ5M6nFEB1GnCVHO9UZdu3Uuuc4+3tfczp5lrUbCJYDYgNev/aKrMURK2DhAOnOfjowQyDYaRsKdegb+Z9wPkxgNZa17WS1ZoQBUG9iDKGAjPJABvg9BTwrwIzjWopcwyMd9dLjW0BunvQyVQUU5kwG1/zLIMk7cE9XALTg8GRIoimDdUyRifKHWGt2lozM9VChBsmg0scUdApsQbSFcwsVZPPdlXUCcsiE5dSI0P4CHUi2E/7qfdOZFcmNOrvCqGKy3EhoqLExG1r5ctf/iKlQXhXkThalFm3DdQu4X3bW2Fmct/3E7Jkwuzt7RwU+vaybyetWrRpKxR8uj3VuhHT1rbTzU0pRaWUWjElbMttpmhRcZYJDbGWYm5rTZGx98wSQWNaKUEGT9BAD5pLkzVWZzsWCV9nrQ6ac4xhKgThSm0NeXlMAHCDWcKy8w0nqH5EedO6799//8N3/+a/+W+MMd386/3Xh4f7x8fH+4f717c36NE4ODxGH3MMi8xKZJ1EcMIQz+An4hCY9kk4drwxJlxdVGRax7Dn5quPFCwSGX7CUqEbiHQB4kAwXm6olrTYJwUpqYo4WWAAXoTlMUfxEotr5DgpAC6BGUvpnsTEY4wEN4QL1UWgT+XLdVilIFExN1YmpqI13EXYnJMAzCxaghDcGpXbmLOku06SWIq23o8rzdcszEekrQgpy5xDRS/ns3kQ877ffv7u876ffvrdD1zk/e1s7sF+ufRxHOfLxZzMXEXCXUsFXGAUxMUjWKNubHMGOY3hYWUvzd3Tcgrk0jn60NLAI/SJygVZIV2Oiw5lpvP5XUQLgrqYkUcIMAEfDQCnzSlF5xic43wolJkpUsVXLtdl4G8P7uvawMxuTifYeQfMVUC0N59jivBi4id2vRYDSfxFmQEI4O611KwriTqAw8ruymKtbZ77T1KRyxgigpcCdYCJISEUkVYb3/KVzzr66OOMh7CU6u5ba0UrZRCZACbCq10pUlccg7jKIkRdBxpcCrDD876LuJInMeX7hDMV7t5JFHNMfBe4MpwGB4FpZ6YFE4PlOeZYAA72rg5avbn5CMj6MP3AzRSjzZiztno5Lvkmc1eUPMtaG+Qa1ypMzCwpZia+Bkcj5YbGGKVk8z5tohuLSGtFucYahiPLITxYGe6EPjK5utQyei+1OgSquIAi8zigSptjGlmyeP7T/83/VkrRUmFPOsdsrZVsimuy5VhKKWOaiGrVMebl/Uwq7+f3bdvcw230Mdu+KWsE3X28ZdZWNmI63d4WLaW027sbDhEptZRt30RYtQSxliLMR++1VAtnBt7K7+f3ML+9u8UYW2slimvsedK5KCT7AuDaSwofQR7mdPQzBFgeYTZ++O6HT58/+LKjwumGcoLtEGGFgtSn5QGJW2rhqO4Rx3G8vb0/PDz8/Z//9PT49PT81PuYNt2iH8M95hjuFhZBnt5YIAubA7eBw7gzeOuhQlAJhhtx4PDiYCNDHrLkEiIMuWNu+ZtELOQWzLAzKkEk31xKICkP96lF3Zw1DbmWvsmJyC1nUNxczBJuNq20KguTAg5AK+KDcuryBWcnwyTnX05418OwF10ErzybJLX1aY1n5mN0Jp428b3MOcccFAQElIhZSILxs82jFK213d19GG5bbR8/ftr3U2tbLWVOswhReXl+uRyHuY3pgCm41PAoKm6LPcJCFNOnuwlzeHSoYYncbUybcwgj48lJ2IcZzCqIIr3Xg4gRrYP+Bjx0zlUuJi0CXdY8+V20bBsk17dJiOMsAEuehrtvQTc2MwMZpo++olGumiY0uaVUKLx4/fKVQJDdU2DmW6T+xeC8boARjQk/HLxaH733zsxalK+TepCFx9ItispxHLD7P3o3m+GBDAPsexH6SMtZodQC6vOC1K4xKXmcYmN0xbKYlwcXLp0g+AipGIYaeTlfiAnzB1p7cytacaal5CIoiK6COF44TrI3KBBAn6WGmCIg6Wpt85XszcsFqNY6x/SAB4Mws1toEShaGNFptcB5QnDoL0WiZaCsALMl5lIUnQQmIU3TULTzCtsoOLniBkDwJ3a6KsIq109kEG9yUCRtNDxKLTanFp3TiaJs+ykNW4h9GksZZs7k097ez+QuzNtpRz2pWre9zTlvbk8icnPaQG8aczLr5TjMZj/6+Y3MXII9/MOHj7VV1fr8wCplvzntpxt+kaKyn05GEHrotm1uEyvOPArDp83LcVk+4yTKcCYncvBvmGG4GbSifxA35hZCYukSZIRD0DzgP+ykkidRwHr3ioEkOsfhsUjBnAsIuGIwC9NJ95vbm+++/+4f/zf+MRG/vb49PT09vzx//Xp/f//48PQwx7Bh4TR6H326zwgym1hYMVEhYeZp5j5r0YQi3RCFjHRvSFWKVnQl4R4cimEFUQEqCRWIA8bNgSjnZYIeE50IoxdkooiiZd2vJSic0ledgsiJhY5pWoqwYMIFQzlybyy5FxYOWgEgyWmEcUr6TIUTBUOAg9pBV8YkWGIUUqDzjFrbFSkmit57NYMomvD9hk8oop0ifAwjpoene5vTPR4f7m9ubjBZllJPt3d3dx/ubk6fP35k1TFNhJ+eXy5zjD7m6MxsI4ip1o2ZVWsqITy0bOaGHHeVsLq5j9Y2aNCCPMghkNbGbiAduYWTyPlyFhE+OitjKq+ltNZgKgJEyHx6ZPHJHUksJkqs4zkWZYWTRYF+8+iHh+P516WbnXNCdwaOjRbFVFdLNYNddlJi8idE/pxAblemMPD11FgtuZZScSCKipjgwL3aZwLTq6U4u7lBQrRtBBndxs29MMvN7U0/BvgnMKIAkRGVAHgXVpqY/sF6wBEpq+2gfId49kGoTzaOuy3RdRK1VUsp1VYIMxZvBvXB+h1HvqlH7hhAx2aaNmIteRHiJiKMDBmRWsucSMHLg6LUSkFaCs3Zx1CTUouWbyYcbF5KqbXRAnvxIOEhxQ4M4X3mvm0b89WQOJcNUBcDJgTd/1qqhcXMtGjKl5nAjnJzlP9YhC68VOZEtkZMfozSSiEpJOIkHpO1VmVmElVTY50ULsxSipkT8dGPy3Fm4jnm6AdxtK3t+8lm1E1v95OHn7a91XocHcHo7++veujok4n3fX96ltN+I6Wctp0eNUS2064srWxSRIu6hRZtrZ7PZ2GA1xYRo8+21aCK0SepXBEgPoN3iHYXAmBBWE+Ema/duSUwRMklAqlrrROWqDf5SEvusRoB1DNZu6nw3P67+8cPdx8/3EX8MYLc4ujHw8Pj4+PD48PD1/uHp6fHMSZKYz8GKgEzuQXbCAdlmHQqmXs6QTgTqWD1RDZnBLEoE8HMB+nFec6maoyRLpIWBwQXPyYmlepuJEndz2QlWoTxIFEIcJJv4eF1a1B7XVFanBcgF+czlPVXLDzDI5ZYDu2+E2xblsSZchueGMeVbLf+goWrlqAQpk1ki3wImXjYmHOGhs0pGwvOZzNh9jBRMZ/v76/mwR7H0W9u72qt+2mvtbV9P51uTjc3Hz/cfi41KEBweHl5Ofro/b2UahRFq7BIkXTB83AxhSLEOCjg11aSI++Q8vu0UpNWAc1BYgMUY8wxRhd+P5819wZaIaBRYjjTeXzDM+H1JGAC4MiLtSpkEZpGgLZjodSYkNADTpuOxFaOjDhnZkmuKopKpK0xNodXgRg6IIDtrKWgYUQNSIo0DrtSYFNMEaE0J7t40eLi4um5r6rMqWNHAxtByEiweQ1RtznnBC9eJIgU4bfLSCfp/xxFE7pZyyZoTRIvytoQxCRFWVRMdd93LVVVzCbGkdxIryU2fZPsOzb7loQ/Q5svIujoASRjf4nDt49hiwAqy1sCg1dESOrPvZY6ffLiFKmqrLRBfHcqmjnVDPpvxo1xsnLTiALYrhbQXjmINCVgSZklSpjPYS08IyJ679hR2bTaKgeJalAqD9b606G7Llw2KcqsotXMoDUwN+LCiucr5qSttYg4JloMcQqjEOLLuc/hTNzHMeasBac3tv2a7qY2Synvb+fLcSbiMBfVl4eH/eY0gz59+uTmUpRISlFQxLZt632oFvdZWyu1GE+LOW0ixEcENjBhBiGJCSnyMCcyl4lhCDSnoZkmimkBg19P/misEKr8DrIwZw9NBI68UxJwgcFhuSvwuSMicVpxwRwqvGv7w83Pf/XH30eEmR29Pz0+3T/cf/369S9/+fL69gqdjFnMMTHHEZlKwTLZeIJYwyLmc/pMo6AMvwUAL6LsHsxwyGVmLlKcjILCFuMQ2N+coNlhJ2hgmLGycJ+HkKRWjyECiJgkKggewoUQDB3sWTMJ/vuEDZuqLOCHGOGXq+9yj8IaEQzYwNISm5lJiRakk8fc4oDFagAjopQW5Hsr6IEN8Zc8+9GDfE4vtXLQHGMgtdGM2Pt4P1/8GLtbRNDN3e3N7Z0U3fdTbe20n+qmP9/+TqsKy8vL69v58v76fj6/r4Gg1CIeakEazrQRk7tPM5+zlC0i+uwOWYfQHFMjNg4yC4pp0x0iEhxZPsYYY6rImS5atLVWEXEDYwpm9qTreLjAF+RaMwnTFQtzqy2ZuCs/C6Y6QXGlSEYQ7DbheXXFl/CPEIdzvihOn7yrcpJb+wdKMDWWk8RvUnGI0jA1t75QnIp7GsqWUuacIPxd6a6govDGZj56N2RZhCONFdSJVQYIR3/QNeEjvefR+eJYx/MSnC+PAaftm405hi39vOf0lE1f4mBY5EJNBgwDT1x4jNmBkV5X/VfQCXQjWbhxLtspVLUUVT1dzpfpxqO32lh5jMsK8GKH2BhbayYQe8ymqGa8sK/oOgqtihMo0hrk274T/2xmrTYPxyuL8JjORCEypwEBIyVosK+02vx9IiGdY4RHubv9dJmHjRFEpW2URw0y6kyCJ00RmnNEeKk1wP4z29rej6PVWoqOOUcfCN98vxxm4+hH0XI6nbDSvtlPezudTvvlfDnGIKYxZ39+ugy7vL+zChG3Vt2ptVprZVIprFo+fv7wfn5j4u20122H9wVUz1CaEE2/XFpr7kNEj+OAzx0SMDzcp2EqImEnxwaVASgRI6gdA9dy5mEiggu+C/oLuLix+OqWKMgpBPawyYiktOokuf4JJi1yW/ab0/7zLz9BIXa5HI9PD1++3H/5+utf/vLr+/sZGqUwn2POMawAxTIPZ9Emm9mc00iDGag77msrdd1V2VAzQ/DCxMQRQsHJ7os89n3JdswnuwhrOEk6EeTJUIoGc6011mcN5NUEB6RJRIxq6EpCEaEiWCYys5JiaJ8+8lrk4cYhEHMijiMWDg7COomQclGiDPBxinBOWpEgWVBEKrUgmvtgZrSSER5egJKZl2mz96PUej6fa63BdD7exxzrbNK2NRUV0dPNaW/btm+fP9798fd/ILeHx8fz+XK5HK+vb7VWqRV7QxV1EhXRbe99MHFpdWLF71b3k9uMiWBD27YTlhmXy7HYnalGi4gxRu8dDpStNgGZH95EQkIyKSVCzDThV+jYeTIxsRMRr/40VAgfDa0odkFojPlqZx95V6C1uS7bw+GHEozhjGKRI0Au428iOOzyNeNUI4md4NcLkTGDTYAcGOQztzyqiNK4UsWnU7iw1NY0F2xBxP3oEWE2j35gS+HFv/FziEstWJZAN7caDIbVh+QOg8aYWsrlOOac8JYA6oPLCPCKVnBsfnmw419u4d1zbjjte20NK2LgnxAyuzuzXpM+8ciMMVR02mQROw40aIVLKWVrrZS6+rAEGzKbc9UnZiml+vL6voasJRKOICPVRR9NngKWZGlHJmmzKEy1KIIUF9xEKIAg4DLThJkVsMf/+tfz+/vr+8vzw9Pz/den+4f7p6eny3ER0dEHtERuVlQcJpE2ldl9Uh6VtCTX8O2zfvTS1NfsAzEVrMOZqG3bx48fS2s2583NzcvbOZjmGOf3s5kfl8u2b9u+tdJKKxTS9gpf3/10Eyw+5+3dh9oaYDUseEspWgrYYufzWUTMfNoUsEsjJKU59OOPP+6nRk5FC3ztUqcETiLDCJVSp8AMRYFc1WrrnM0bl4lJwAzmtU5cZ2lCtyDzXcm/4RkLA8uZafb48PTl/suXr1++fvlyPp/P54vN6U4+bfr0meNpYiQRFD7HuOImONB5hVAHUrEy/Qq7JkzxnZk52CB2iunIoAc32U1ZCHA/NugoAx4J7Ucmqkc4SiNFwH1TRFnIp6lieZ4EzIiwcOys1mgPQrS7RymFl3MP5RQc5lNYhHS9Oi5WkFCyBsMztzZg1QRoPZhpjtn7JSJ6H3NOd2ORUsoYE8gM4AtJvDVardt+2rfNbdr0/e5jqZU8trbf3N3u+0lZ3t7eLnO8v75dzud933GOYbp3oxACC9PDzI0shMR9htO0HhEey/LGBiJn0bhhc5sKNbQcQSxSiqb6vygIOdmpCyNHCI0kGmc8yehDsVqMtR5NZV9kdjzzlU+UznGAp1dXGAtLTBzU3VV0LG+73PAjt8vQWiIDALcElkweS+CC1wdkkyoqBJiIFNUB7N7TWA613x02fxPcP5ja4y5CioAww08/W+kIT1g88yWkqE8nijEHs1wuF5tz2zZRxaKiaBHRWgu0LxQBY4nRB0iuxOSeu19sbvbTCXMMoLDejzFmrFX53d1ta1t+FkJph65w+vKVq7WVogWqN6yvV+Uupezb/vL6ug4TKkXdXZa+gZa1AxG5WWsNqVjCkl7rvV+3REFUayNKgPo4jlgb8utZZGa1NkpPZVNVdCH85/ewOWyMEB7Daq1j2uPz88vL269f74/L5f7+/uH56fz+RuEVkdxzlqJhQUI2jDiwAhq9k7uylKrmxkGsTOHmDu1KUIwx8uYwFy1S6s3dHRPtW+vHwcLn87mUOuZ8f38L5+207/spgrZtEy1EXrf948cP7lHrxkJVC7PUVlTq+XLJ1aN7tgPCNr22GhxVy8+//6XUAkZJKaqixAH3f1V2DmENJL0Q/NVWj5JWRSHM8HtLmBaWDbGyD65bA3cYbGYZCJT9ZIO45Wp+WnqD9j5qqcdxPD89fb2/v3/4+vDw8PT6fFwOCmzzfT01Nm3anOEmrLBnBMRrNjmIVSjI3K47VSF1h90jDetErMtKfs6OTjtjIiyEZJW/BfcQlKh452Y2PAKjJSTyacWUlZOnGzFoiqysi8RCQB7zFgwvBQ9n2ihSsJMXAR8u521gFMhoTBl4FlkHmFxrNbei8I4PFp7TiGmMY46JcW1OOy4H9D/4LMI4QEFqUxGtbaut2Zjuse+7aq1FRMt3P/y47aeweT5fzufLmHP0PtxEy7JuJw8fcyooXsxzmkr6/1CEjRFpLe5MDI689Vm0EDkMTeFRkahjBDHr2re21rBHwYF5dYFfvwjHE3rhRfoOZtJS4IYkQPoT2k0APSMNIlZdJ6JlqcKLAYm9a278ycNHR0yCmlnCIAu2WkseImE3b60eR78qEkAoKlr66Dle4BJZus/2o2NxOsdIm2LwQWABFBQUsLpDlZJ0hYCSFgp6hNKEanl7eyOK1jb4mSf/Cm6ACIZUiQi4uhJRHyM5vsSnfRfNMLxaW1a1iPfL2aYhm2zM0WqmTYw5VGSOec1EmzN3jftpDw9Ny9vcn2MgTCbdsp5GG0ow6Vxi33SWNUdmzsrkIJzdeB0weiNia22sLB18NC2qqlAt6PpE2TZmS2dEwf/0Xz5stbVSSCHN4ACiUdQ83GK6ucelX15fXp+en77+5cvDw/3b8/vb+xsrg0HIzJOosIillXzetERzjFq1qB5HZyJRgn+ZDWQ6Cux2oVLbTzsz1dqC6PXtfZmu2vntqFvTUlrRUrfvvv8uDzfhu9NNSITRaT+9ny9bq1x09gFkE/k0hKkq6Keff9n3TUrBLZS7hMw+Y1Vt2gzdJTPjniNWLhbGwkEwrcN5m8g1mAkBP6klcwM0iT1p/un4JvZBB+cWJGIGwMeDCaEFEY5F0/Pry+vr2+PT48Pj/dv7+9vbax9jzmlzxiRyMwsbA9vIILpGo8nqv8CJIiKySRnJDuImR+DfjNK5wZmJQ5QrSYBUR2vAwzKKMFG54UxB/x4hxOQxFInQkQ2muQWTT2fl+A3/IlvXb7yUwFoFlTJ7OnLKt8TLl5Gv5pGO2RUTgGaoSyo2UwQbBMxLeA5L6l9YWJhbeNgYuH/gyaGaBWkcs6iebm+gZgiPm9s7VqmlnE43333/Xds3t3h+feljSQLzgKCIGUFBRUTCJzOPMRf8HtN9WKfFwPFpNqb7ZBEMCugcE1rxwIm51lSCm1NVNRmkcg0yZM4CkFTOlXmbo0MyFwCRx4JNfC1Vad2QKAHJ5Q2KfnQiKrVQEhAlAjhwiIrCk9mtlkor9HzVkfwRWYqE3aDsZV7sHUA5+TUmGYndY86Br9EsE5hLUXh15GadeY7Bwv3oq0QKaGbYamLuOZ/PARa7Kvbh+Jho/2XtQmGJg4ghEBFraVgt2hVGzwGI+pwUcTqdmHn0DhQOdyYqIiru6B1zyr7tdx/ueh9Zf0UI7giUtnru0VrD0KNFhWWm6CzfnhYlorfXN7MpgoFWIwJ+Tap6HAeWXrpMyGOBRf3o+2knhgu0oFbNpIQl012LjD74f/G//t999/mH3/3y488///THP/7x83efPn38oKqscCEO1ux2g8SCpxERn98vl0u/v7+/f7h/fnp+enm6f3yOOWhOYlaWWgpFmFkpsAEJOBlIck98HEOFalGonz1iWvTRbcy2bze3t6U0m/N0Os2Rti199Pf3N3e7+/BRio5hc9rt7e2+b2aR6Wgs27bPMUCXcrPaKvIQhOW7z9/dffogqq1tnmeP1NLaVplYtJBbaSqi4V5yS0DMZAmYoNeg9SytR2ot6nDYJ0yZv5+zMSGALbvgBfAxzZnEhqLiHpzCvaCMFFdzczIhmnO+X96fXp6eH58e7u/P75fjchyXY44ZHjadmd7PZ+Lw6UGOeFUY3BTM9xEz3OZ0DskFVsw5aZ3a6PRVxMjW6bAmGCFmCZtmDiSEJCJTsZKUFUGlFoNXUiwZJ5rDdbggIS7rJ/Oa4tMGmpZ/AK1fAKRkARfASWPJmmIJKQgHJWRcZIwK5H6lzUQgsVSwRfbIDTwo2BE2xyhaPEJISdjn3LetblsrdYwZFHVrsPBlke9+/PHD3cdaNNzfXt9f31/DrI/RpxWtmTFKJKLKPH3EUq5ERB8jnIScgg6gQxmH6RQE02MRSuvShEpyDYvjv6hquu+CT44Tfm1KEvBkVbHpkPrhiqJgUHCwM6XpEK+ICKx2x5zQ5QAJSQMcFSIavS8USOxaonJaWyWEiJlx8kZqOT2CcLBeB5Rr4cE/iMicc6QUXGKZ7DORYQESS75wdX9DciRAZjNVhUJizEEeiI2TDG/xtQb2IFq8W3Z3WEdQUNvavp20KOD15egpc4w+uoju28YsWpQozFxVez+AwBAxWP9u3nvf9o1ZTqd923e4JIkmfoXFNXRwhuhpMwSEkYchcYjIw3Fwzzmfn5884ofvvq+1WthxdPh7rfyvaK3adC1qc6KpVdUxRqs1mOaYwPGEBc7ECK6QlYfK/+Q/+U+ZlIK5iAhpkdu70w8//vjHP/7hp59//uu/+uvvvv9ctK7aFcFClLm4FDzNI+h8XGzMl5eXl5en+/v7x8en97e38/u7DdQfDgvmMDMtiL41olgWZBFB1ygf81lbU9XjuJAZsxQpdd+KQijIWgoer6Mfb2/vRXXfd2J+fztz8N3dbSk13Fvb55xBtm3NPTxCVX/+/S83t7cepFqIWUsVKQL3IqmiTOSAC1kI6KMiPRmue+EiDD8oLOwSKFkbgcjAd2VGXD30X4mBLGpRwmIcbJTtrHvA71OqUAq0cNuy+VSVWouqBEUuGsKt28vzy+Pj49PT0/Pzy9Pz0+vbq1v0PuaY7jaHzTmmGQXZ7PAsTE9f8gSGUSbMaPEZ8NNJoBZO+n9ynEgC1unLtZGTJBoRIcrgqKJ6LbCCYh3knMcNU4RB6JTgEh7vhBpAgU3aErkFMdbvAS/PjEpG6JL5xHKMV63CpQNZN5ZXc275JH8i2Clmhrc3eh+zzzlmn70foGowICxYaxC31mprKDBaqxR1i++++7Rv29b2Usrby2vbt9tPn97e3in87e3t6D2IMBJGaNB128EeHnMKsTGcI8e1SlH4GGPOERRwJIzl+AQ0IEksmTEpRbXWAvtF5DJcqSnCUmqB1vQabpwnIJEmbJe/nbZzQjCSWmEjDcmLkGFC0lgQnIntGuiJOETpKp0JYbUwz4yAJNE5CHjQr4pcIUpQLS/Hpfe+b5uqziRNEmVCSGDT4FkSAykoREhXdzevLSULbl6K9j7MJ1ZHcMb3uD5rhoFizBEepdRW67bvCZ6YwcQN79zdgaLs+75tDZ0bqvWcyTLqvX/6+PF8PgOFa7UiI4FFcHqs8pw4zDUbIMe7BQxIWnCgwrmW0o/D3YMIuxCb82rlj54lgvZthxfWNINkCig//gq+a7zPbdsIEjwsk6YRBf8H/9P/pYiKElR/IVRrdY5+dKeotYno50/f/e53P/3uhx//6q//+Ic//P7u7u5m3xToNiVUG27O0bsTs7uf38/n8+Xh4eHx6en+69enxydQWdxNBSEBHB42JyjXQWnZ6m4sXIranDRNhFstQTT6nHNq4VprMFNQbdXMEf2zbXvvxxyuKu+Xd+SdmNtx6be3t/upaSkUvJ1Onz9/LqWOYbVtwqVuW6sbCcGIKsLbaQd/ALNb1SIqkPfnQ0dCguUbg/tCa20QFIzFVpI4QpZxKUFhmz6+DBnNGANglJshhBlBVIocV7c+pru3Vvd9a1ujcKfwMMXygZgJxLVhMy7H5e//9Pdv729PT09PT8/v72/H0afZOPo4+lK++DQzqF4NcTZOlCIlj/BpwYRsGWARDNwmsctJTswx50APJSRa1JzAAhRhPL0AK5GCCfgeDaaEMJMlJvDt1CZa/Wis4YDIIoipj6OExFqLwRIjC8CcaQeWzWVkxUGOYJ54394AWg4PNzfJBp0potXMZDfz3i9vb+8wCiWiWhNh0KJaFCkwWrSW1lpptdn09/P7zenU9v3nP/z+44ePtzcnYjqfj/Pl/Pr2Ouc8+vTwOQxivTlNkzmr7sYrsZGYfQzQdY7RUSzdfYwRbo6g5iuv0TMtEmU13eoyXKWmqYkoIVX6ujGghNry3PnN71y7QmLqRw+iWoqHF03OZe8HSs5VJC8sKVnDZV77Z4xuONwX/YgWhSw57KhMQdF7ZybsDE6nm3VEAjDk6zQY7mNOZKqAX+6gPAjTEi5ExJyzFHWP3o9kMSzQH4Lh4zjAXo2Ibdtw3OuKeMNHY2Kcv1cvv1rLzenG3FUTpQF4a8OOftzd3a0wsuLTRWXbkD2XZirr3iYpSr+pKwDxYUAkQtfhgIJYKIiKKFy46RsBlxGX6+a11m3b3HzMESDdmEGADdM+7B59Oi2XHZuzrFvazPjf/x//z0kFWZdm1lpt2+bucM+Y07AxszkxaJSmtbWffv7pj3/8q7/9m7/+3Y8/fv70/b61IuxJ6UjpinmYm7COOfqlv7y9Pj493z/eP3x9eHp+6HOGgxguzCzKcxgiX8wtoNqgUBJyA/MQ834EkfA0U04v1lLULGqtIIa21vrRS9E+uk0bY0qh0S3zVVRPNzeltI8fPtXazKO1vdSCbmqanW52FmltQ4RCLU1EMqwuSKVoSftWxRQeeH5IRCWBIIF2gCNErkhrPhUiolo6Jt4xKLi2NmbHwh4sY16sc5CjTzd7qwWmVOgSOVKZzGnjmeAyUu/N/fx+Po7L8/PL/cP9/f3949Pj5bj0Y/Tj6GP4NJsGvwdfJ4qwGGEhScTpI3+98yiCKBDfykFuc3EVQkTDONcd6Ao4rw8IPHjoIWqFK9tMTXLiZmvE5yvkiiNFOFFs9wG9QnBO9KmasYlOcS2OPbGMjCY3IHK4bgw/LzMz6BECvqbu0Uo6lLGwjUnMMBWwmLPPOcZAun2pKlnTIzCpZLokSOPYht/cnlprtze3bT99+PBBRI/e38/ny+UyxhxzRlC6nJMSpW0hXCIijEnHHOigydEoOy+Rqoe7mZkta34fY8WXRtZdmDGUVmqp2LLCk4JSbZRG+ViZ/Aa8vM5s3PuBQwDtNgvbNPBGkglKrqpLHh6RNnZpb7Co1d9+gf0iy8shsVAKM79cLu6GPeq2bYqVPqdKcd0egV77uFxaa21rbr4oOrIQG+gJBu6/awSYmTHx0Q+YRhDTt7Nia2NMPLyAFrFe7r3L2tnWms6v+75rktCwtHBsWZFOjNO81tKPgYYS+gAM9pSbkgRUS62ogiIyp82JCDaZNoV5jsksomnCMWavWsF/wxWjb54Z2CYCUwXCOfHK+CkRYYZik/FtNmetNdzHmO7O/+5//D9hKdvWxhhzzP20l1KxlcVwqMzhrpKO5RFhRNt+MyjR27u7D58/ff7lh+9/99Pv/upv/+73P/9y2reIEC1QCAUZBRvjwCeV8n65vLy8/frnP78/Pz8+Pz2/vr2eX23Ar8Y8oqqGOZORu9sMRqNKNkyE0Yshm1BUttZYuF86sLPT3ohk37c+J0dA00REo08PN/etbcfoc1ophUiwNiitffj0wYeXpkRy9+FDBNdWhErb0SaQIAKBBY0W1q0w7WHhVC1GqndYmNJvLus/gI6gYFE3H6MfvXMwmIUizEFatNYK9Hf04R7CfPfxtuUdw0QhKmGGo/bbIB+QR3JyljGYe6ZEjDmeX19eX18eHp6+Pnx9eXp+e3m9XC6zD/cYfeQ0y4hOtPAlJ6AIgwAAEzSKhcdKEcBB2jsiQsnNUleMJcdvzgJMEuhGjenan2Jyz2cDejfkX8dEPQMRFIXlSqjFBOlh6+gLEcZsD3mHe9pWo34JwbmMpyGAPkQldxgUBH89p2BMoolN1aph4eFzzOO49DlwSW32VpsKDsPMPYehzbZvWDwwTBBb3bZ2Ot3e3Nxu28as7nH04+3lNTyGOXl+SVgULXf0MmGcCrWXW5gR00TYLPZDQqMP8shqAKsDS/ppLlFFc4FcCqCbHG35etTzIocEJdafOV/oKHNWYMJIjd5ZFYdOphcA1cGfwavJSiu74kKR5F2KvFEJ9zNQF7NUSQAlWaxUoMIwy+Rwv1wul8ul1FpLhZPPtUTAphrgJxGZ2xyzFPWI97d3JDmraGsN0NKS9BMzl1JRSzCeo99t2ybM5p57WtVa6rZvRDznqLXB6ZOCtCqTgMeJR7zUiplrmrW24dTGvQ9IB2nMzBIUcCRVOBwkgDNz/o4gom3b8AaScesRmUvh2fJPw8aYlpMHagPqdxpoq+J38O3gzp82+b/7P/iP4Lg1zUYft3c3qK7MPMcope5bK0XNTVSXyFaIC5eCEaPUTZh89jGnsdRSPny4/eMf/vi3f/eP/uZv/vrH73+4vbuh4BBiEWIxM3NnrkxRmMacY9rr+e3t7fXx6enh/vHp7fnt9XVcjlLExxzHqK3YMGAQaCOJyKcFhwhgEE8/jTGDQlXa3jxcwtu2YTs0p7VWKUddH2OyyHH0OU2Ux3RVmWO2bTvtN9//+H0ER1Btbb85QU8lovtpLzUjMxVBOIw0QU0rLvTPiX4YCyuoSCBpsAYFtN5mdrlcsHLoo5dSfNq2tVrLhCuuz9mt1HJ7d9tqhSpKEPJC30hGqV0LUtFY3+4a8CN3iHATQlZR+Ozz+fHp8fHx+fHpy9evz88vr+d3m7OvND8zZwryDEIF0jfnLIrGzX369KlFfBoxmS3wN9kdKbUeY4gw4BqUcCGwLwtS265kqkRoGKvyBKCYiZRsWpOaZzXTdeJhXh+PQooKsYdPG8LKrDDVQJkMTzHH4jTi56Vqqh+9QoXFQIdQtMjCmIKJsu8zA5JwPl9GvxzHhSWzyEvRCCHyBCjaRhSiZd8asdRSRAU73bu7jzc3Nzen21PbI+LS+xxoAWefg0VgrBahM6ZbJBElcNcQvK3CZ0RymjLyCqcCRgODCVYE2j/YKzEnNCQIdgVTjEVEVhoo5ipEM1pWGl50+8i9bngplVMVvGYGut5nKRWupYIIhDLDK8UF159XOnwywpfN5+jD1ysIyxVOjLXzP5/P7+dzLWXf91zYGpri3FQvn6hhZtDHzT4iYj/tQLEWrZXNJt4nvprWWlCMPqGeOe2nnAOEa21Ae1A/pk2YY76/n81mKRUpzWiWcg0rClcogTOgFncXVbiTIov6utKYK2cGq1pU2VIUDKW2bVvb0MJrKUl3Zmbm3ruKzjmPfuz7jk3JnBMIUm1wdhCb80r7bq3NaZgH55hzDv7v/Lv/gWqZ5pfLhSj2fd+2nZm1lvTgJjBGGPNUPwYx73v16TZnabW1TbRwmKiE1FXMSVgv/bK19unzp19++uXv/vE/+sMf/vjj737++PHj1ipc8IKc2ImZhNxpDPOI4zhszqenp+fnx7/8+c9Pj0/v57cxJ1koC2Rny07HWXmOIcI+XYhFxWwEhUiISgmaZiD2RoSNeXN3wyRahSkXvAh16n0Ky+W4QEuynXBB4eSlNzcnrIrbtkt2UqW2up9OIhoB4wTFFk6StJf9kWgqm9IeRYpHnC9nUZ3DsCy9AG0Mb7WKsrsj6NWm1a3e3txUhMBd5aBEqynzjFwOtjAihEdn903ryfeIgLN63qhBFpiopvkY4/nl9eHp4ev9/deH+8enp9GnmyOK1ZYvCpEflwtCK7FKg6zaydnJyYUYuQUiTEF99iLFKZg8ycXhyjLmKG2zMFUVEsdXwEhYXYvykNzaEYvI9I4T3N2v7a2Hh3kwCEopwmAhMxOt0waBEJ3mWUgmAO5jIozHEqlYyoo7Co0/M0e4iIYlHdZ8ugUh0oMibCoo2B7T5nFcsPLVZdK3Bo8oWpDWTSIQEoJeQmG11E+fv//w4dO+bzjc55wvry99TJtBSmQpLAQnwCOIBFnQ7o4Qx9m7k881chHowTaBhlvPsfgKzwUIRcRYGLTaNL2ssXiP66oAe1qsBuectTWKsOUZhQVjEtvQrEbglMTXAEtHrKbz0I9c3WPrC8gFJ/4ckxkMBS8ICxNeDKJInDP8OPqYQ0UR04ayBNgQpK8+Bgo27IbG6C+vr6f9dHd7C+OSOUe+tLBqGWNQBKturY0xLpcLqD5b24DpAQcTkfP5go2LiOD3x+jmdtpvtm3r/cA8hCYQB0tE7Pvu7ujWs6fJtXmAEQsKExNpKYwCYObutZQ++nEcHz58UC1gZGjROa33g4jhOxsU5/ezu7dti/C2tXFkERWR4zj2027TsGlg5lIKxgWgAuHO//3/8H+IrrzPHhaqpTYYMCGTIU+QUkotxSmeH1/M/HTTigiDQU/MzDZmSJSysZZWW60NIRgqajan+5ij91G0nG5u//DHP/7t3/7tH//m73766adPnz7u+0bpOpOT6eoiwynmmC9vb2+vb/f3j49fH379+uX9/d3chMXmcPMgD6dWq7sRx7j0CC8aIhLToCTqR297Y2KtorzEB7JkXwgaVe1HJ2FMNu/ni1lmCW37ZhbCUlpp215LLbWJFi1Fa1VtWsp+OjGxsratYrcvlGkVmBZAsqGUjwLln7VUEe29z5gUtG8b7HBaa2MMctr2bd82TcrfFZnxhblzTrQckRkjwde9W6LxHOmkwnKlLS2oPSEQj6C4HH3M/vzy8vp6uZzP76+vr6+vLy8vfR7H0W1OZLr0PgxmXp47f6Hc92CdiUpjNK9yUw9j8OdW15ntYyw3iCSusMO4CcdJUKJAgnwIaIkX54euf4KDF16A9p4cbnf8jVakMENz9xWjkvmOMDtD3ObaVbDZRHcKfZBg88YOeq5wfDtSk6YCb+GJ3tPdjksP96IVfoWAHWpRVhESs+nT674VLdu2l1I+fPi4nU6nfa9t73O+v78f5/MxhpNjN2Lh4SwZLsTmnnY2HNNi2nSbhHeTOTMkROZGEYC/ceaGRxruUt4zIJJjuwtDSsD0hEVO3k1rHPHIoYECQYOoGQkiEkNEwrlagCYQNQzFLxfIscgROBqhtp1jwoMkoEPGD/bA648x+ugb3FWJzB2GjJEiF0+UNbckdhzH8/Pzvu8fP36IICh7Z3bEfp1fErByIgqoCk6nExJ9a6kqCj7lnLPUMsdUlTEGWGHAbMFtvSI5E3SdoNZaXo0MjjfMT2aWC6dcdyddjpnNDQDRHOPo/ebmBmubWis0wMdxoSuhLuWuaYu9hv50AO29b9s2xoDmBmUPYyWQAJ+T/73/6H+kWtyj9x5BNzenZHdAo3Q9IYAMjnFcLiLy6dMnFhHl0SfmDnSyDmdKYSISktK0lYoFVK2VSeZwVT1GJ6bzmKW2jx8//fD99z/99PMf/vCH3//hl8+fP7fWSq21qKTuk53CnCZ4FEXP5/fHh6fHp8dfv/z5y69f3s/vb2/veAILS7iH2VZ1zkHhl/fLfrPzui1aS/SQmVstojL79Eg2UUSyPmqtw4xg8sfSbRyXTh6sCGiXbduJuJYmpZZWRbSWrW2NmLFiwusQc6u11moemLxqqcw0pplNm1FqYZYIOl/OKtpaBfWi1oKn9LSfACtzQFub5vr5jGbXRoR4+pVPm/gJsxAno5RTJRgZikoRERYBJSdJRHSbQWHTJI+tsGFzjpfXl/P5/PT09Jdf/3KGV85x9I44Rmfm99cXVgonhCKwLB6ne6SfQyD0TZgpt0nOlM56OEBx00SmjmPXnSO7E1QuyZS40kvdTQX4JLbf6TTAKuTXIhgBfoKkEF81Q4VADDczRXp7WgKCMCpuzuHTJ2pvEtIBl4enniicCUH1LMrfzBacej/SpyjQybiICAmiQnxOD3fn1qClClA5KWK/OX3+/odPnz7f3dywcO/9fL5czufjOI4+RJQYjaTYNFWdPknE58wH20xUkM815wgi8oDNH0wCbLqPwUJujnBwHBwUxBQFziqtglkE8VEpFdRe4AzoJHKeSGzgG08fLHugzMk/IiaKaVZLufJ9QRaIxexUlfP5gnOfiZDtRcuqFhAieAfbtuGq4s/IP+yp8Yf7cYw5w/319XXf99PpBFIfBG54n9OmXOOlgkqpoPeUUrZtG2NWcENUIxkZs9U2bV6hnlabu9dW4UZMxLWWiJgjueyoCgNcR3cK0pJbFmbGOnfNiokFIWqNWeYcEXTadwjWai211KN3DDfM10UCBwVcT8CLxYUS1eN8ubm9ycLMKUSYYz3jRXwa//f+/f+YRQwrHZFaK2TiCgrRN86pAWs7v19YSEVra7Xo9YawMUtR0RLk5i5CNkOUQcYTIilSpWqpMZ2FWQqzUKr4RgRJKaIihW9Otz//8svv//DHn3/8+fvvvv/86btt31iLi4DuwZz6izkHBb+9v/feH58eH74+PD0/3T98eXt9DZv93FuppZTjciGK2lpRHmNevxgcUOFBEqJc17XTom3f8DVHBEyHWFIyvrzIadq0bhu041pabcS039wVlQiqrZZSWYWCW2ullqKVhadZq9VGmuyraNva5TKmmQqXotu+EWEYnEXrad/bvikLI2YZJNq022Xc8cJYryilfek1S2/5vCyzr1xIB066JJa55W4JpcLNtv2kCi+SFMx5hIeL6HE5Xp5fHp8fv369f35+fn59PS4XDhpjzOFzjtEnEHY0xMtujxwRxOGqOvuA5yAxDuIA55qFyUJk7RUT6CeX5W25dAVEBNMPYsr4FIJ00SlCasGRsSQFi5lEVIomndTczIgBQGnuHcEyE6bg8Ox+GG0Ip4rabBRAGaiywbmHEFhdJ/M6FrcSTNR+HDamu3mEmTGAJrTDTiKclEWPWmvZGotQ2L7tt7e3bdu22vZ9L7Ux0zB7fXt7e30bY6qCzIoWTxa7I3cyHlBuGOqcuTHygwx7hznmdPoWCmSjg98CE05hqa2ig8FRuHSN6U4hi2keV2djImYqpYKoSun8kwRQFFrUEhRTXCibxkzv5/eI2Lb9Kg5A7VhHNABAZMsQCsDiPhClPktwRB7H4Wbu/v7+fnt7Ay8y8IgiorVaa8PqHl9iba2ogvjbWuuj35xuVAt4criBrxwbYESx2KWqZfQOgg18Bs3TlAJQPowulvGAujsSiTGl0Vp3e/IsAsTWICq1ACurtSIfBkpjNCKQAW/73o9OTJk8yBweORA7wTvZLCtlAeqVfQqNMfjf+w//CbHMBQwJds1J2ApsD5bgnkefx3Fh5q01YhbNEs3E/yDrKrCfIhYiFSZW2OA4o0WtW1MWD5KiHAIbI9FCyhQ+w1vbzNwnldq2ut99/PC73/3y0+9/+ePf/OH77z7f3t40FGeQsYpSYBfK060f/eHh6euvv/6zf/bPX+4fpfCc9vb+ZsO0sIgiDpSSYueKfHEJm1ZzjwvhmIAHGRGsrLUISYO5a1FIZ47jEJHL5QCXFZSSogXj4On2RhRuUGAKFmyC0ewCeGVmJuGiTAoPrLqVVquNGURba3cfPkDMrKKiWksJT18wFkpIR5iJJCe9AA8yeR2rtUtECPEHTunOnw1qumLhyOijf/70qRTssVEnVr+WZNPM3TTzo/fj0v/0579/f3t/fHp6fnq+XC7gTswx+jFAWnVym7aC0UMQDpz0tQCXZ9rMHXrk+RxEqU6G0j3JhAl7oRunK3uGVuiHGdVC6ey3xnwWZnGKUtJsedocfST0qBJOlNZepKqAP9PfODyErgTHaUMz1TZoDQ5J9MrKhXqa2jci0nQN4aYNT9P7+9vo/XxcHMESxKwyp5G5s6tWFrI5mWjfT0j8cPMff/fj7//w++++/6HWWkr79cuX9/O7m7+9vx9H92lai03UHixixGzCRcM9iKP3ycTkU5g9aMyJMYCI5hwxxxgDQ2Gamq1Ov9WmpdSiLNJq5UXXiWXijzKAAADok3H1cbRxuhVddR745ngt6h180Ajftg2LBABKKGyyUCZgvyIM+O66i17cM55jDAg63G3O56fn/bS3VtEvzumqcnt7y8xH78dxqbUxMY7ab8iVMCy1Y1nzMHPbGtbLpdTWsAmPoioqvXfQh64YVymaZIo1o5gZOmykg4jkhQJG7dOPfrlcLjc3N6pQ7hP0brD6AKAGETITMoV5mtVajku3sCJQftmChkZcAVIi1YL5FK3hOEZpShGFWTyiFAQJ6bSBThMYDq4AOYHtwEylqOaESHPOIJIgyK8JlP7sAiTMmYWDmXn2iUVlrU2L9vcRjWurEXFczuC+a9g8jJmlyPE2w6PV3Y/zpY/L+8vXv/zr//L/6cbEoh8/3/3uhx9/+umnn3766eeffv7u++9v9hvcEEVVW5XvP59ae3p8fHl8eHl+671/+u7zf/vf+XfG6K9vr09Pz+9v70c/mJQN0ZI0bdZ9L8QeNnqHlmROYzi71uJu0zpMp2WKzYDLSt1vPtzdprc5RSl1znH0PoedXw3htDB33LaNVcmptoadvqiUopfL0dqOeVlL7YeMvZLjGLJS1GwrWqmA8RHIAcbqFdHS8JwwJ87MlsV1oSCC/TeD3pDnfeIzJCygRU4zBMl4GDbF4J4DMiYstFkCPDbYpBqx0Om07fv2w4+fbUZQ9KO/vb0/PT89Pj58vb9/fHoao4fRHGP06RZYVtvsUIImF9CJIoqH2YjFYhTj4GCXyJgODRJH2rUsCV5QOIl+60CZiLUGRL/T5Spi4FydeMaKBRPXUiODkNMXFXwEPLEegfACeP4RURUJRMeQTB5BCXEEcYQzawRJVfErH9c9PTYSlD9oaEhQ7KfTze3tJ2IzG+M4jj59htmwkc95USEm8svljAA7Ybm///r8/Nja5uF1ayLll59/+fjp4/fff7fv+9Ev5/fj5fnl4eGJeKi2Uuo0mX1KSYPYtp3CYx6dhd2s1n3MMSzZ8RIxbUzzOSZFsLJNM5sItxljvLkLcW21ta22QkHobZLvIMIi5BHuLovQtTqP9GJGqWSmVJJzeNJfW615wDFTEHLrrsNuJJkYfAJKd03mpEMwX2uVZkx8kGqpJbLksGrRkim7B6SR04t4O+2ttlxOgMzKEim5cFHBnAp2DVw4aJmhAh6vpaG19WReEBGrFpYMbQevkpmnGeCHZA1hBHAiote3t9H7aT+VrRAFk7RaB42FQ9SIELxgPsTMRL2PXGKtrijYlTUFtu6sShE2xzLzD0wMY8wwL4+PD1rqbwAsKqVA9wTBLbbtubJjrrVt23a5XMDodncjMzNm4mD0+wy3gCYiiqW8UiEnIrmcu5bStqbiRx9aamm1FHWzYPKY5KG1IdN+RHeP8E7MQs4sotXJXx8fz8/P/+Kf/fNhU0X3ff/44bsff/jhD7/84edffv786dPN7c2//pf/6j//z/4zN3Ozp6eXX37/03/z3/q3wB+dNi6X/vLy+vr2+vj0/PL88vzy/Pr2Pm3MiCBhbcFchLVubtM5UAgUhTHYZjBxkcIcb6+vsH2Fxz3Uvzf7zlI4yV7I4bQxxjy/A3aCkbqWkthcvYR5qVprncPaVlVK22sYm83TzW2trZRWa+WpxFw13chNpIji8TbzojIdDqAIQobz5dXYk4MyrcXgPJN7ywlmPHwHwbUFmE0RJGjiAzZWIsVXFK3CnREjuERRrWW/uT397qcfcLibzdfXt6enp4f7h/v7+7fX96MPszmO7hGjDyICKOnufRzChaXk2hgj8ZzEK/3HPYJFgwnWOnhYgzhiptECoAXPRy7cXUKyWRNhoTknkRdVZkFUPXD8BaxD6IBjBfa8sTyVKdxYmF1IiUIK8ixZGbwLhro33VkliEI08zpEpRBYlUEwfjt6B5hZattPNyg5NsdxOXq/YIWAOY+IIVJ+v5xPN/vl6MTEl7OKvr68iHLRUku9ub39+OHTd999/uWXn1V19vmnX/9ClwsXISZRJSpEOm3uWo8xuLYI0loaSABEsx9Krbpfc6/C7XI5to2JffQZEZBqvr690CsB6Ki1ZlhYyVAaEWULWuokDGfZ83KWZMDoEAri5EWeGi3vcdXCJNdRLyIYJi2UQhAmDo3FgIWS10FWzJvHnZmBX6Ec5CKzd2Gupd7e3qCdB9OG8pDjaaYSvhbRRoG/mLkvqugrFqJKUlRExhzuvgx//DcQGXLWkioFN7eIFRpuk4W1pDNOvoFpYI+XUpaHNsyuKbdZZqUWUZ3HgY6Q0hQrp5ZSdM6I0IRVVd3s/8/UnzXLkmzpYdga3D0iMnMPZ6qqO7TRRKNAUoAaBKjGRBCjMHBoUdIvlhmfaCJkBKgXmQxtIihMfW+dPeUQ4e5rLT58Hlm32tqsu+pUnbMzI9zX+kYZeRJq5rVVM0t12xDboarhpXdjRk2zQhsAHd6wjxDfW9PAPZaShQWJfUPfgrxyErfIWVW0u7XevEfJ0+nhAVJrMqeg7VZT0ugd+K8mbb1ta0NBBhGLjpggVmGmbV1T1u5GKRsEIZqu3dbr7V/////V//z//p96bWXOJZfz+/lyuVHQl69fRWgqRYRJgllSnqd5enp+hL7AzddtW7f2cT6/v729vHx/e3u/3c61ViYW1pzUupWcrfew3lrXhPJlrPmJWN3J3FprtXY3ZyFJitqHjPBRjuenk7tP01xb8/DbdSMij97attVbW3spmSjcfZ7mw/HYu5CLWV2vNy15npeUi7CWaY6pUAQTl5xNOjU83IQwBmaVkGGaFXInBPqFuyg7hgQPZsG4ZxbhoYMTBZZlAImArgQFDxicsWOycJi3aqqSNcm+drAKojyF0Z+iX79++vblS/zvyM1b7efz5eX19fvr95eXl4/zuW6bQWzavdhk3bo1/FHR1hGqTNAUhrFnTX1/rlUGowUQLMSFVEhbayQhCDZR/MDjXQ0DsixAsfDCJ01Qm+4DXQS8Z045JfOOzSICFUABKTJmXh0B7jjTQnhQfN06mIl9AIYhWfDrdQAbKASObtZ9A4AnwtNhXg7LSJq7XLa69tbE2SJYpLYmZN0MetycElLbNpbL+ePn3/1uWhZhPR6Wp6fnz58el/mnj/fztm7d7Xy+RDhqi1SVmFlFXJ0Qy8NJUW/ZUxruvzBLudTaKCJPRBTWO8I4zazWjUm2um21grocnrO9ywXBSjij2TwlJRqQNGJLYTJR0WYtPLa6paRphNANpzg8gjSgzTHyw8kVZnfrwE4PjSacGP2UAz7pvc3zLJJaa9Y7aUJQs8jdvy974aIPvrSbqt4NX26eEiPcHwM+4NV9vdB127KqpuTmd/2rR1jvYpxz3k08JMw+IuQJ+KSwHA4HEeGd9CYisw5gg1H56T4itphTziKiIqaKGyt8SBZVUrdOIbETJBbOnSy8lCkigrj13loPd/6H/9WfqiCUTlWhjxxwMaJjcP32buYd5WtouIfDsORSa1VVENDTNMFPIcolF0eBLfZZ1aQZPEyZpjDbw/NGs5hzsMp625Jqt15SJg7rHQxmyZkicirdmwSTQt/I+8RFKWchiuDuIwrtfLmoyPV8e/z09PXHH/723/4vf/2bX3379uPD6bRAF2QWY5MkhlrGiJnN+vVy3rb14/3j9fXt5fv397fzVle3Ib5mZqchVxVizbytjTmgZSYKFZ6mwkzbbYsRlAZPueecWzNREdZpXuBHlazoNSSm6/XGFN0M01LK6fjwkPOUNOdpEk3MOpWiWZOmaZpGczcSTxVMAbZt4qCUNYjGl8qDCxZR5mCW1tvttipr6w1BeEEkQsfjSZC2OV6OkeBI+0lqEJMEdOIiaeT00y+pzuA6dkwQoJIH9JMAz1vvLy8vyJR9fXs7X88IOjfcA7WFe4TVVpV12yogmhh6PxTqdSYKM/xkMshu2tpNWHQPiolRtkfCstYVDr4Q8m4BwRuPONKxqhMYTs6cLGxoWzGEQpgaI21UWByFnKIRJsTNK5MQ+BMPChJRXAMikqc85Jjwdd/FrDjm3Lt1c+MISTsgHbEHF9u2ra016DjRQ5IUiisSVQnWklIqORXrVVQPhyMxHZbDw8PT0+PTcjhu27au9efvv6+1BZMmhfoeYhUmrshpD5KE3PmorbIwgB3i6NDOD0i9oTkdf42wAx9SK/RhjdrL3Xc2kLq97SQisMkBJ7nersu8QHpEQ8U2KoLviH84mmqGQQ5yBvwyImqtEYJL3UT0drl6uIhM05RzXtdtXVdhnpflnquMGAY82DD04jE389YaWAd0VaroqEr9ZSwWXFeqatY9ws1VFYJRGBSwhRAx8n96b6VMQyMXKAFlnPVwL983iyDKKXXrvJc04NzfdVaumrqNx2lEvLnv9Dsc1MJM6GHWpMBs1m0z64fD8eHxkf/xf/N/JeJ1W4n48fHB3L275uFpshEcqLW1CBzHEUQqOk0FeXhoX9vl3pFzVtUyFfQQ+NhHkpkPciOIwB94LIcF2Sbrth0Oi3mc388s/Pnzp946UVwvV+jkrPVpKjkXYqq15pIpiJl7H6UTmhL0pix8u65tre/X8+m4TPM8zcfbdhXJxDQf58Ny+uHHH3772z/69a9++vz589PT83yYlck9nAQNubhf0C3cu4XFdbt+vJ9fP97eXt/fz+ePj/NtXVVSqyNRVkRKzki2EqLeqij11oW5lIx8vqHxIkHXV04ppezuOammrCK5JDMjlnmZ3z8+zMy6k9C2bcJpPhymMqecU05lKu6Up5zzVKYiPKoFNSmT5qwsQkHIDSazlODJFUPzMwQtvY9v1ow5kqYIKqXM8wzeTcYAhVWA3ALGH1atWwPrk0uGypAiMKD8olOlcXHg1I87Cz2eAhQdM9apj8v5fD7//PL97e3t9fX14/IR5tat9e7dzXqrzQOdQmwde3mEu9BQfjORmXerA7EBS2xY7AHRmrLC2Q5lOmSytJeMgxIIJmGlvYsM/FuM+kRoq1KEGRkNPSkRs4chB4tpR9sACItwoD1UUs6oIgQ1Hj5UmEMIgqMX6LCQe+wrHVPQsA51w4yytWodzE1QeOvNWxAHk6gmFcqa8zTlpEHkZtOyTNOyHJZpOpxOx3meLWJd1/W2bnXrvW61UwhcSxj8LJyDnb01U2V356BaN0wq7i40wjWZCPJEIgLaKcKI3QZnm1JWFWYqpQxr5NifBpxNQa331rapTEMRi+thb33BlwstYs55n1NHXjQhvYoIHnuUZLjZ+Xz28KnMy7yA0nBEIBBDWX//LUQEaZpm3loduzDLkOsI55yxVYzi4j3hGXsG0jjCqbeKwnciQuK/jly1LrBiuatKrQ3ZJPitW2s552FME8k5MbGZaxJIVD8+3lmklAJXF7aEpEq8y5HHRwTLufsuaA544iMoYqsbES2H4+l4PByPFMz/8J/+KXzJwO8QvwejuEfoOLPAryaQywwVsEftFcu47900rTXAgmUqvbattWVeWFhFyjRhOoWxsPUqLE/PT63223qLiMPhcL1eofE4no5I1+u1ohY5iYpqbU1U3BzhHofjMeXUuiVVd+/dVbhMuW3dzco8X94v0zJ70PF0DCdJysq9uaiYDRvRw9PTl29fvz5/+vHHH79++9XnL5+nMqFCJ2cRYhInp5AQZPqTrLW2bufz5Xa7vX98/P73v19vt/ePj9vtum4tqZSUWm0paZKkSdZ1U6Hem3cT4VwyBLlupkmTas54j3nbNmZGYl2tNeVcykRE5ta6B3lthuswZRVJeS4lF5FUpgIaf1rmiNCUkpZcknvkXKZSzBwHc8m5lOJuW2vKEkHdWnhYd1ESlnkq87Lg4B7A316lDf5gCK5qBy43TzPJUHPqmOb2FR+T75DTCK4SHrH1OPeIKAhVBBxuwSJw/23b9vb2+v319f394+X1u7ldL7e2td66mZPHVjfH7B9e60Ye9xgD0IEcZO5hMajaILMuQ5gzQmbIXVQaEOp7TkuM/ATi7kbkJGnfYDiQA+7ktDtjx3ZF0PuPhTJouC94bEWExkfzYWrrvQvraGkmVHgSEbsZkzP6TGkvm4tgIcRAwlaLCBNcgfBlh0etbQjVob0iwW+fcr774Zm5TNO8LPM0HQ/Hh4fHMk1JpHU7X27dbLvdLKLWGkTmgdONgni0KcAMrBFW6zoSPmBuiohAZ4S7u7XqEUOD1N3IcAIKSxqYwMikQOqDMLfeyyguH/AILMc46cyQRh44H2lfC7CuwfzFzK01XCrX86W2GhHH43EvBBsJmr33lHPJGR4Dd2ce2Zw45VRUVYc9LIYHODzKPCVNu5uYWYQohKX1jhN53TakL6hqKdNWNybKOQcRQvnQPwNPhqpCfonYid4t4ehRpQig/wwmoDVm2PSo1ob3hkcf57BYY5Jzh2GCfS8YMPPWW+3t+fHp6ekJgqVuvXfnf/xf/3cetG2bqBwOR8Qn4RYiAnBnYA8QLdRaY6KUk3VnodZ6KQURE8CvaRTtSq3VzKZpyqXAOiTE6L4holJy0kQsUKpCZl7K1HsPDg4e3QvuwJ0R80AALc1v6809Tg8noGPhpCqaM24XhEOWabpdbyUXLalME2D6UkoEWR8qYwtnTaxkbSMSd9Fcnp+fP3/68uNPP3779vXL58+nx4fDYUlz5qCEwdgMjKPvTm4Waa1drtePj+v7x/vb28vvfvfnrbatNu/WtlamkkV7a8Bn3Kw3YybNSh4iQezM0tswGeQp1daZhnmSMyur5lTyRETrurqHYSSjsI4HlFXzvCws2HALi6gkUc3TREFJkggL30NFKOeE6B9rhj3YLJ4eH1LJtPdcYjuG556Jeuu72pjQXJhTQk8adPp8R4sIVDLQWeQrjL+5VwyM/2VhgNAx/s5QH0VEEHVzSXy5XN7fPn73+9/9/nc/r+tq3W63m7t7NzeHJ7z1GoSY8TreAYfaNyJCVLybhwmIbgTr8y8+OI8eESxKMco+u7UhRIeYH8cxsYdFkOLfol344eRhcRfDA21jQE/EwjllvE2DqgsaqFWMLB8asktz6/gPDvUfh3uwIgdCEBJHPBDecBMZroXWajcDRhTu5tGthaFuBAIbSXnUI/fekqbj8ZBKWaZpXg6lHJbDISfdtq0C37G+bXWryKYmYvbm5kboHtjVvQAfrBvtftxuLcxAGvdu3i0kcBricuJgwJUIsaa9doYZaTmYykdOEY0Ir9EZh7BMbHiYhmW0ZrqIXi5nsBu32+purTZYKRGumVISlW3dpmnCobltFatAzglPY7jnXDCteLjqqNySIebez36RffnwuxS4t5ZyVpXeDX12YMVltGUzKpUA5YGgHmXCHhH49SNVAqJkXEJAj0suRNF7hxeDmLBm0R5pA1as9w5oYdu21lqZyqfnT6eHh94aMffWiLi2xsz8t//+P2GRdVsp6OHhQUQ0jYpLbNHdDBF9uBJAsOOqBNpje0OT9U6jjZq3rfbWcoF7JV2vV2ahIa7nbd1I6PHhYd3qXKZdAZk0pRHZRiBwaFu3MhdhAes9Og6DtraubwjAAAEAAElEQVRZ84fHB4JNtLmq+HCaBBNfL6sIXy7naZo+f/2ScxERpCtDm7QfCsqiHi7srXXRTMxuFsQpZYsQ5pSnx6en48Pjr379qx++fnt6evr0/HQ8LMhrRRgJXldzd2JmaduWsqzX27rV1+8vf/67372+vX68vbfaclLzsNYFQRQqZqZKiHZiJuudmOdlsm7WjJWVpfUKIFuU3WMqk2gWEc2J9yZuc1u3atbNfF5msJrLNIlomg7MqKoQCipTYWJVZRVQnttWy5RVZbvWbz9+G5Ql5hwWYkqaaMjsdqTMSbOWlCWpe1cdkD+P4x34DxKq4xcoiAAlC3R8I1kZAzcxyNhfgHjeh+oRla7X6w3Q0vV8u16vP//8+4+P9/f3N0QKW7Nu1pu12sDQeLg169bHSYqBEe4eZdoT5QISEhrRQEQ0PNcD2TYaIXQkNGq4h7kihtqKBn38h5fbQPhBEIuKpgTkU0TCjYkND84Qs49/y8MpjEmCYEMbfDUN9t7Do3snDyREBrmyElOYO7l1J6JunZyaoXPYUDSGDsugkBEYRaqac4bqz7qlMi/LXHIqZTocj6eHh1ImD7tdN2SM927rugUFrGbC7HC0x/huMW+ycGvdrbfeick9hGSrNzdzH0B5+MjwZiJ31LCABteRrqgKV8Ed4Kah9zfkHuK3w5KBMxnI4/V6hVLzer2h1+F0OsUu6QFlamallN56hG+1Iglmnmc8Xa21pEmTjvTTlIRl27ZSJlTx0L6h4NqeymTmMmz4tG94RhTTNCFa8T5LtYYo9QBIHhG1Net9J0gGYpo04b+/rRuwdPzZsEa47wYdIh+pSkFB3bvwqFfrvR+Ox6fHp2mehAWdAUy8bhuCKFJK/F/8vX8smphHXxKgOtxReOYQqgcNLDOnnJIqUvRUEo+W5pFK31ozc4rQpK02rDmHw6HVdr6ceS/TQTcNhKdlmlPSbjaVaV5mYHBlKoCexnvBTOGQ907zxCTfX75b77/67a/rWkXldluFmARxd73VGk4p53W95pKX5SCsKat1I2Zh1aQlT8jDIxYSnkoSkVpbROSUidicPGywTxE9gik8SDQfTqflMD89Pv/4q5++fPnyw9cvDw+nZZqnaWKV8OAwVaZwEoketfekerveLufr99efX7+/vb2/odORVN0NdCCSKqx320E9bx1QQxYIP2No5Jisu7BwFiGgVVlUnGi0QKhYw1jRObgHM2suGa3WZSolTymha8JLLsGUNTXrYf7p0ydJOeWsUCAQD5ZMZD8ThwM2Zc2ppKREBlcaJKciPKAP9wH57zEymPLHvgB9iEewMyhkhqFPMPRi+B7/XxAxrbd1mhbQuzRQWm6tXc4fl8vl9fX15e31+/eXj/dzBHm3VntvHUOiRwdE6UiaYnKAFuRhfZ++484qejiNP0PcT3MhFqaOTDT8I1R8MlGwU4x9YFwAsBEgfk5TztZHxLePvpSheIqxJKG4IChsnPs7XxJhRAzr3Jh5mXF8BI0x09poeMe/4eaaEA0biLKhoNpqt4670ptpEkQZA513lPaIYIEu0zRPk+Z8PByX5VDKlFLaar1eb9u29W6tNUenKe/yb3MSCkeLgwlLd2utmTsHCVG3Zu7WO74FD2+1YScmGjzHbssCK5twVBE8pzwKW2BwYpGAZw1BN+6xiymYCN6FWhu6fFNOQNXA0xLTVKZ1XRHXI6KHZYGXagTspEQRZSrdXJivtyv6alQhL5DhBET6fwQzq2i3XrcK0GyapruX9t5dU+umqLHb7/tWO/B6QrMNE7IlcOKh3pLuVW6qMTSpipcRsz8G8d6ttVpb+/r16/F4/GVtithLqqmNzgAmYv5H/81/p5pqbZBFEaJRVETEI2BRxCPK+xuC64V5qKySppSSOaJHh0iUiGqtFLTVVUTHH9cdY6yZuVutQ8M3MgzCYRPLKasq4jtUFVEKQ/4khO0GIag//vjjx/tHtx4ejw+PFl5Kbq1v2wZaOJeMJFXa/ScpaSmlNQsK65ZyyiX1bji2iFJJaUy5MUQwwmIenDSsmwV2b2iINGVzn0tJmp+en758+frDt29Pj08//PjD89OjqIygaIF8N9glBl1K7vT955eX17fXl9e3t59v2/W63swtzEEi9daz5nDTxPjMg4MolDiMRBWKBSZmkdhlxkKsOd1NicKcUr7cVkFPnnV4C3NOSMRzp2kqqjpNs4UL8a9+8+taTUaMXRppkUGSNH4JKCZl0aQpp6RJhURZh64tFA3xAhR87yjfCVJmsgENjcMVbAz44QgsAnf1H7CH0Qm5bbXkUkqOe80hhWAU9TEPhkev/eXl9f39/eefv39/+X69XgFpbFtjot4aMjIhGe/WKBx8NWTMg5ilCA9miTCnMdELDMDD0YrLgscKiK9nbDPYGIJx5SmpiCrCyNq+TwQTNCeOiUzGMufMbHsoEsRYYU4s3TvjY4V6RERFsAEga2xYkd2JwqGWGbyN4RO1Dt8vnnG/3W611ggbKiDmXLLKAKJzyTL6xESEk+Zc8jwvh+NxykU157L03m+367qt6NvA9oYkWR8pVdy9CwnkTywj59WiO4wPtRKzWe9bHVjKngqOdAdG4jrDrMqyq8vwxyIa0vOU1Mxg1kW5npnXul2vN02ac1mWpW5bygAYEgrDt63mlPDGIewIrnK4cKFshG8AnonY2V1Q8TRciexmOAyhi8HTUnKBAQJsMhT6IJNERlvytq0R6F7ke1VZrRU/L54BdLRAkyLKuPCwZLTeckoRhJ+9W//85fPD6aG1dudIaKyQJCrrbSUiFum1sQr/0//L/51ZEWkAAiDcgXPRvn7Knj9zp6SEuXcLcpCWOCbGZiSMlCIPb61NZcJH0FpLKdlQs6qq1rrRIF6i1kpMrffeOvColJO1zqIppYfTwzwVFpqmycyYBeA1uorqVlNK++WR3Px6u+VcNKePj/cvXz7X2so01W1jFnebyiSatm3Nmi0MActt20qaWEf/V2u1N88lq7J3S6WIKtZNIkaQF04Hc0MEIMuIdjD3Ms/TND8+Pvzw44+/+tWvv3798vj4cDwdlSTIkd8SQdYtayZiJqu93m7r+/n9cr28f3y8vrxertfWmnUDvhNBSRUbMRrTcDr21klIVIRoSONRg6MJiPN8WFQ1lwLCudbazRGbjI3ner1lTSlnCz+dTj/89ENr8LirDwUNJ9FcCtg5pKgmTQjBzinlpALHOmFMI6YR++FhTMTKwiP4n5mJR70RAHOsAcIyrDj4ZYR9B+2h+JoY0edQEwB4UR3JJjvqTk5I7RRyVMTEum6vr68/v3x/efn+9va2bVCut9aqG1lvZm2IaXzvXCSC2RigEPSI3S3MBvUXoz95TyKCHmbcgQygG7DRvkVher3fLhaOKgsEoDIzfgSmkY5kgTDqcdm4YzcZUAtGSIyNvzAJe3XuoMFZwwyD5xCcuru7plGZOfqH3c16bXWDehJnLDrviDUp7Sb/lHPJRTWF91zm5fA4TfM0laQZSSe1tbq1rdVxECNok4KczS26eBj+jrn13jmciap1wkLg4xSzbrHHRGPnRZhOSiOjDPMNtOtgO5FAB1kk9jnrvbWGnIblcBCRqUzYP8aJHASxxL1ZfsBNe74mch3X9cYsyP8B4F5KYZbWKr7tUjIknRS0i5R42zbYNaE3vaP5tH93WIa3bcMPiOMROBVWPZF942Em5lYrfuSIveA6Yl233ltEPD0/z/OC+E8Pt44s3nEqW+/jEfAQVCl016z8T/70/9aawco3yPth0VYwElCCjgVm5/fuV25EbNt2Pl8eHh4AMSNPtffuAWJ96IhwK5Rcem/EXLdNkwrrEDCJ4MHtvbOQSGq1egREu+u6WW9YhUrJIvr09NR7e3p6FhFF9VJK67ohaCUijodjd7iulSKMPKm2ZtfrJedSpglicA+MulA38zQf1q0nUfOONTTn7BbE3FpliqyplBweROHhvduANDCUqCIXJkiahQw+S53o4eH09Pj46fnzj7/64euXL4+Pj6eHp8NhAWwY1klCZOSUoRehbq3W+vb2+v72/vbycrmcr5dLrS0I9TQcEa3XYWQQIabttiF8OKVR16ciiPIYeRFBOedpmke81y4emOd53TagNWutHp5Shss65wTIYprnkjMRtdpFZF6W5bAwM0uaprl3F2VVBK0DkHXZFdYCHIhJmNxCJVl4xKgViwhhskALghOxkAAAwcyEU140XS6Xw+moPNYN74bABMwoOI9FRgBJjLkHekoHPB0Rl8vl5eXl5eX7+8f7+8fH5XrZ1hs80b213iwC4Q4IywTaI0xs4RxkZnBaK++Zl1hr3GIctIErAYcsE3tQhFl0YeFILHsQqRuAMroLopg5ZDSdgT/ALBaBiDfzvl8QoQlRstF9IyIhZabdEdspKOuEi21fVgKrhsWo/GutuhurhDnQiQiM5b113A3h4bprXVQladasidU9VCcWFCLx8Xha5sNyPKSUcp6YeK1ra+22rnf8wW2AwMERQVvbEG9Cqr01oRhRlxQYjR1hpU5ofsE3DBEn+A/sKLFLD4QZiaHM3HsH3369Xs3s8elJVSEH6r37mNtCRDB94/HABZNTcnDCQSyy3m7mDhloKYUYDepwbA3HLzE7GEqie6e87udhrRXcEsaynNKei0W991q3bsbEIthp2H3kRedcIFYc1ZUq4dFaDzQXiUAGvhwOOqypwyPTWsOw6xStQXIqeDx4f6rMjP/OP/yvMGHkkhmIG3OEAwuLX1LjB/wEt18MpRADPPn+8v3x8WkaEYaYUcYWzMwIz+u9m1tKCR17uGZyLqrpHusaRAjsFhHrlktGlGNreDU7M53PZ/Dm5/OllCLC0zTP0zRNUx4NfFNrrUwTzNPTNG1tK3miiJSKWQvi0+n09vZO4cSMxNS2bvMyH0/Ptxseu46zK6fsEehW9W6EuYxpXmYOWtcN30qtbWDHQWlKKc3ueyIfq1OoSqubB6WShFk1zYfT569ff/Ob33799sPn56en54fDNEMr/cvJZQM0x3x0W9fbdX0/X86X8/e377fr7bbeUBwPazmCSrCB9tpUpXUTJu8tKTMjd4WEuLvpjmZ6OBx8OWdkcqy3DRJQnE0IyAUVlksiEmIqZVqWQy5JNBOraCrTJDz+m5jIUkrEo2dVVSlciVXV986yu80Y1NfYCgalKB5GQR4hTIBIbts6L4sywnvwnwgetfWYtxlgzp2pgzuJd1wGB6uhyiZi2+rH+ePj8vH99fvr6+vb29v1eu2994GWIbm5Y7wmcBERHqasAuzGBjTquADYwwM/4z1t0D12eCf2Pw9uiOHyx7EuLI4gxxHnOe5sFobEaGfm6L5ZoFEnohOhKiLuzrJxD8Wu4/ylLiGcAhmOOEeIgLfYuIqGkNxrbR6QLUVtzWoL8iRJVKK7iLjH6XgEOZQLOjI1Ip4/fZ6Xw7LM87RM8wRF5vvHZV1bbVUTE7Nb1F69dxE2YveOmJLe2s5qOgpSgJsh7AHWKjRWwhO+o46KOAp80Um1NXQz9G1bVdPDw0PJBYUtyOrzkU4RU5nuDmMWMeswBKimbo2CRHTk5ZnN0zTS2YasAVKCMdCrCAxo4wwZMWIB8imnUXWAuT72LKxt27qZ9X48nnD8wvEAZ/VoiIy4C8C2bWPh4/F4Oj3knIGB616DU1vNOffWp3na1g1/H4mkAPNxhhNFrZX/1t/9RyknCAOgyhJRHsNUECE+1t39nvAne4cfzh2KQGHQsiy4KvBs0X4ndzNAZsidwecGXhvV9fuSISkjz2A4C8GB8K6Oxye+Q0lQl1mEg+8+ny80ut/SYVlSKSVP7vZwOpn1lPPtumqCO3GKPW/ZzSVr3WrJOaVMwknFzEW5bi0XKOgNXxpFTBOcaE2EpmkOdzTD4QWA3ZQoiKDtgfZdUsnKjLhQYqZw6yFaTIiILLzkfDwcn06PXz59+umnn3788cfnT885l6lMgA5REwYwgZhbbzJpb32rdd2219fXj/eP8+VyuV5vt/VyvoQ7BZ4JirCijJcKZ4e5oS0lpUSE3kBPSTkJW1yvt5TzvMwisreIOLNoUnKSlLCllmme5hmNaVoKBoucM7OkMupnSymokIVHGdlBETHlwvuEPKDG/a3gMb+P1gAJwocG0dS2rcthgXpH4VFQ3AIDPEL6QuwB6BEDUqd7bnCMKZgwDRF7mLkbeYTXrV6ul4/3j/f3j+/fX17eXnqz9bq21t0ccJyhvqoPqxEepAjSpIB3sR2CRt6za9jN0e5l+4UU6K73cKzUTBxkEQPECr/fi0MHCj1pMDSkkNgiW+DuISAiEBvQhjGjcSECfgUZ/8lmQ3MS5E6+h6yjWzEQosFB3cy843dsvfk4hT3Ce+8Bo1of6gyRlEvi4FIKiU7TJMwqcjge52V5fHic56XMSxCt63Vb18vlurVqNhRWZo5J3/dYSXc361C3YC7E0YH33cx6bwj9hBSSQQ2T51xwbq7rBs7/4eFxnmeUsSDsAWNlLpkiZG9MHPCdcE4FQwQyNVHs1btFjKX2DyMXeUzUIsK9dd6TrscFzmy9RYRKwleTcgqP6+0KoC3lvN5WnPI5l6RKNKpbUTWDSGrUTFLQvMyHwzHnJKNqDR+U4TftvbOwmbn5PE+o0ai1Yf7Ytu2enDHIiX/wT/9bs2it3TOfceKg6QZ+Fgz1447E7zMA0wFN9tbKNJVShjwZCg0RJpI/sJKBCoZmOeXk5mWaQCpaNxHFnwEkAcAoRCbErnq23tdtLbkgDimIRDhpuq2ruzNRN2ut3W63rW4jZt08lzJNZZkPp9PJzJfDLKK3262U4h6pqHvklETU3VSFSHJJSEiG/a03C5w4454c1nA4advWkOA2T5O5i4r1YVlMWQXzsBNQ7Ax3mSR32RNUOOWJgqw3iijzDKfJsiyfv3z98uXbF0BGp9PxdJzK5OEizAroSSiQWkoeYRG3221bt8v1erlc3t7fXl/e13ojt+imkjD89t7wcblb7GwNYkZKTpoSVjEhdndVmeaZgsy9rhUUn4fnlHMuqSTNJU9FWSXtcSIl55xZEa9CYGjg5YH2rEga1kqMUL7Xd7HwjoUjKksYskKF37C1bZ5nHIm7FHtQU7CZEQuDOMXLOf73FznmHcaMGM1FTkB5MPUMwZCPRBF7e3t/e3kHavTx8RERt+sNfYPeHS4nANYQjA4ywwaqA/0f/F9DiWoc5Pt4b+aOYl/8Mh8iQnHrvO/QMCAHENz7vYmrYvy1H/0otdnfUUWr4vgVyAUQxyhByFeg+69nHkkYwyQBWSnRkIGYoYEh3IGtM1HvFdpQHEGpqFJKSfM0iYgQp6w4jlEy8/T8aZqm0/Ewz4uoSNL1tp7P59u6Xm+3tjVAwcwsrMTRd50Igvh3HK/vnQfETK222tqugWmtVd4bV9y9lDJN8+nhxMQeLqK++8WYOZfi5ikn1BHjZJ+myXpPuYRbax0vu4j03nnwA7ILiGkfTLm1VnJurQ00hak1kyGmltb7fRUDE+nmKee6baKCDxz2MY9Az/b+lAYR39Zb0jRN5XA6YQXBAoRfYGa9dZyQtdZ7Fzy8C7hOhvqmG3RcbtZbX44z//1/8t/K8N3xfT3B5I4/NPRqPKpIGOvDeKato+/0/ePjeDyknM3GLC/7NZhT6ljo3PGHy/uYj4WDiHNK5gMRE5FulpKizRW+XywEUynm9vry+vzpWVWvl0vKqZQJATKx57S02rA91K26e2t1qxsRXy/XbdsOhwO8iI+PTyySUp6mSTUxkSZprZaSfVyEUL/gx9faW0o8lYIot/AoyyxE548LPvepTKoaBCKAghwVvjuqRnXbVJU8Uk4ENykUkywh6ubKozwSWaHEjOjNgIo852Venh4fn56ef/zNrx+fnh8eT1OZSilTSeS+J0OPsrDeejfbag2Kj9fXj/f3y+Xy9vr+8XFe62rdiNxwlpuThLIQsyoC3Gk5zLQveb7XMQoLotUx4eaSKaQb4HMTcMJTSZokSc45lwJzTUpZRDRp0qKqWViSqiYSxt0wZi90dVEEEWgrPNZucFR5b/1wPGL8p6GtJqwQSJsZdrRRnE2/jGmBwxTzNOi48agHjfawuHNmNEB2WOjN3Lp18/V6u21r3bbvL9/X9Xa7XK+Xa211WzewQbVuxDyQIx+fW4ydY1dLGwTFTszsBjbYAyqd/RuksWOHDysAAuda/NKKM1b04bAbze9je6bYf/CIvb+BYW5A7WVSyFFsV93gDkH/Afb6sSqxqOrwSYR7dHhjnUKIvbVaN3RRuQXxgHBbb+FRSkYqjqZUJKUpUwBCjKmUh4fH58+fSsmlFNHEwm3rl8vt9e2ld6u10VBljQGUmbCB4Q64D7wQyN1VV7VtoLqxeBJDQ6j3maDWeh/Dp3mCGP92W2XMHowDNJfsFq3WwffkBNFgyQUL8VDbEvGoqSPr5maSJKWMWQJFNPhYfBTLBDHdbrfwyDm11hGIrUlHza3ZnpzqvRvgnafnp8PhME3ToHysowwOjmIEPMTuDGAW4CLjgkevBktKer3dzMy7zYdlmkpE8D/4p38K69OuUoj9vRIzFAn1oa7AyskMtgCHl1uoyrpteQQMOFRDOPWICe7H23XNJfXWSymqir0Bm2Psnq+IMMStxKC/ZY/Dor0Zrre2rdvheLiT4BjSzUdGG6jz9XZjlIUi0ySgjNd125BgtdUG1VSrTVMuZZqnwsLH4wFo1TRPyrrVTZNOpTDrVreU4F4nQ5Sfcm89adrq6hbL4VBK7t17a3xPYI4AkZ5ERsyTEexXv6yKzA6HSw8i52AWSQXNEhzoERViUU3qZubR3IO1TPl4PD09Pn758unr50+fP316fn4+nU6wNe4jhGtSM7NumGIi6Lrerh+X1/fX3/3udy9vrx/vHzlnIdGktW4YA91NGPIPSUXvwby+m58jiBXGkSThzCn2nRfwIAvHkPamXDIO91SmpEmFy1RSnmCPYh7EMTx6OARFRIQ9YhC+RERk3ed5gvwRogSc4fh/GP1f4/AbpSh0vxMQPC+Mn+6+zRIxK5uPohnUNiP9yLrF6LQiCN7BvU9TofBe+7rezpfL+Xz5+Hh//3h/fXurrbbW61Z7N/LoaADrI6ILyw2MvyJKXmNvkojwGN1oOHnpbpUat1OQoYJ7vwPc4n50Q0obw1JAIHtGABPdkzL3fUF39SSClEfo0z12zS1sFEkDPRvXiZs7wm5dIswlwm1XtuDMJblta/det+re3czMScibizKTTHMppUylpJzRppJLfnx8KtM0lRluCRJutV3O17rV8+WMLw4eT0DH0JiApa/bums3g4m79XvXt48AJUIdk4/GmI49PmjIK2Vg60EcgDFx1hPOdJhsRUSYkXIaBCcKvrZ9YaLz+SNpnqYCOjNGFQTAkhGqZm61bkICGqLWllQV7SPWsVj0bh5+uVxyLs/PT8fjEXfYwMHGgC5b3UTEfZz1WGtUBOhYbS2pMItZZ2YV3battgY6/dPzM+x4/A/+yZ8SDT0bOGgot8C+yl6P5aNZW0QV+hyzTrTLdd1zHhxgHRaBBFb6vgrgjz7PM55eUQmiJInGEMfQCKNcDTKvfTUhCkoprdtq1nvr8JHBq5xSinCom2WPc3L33jruZFRdt96mPA1TNULyBl7UWdh6b7VudTPzCPOgw2GhkJR0mRdNaZqXrFmERIfqq9aN8MKEaJakuY7NQ4hotEjSyIIX1TBDH2m4iyawkd17LlrKlKfSW1dNdauYDVNKQTRq2kRFFEfbmDiCJKdAkhqRk6sIoruenp8eTg9fvnz56adf/fjjD5+fP4V7KhPwR1EODxJXHgFQrfv1dutu/+x/+B//7b/7N715yvrxcXn+9DAoROAkPrCRpAk8tbuzqMOvIOTmAVkeJNWqTLRtFcZ6UUUYci4TK7dWU04pF1HNCalcBQ05iI4MChzWAGpEdJpKa11EDsviRCVlZt7dZ6KISCRGd4rqLys00MsgZxLaNQoDjRmMDZPs0/cu6QmniIAYqWH3J669RdA0ZUIRyi7lAxCNFK7r7fZx/nh//3h7ez2fz5fz5Xq79docsFL3GGauMOvkzsM9NtIgxgmOP5nfG5Uxc1NHZj2DB/ax9vI47n3PkwBTjaP8zsaNYYiJeLcWjy3CEa5AzOQOCzQJmUeICwmK6rp1/KlxP/sgbrAkEhOxR57yHYVwMwqU11s3826326212ntjARcrKSclmY9zTqVMBd+kppFx6x6n42nb6rqu1jszw5SK1+q+abXW8S3jkau1jtOMWVR7b+6jWtrMl2V2Bwcm7lZy2WqdSkEYAZ7x5bAgUYZHmWi01lU0TxkwGiQMeMjdfeRcEb+8fJ+m+XA8YOfz8KSqmjwcjKWq4tuHX2zbNmAexAO3GGm47inp16/fSobfZaebGVIlW9cVh/O+KwzsEqCjWXezfZWkDRtPSltdmQSmHxEJCtnRBmZ3pAhR0IAv2SUpgq1jBJ2P5WI/ZLOH99ZElc2meUE3gOaEYdzD4blY163kjN7ZrVaQOdM0td6HlWzwikDuIOgg/HlUBFMQdvj9u99XfObeG6a/nEu4CwsJgYX3cDM7LAuAOlGNTrkkXAC995IUgE94kePRkA8XFE7mHdG7rW6142YWZT6eTqfTsUwT4hnKPDWrwXkXgxIyQLZtRUQ+i2xb1axClKbkTgiGTJqFudDk1tvWau2qbM22tSIrp2dLmqAeAXdvzSWJqMZQKBFqKXo3YU4qStzNz29vb9+//6//6n9JOVvvy1JKWX7zR3/08Pjphx++Pj4+Pj48LMuE/pMIYoq5lOb25//u37faw+OH3/zq17/J1+3i5mALVFBXyd4jl4xxGs17orKkHNaZh4QOX/3tek0ppSQ5Z+jP1nXb1m1bb6o6HyYO57C2ttWuQL1zGo2dQFcziolYyzRAuZxzKVMSRcwbkrBKySpKu8reWVgFLgLMDiKCfE8SMessEvvLhAkOzx2gFOwKHmjNpGY2ONiI5oY/Z0SoppRT7MgYCykrJ6HgPJXn52eowt38erldLpfz+fL29vr2+v5+/lhva2vNmns3CgPHbtZdIsJCJXznIxiiKHaKsO7hoiko9uVXIgzTMVGg5RqTOny4zCw0Xqu7lWH80uG1CBHxIbkiaP9wkSgllUCtK8ruM2cPJ2PGahVBxO6d7/WZ3VIuEZGQoiOcdCKmzASO6nA43JGQrW2ttSBvtd2+31LOmlJY5FKWwzKVCSrk8/sZ/wryKty89Yb1L5fCTLX6CNcaFgzWnMTcAzE7wjISqHrrGChjOIcjmFRTSs7MSZMoQzMDiRl08ITLlQIbeW895xx/WMotrJyIyc1yKcAPiCgIEgx3b/j14EEtnIisVbizghyM0bquZuYUT09Px+U4zRMP338b5NGITSEEb8RYKNEBieJPzOvAACnckO/t5syUckr5lDSJ8O12A26mmvj//F//qVm4h44kl6HOGJKJga3TXZA8Qob1l05naK0Oh8MODWG9He6w1pqo1lpLzuaGVQ5UNfOwxmEYD4+O8FtiZMbi4gEVhlJX632r9Xg43KkCgFFpLzSOffAJp26t1nZYDixc60bBSTXltM9/7Pv6xkLWDH59wKARqI4ak2/WtG5b3dbWu3vvZmGhOS3z8vj4QCRTmYgCxrSU7iF3inkhIpQJzndyVpUgYDkwtXNK6Xy+CLEkUVXrgx4PCpUEv7v1QUSrgCAZhVxOMZUyVjf3boYpVzWxsjJZUMpTdyz4NOV8OCyfP3/+9a9//fDw8PnT51LmPOl////472/r+sd//Jd++9vfaE6S5Ha7Xa+31tv72/vb69v5cl5vFdCk9R5OI0nJ3VtLSYPxXFqrDfz8eHhi+EhyTkT0cb6kJEGRcs6KU30iYlXFg9G2hgkUuIdmhYZoKrMmWZYDAMaEpUFEeE+P2W+ggi3FiZmERZPwLmOADHYcsMBTMBFHEI18AQBISDRr3ZMoqyA4JCJOp5O7lynRPo+ziJkHMS5sHvDw+POzMEiG8Lit1/P58v729vPPL6+vr2/vr324lZqNIjbvhly1FkBEnYJ9F2OoeyBLkCJ2ZIbNWuy0d4RTOFM06yojosAGUMPkQRD/hDGCnghhJ0PMU/uGDYSJiEQlMWuQtd6EBCgQDzmVY1slJ8ywZSo5Zw/31mNk14R1ZBqHKLfa0K6Kf6W74adFUgVOhiCep4mZ5nkGuWpmZZoiIqcRSDVMoMxbrTnn8CilmBnG/IEciqhq693NWKR3yznBqVtrZUYUXWq1EpGK5FKAvwN7wDEK7TKKKs1sq9s0zcyM8w0PChT9rVZmmZe5blVFuhuOL3MbHSGavBsJ9d6g8qq1igjM2MuyPDw8LMvCItiYKby3wQaDg/EIRPLgVBlPNDPkKgzzlvu6bu4jNlVVS8m6m93O5/PteiWiZVlSSszCf/K3/m7KmYlzQT2C3CuJ971j8Gs4uwHmDCkVBdwQvfd5WVJKqon26uHd40P4YqBLcw9NgoBYlLnjzI0dK4+xoDE2NevGRDIKenrO2d1KKSISQcALdrA9oLEBZRoWW9vW6/r49MjI01BNqrTPPkSjSZyDNClwNyQU4k6iGCkc7g7i2voIZ7/dVg+73q5E1FqzZsihy6UoSy5lmqbj6VjyrElExPasK2FtrUmSrPmuWWq9Q3pMQa1Xs0DTi49momTd8pRBZqScwB+0XlW01ookXoW+CsaQaWqt9dZDCMSuSu4WqioMawLEMrGtKxGXUj5/+vLr3/zq17/69V/4j/73yzKzigjhczA3aIfNrVW7rrfb5Xa5Xa6X9eNy/vi4bK0yGouIEBmC41VFODjgpuoGWXqEq2oeGTVk3WrtkhWHOLOUUnJOuSCOqbetk7AR5IYC9VGZpmmapnkO4jxNqkmTqKSggCWVwkrOSRP8Pnum9W60GU+jY/6SfdMngn931GFGsLm33nNOHgGoQZhPp8NWaynJuuWkOJJAfvSGiulAMt7gmWXEYXA4sJOI4OBufa3t/ePt9fXt9e315fXlfD7f1tvwTHVzi94aLPdQYbk7KAom4Cvs1j0c1UYD6h82AtQY3J92/FDMQaOvgQACu7kJiaSEqBoPq62JMAeHMyk1tyIZngwRhgkecNmQ4pCQkJuVaWLi1qp3IxiJRTAKwNN7f+lweZjv9AZx7z39gWJ9KsXdam0e7hb3jnVRKaW4+TTPYw/SER7Te0OyPTObm4qy8LpuoJaYBYcD4Bj3EGF4pFE+bO69NfTGEDOEOqoJtwIIW7OOwLiURt9G/GIA9tpqKQXl8uPsEq61hUfKCtGxu9/WteS8ruvH+awiy+Hw+Piod3Up2h9HaFWoyrZtKgL/CfMIoo4ddMxIGAwy79Ad4BUDJXA4HLC43G634XKH4D6l0+koIvyf/bW/hYABj5HxKaJQevD+wgBsQTbFYOR3cQX+ae+mSQf3u3syRZSYsAmD+wKozbvmYLfcDxF01gTthyaF8xyDiZB0uDmSCvO21bGrRiSIijQFBfIY9v9boSFprR4Ox4hAid1dwoUFStM4Gna5W1iDb37kOvnuRegouAA+6yN1hPcyB+sdfP1Wq3U40blbF5aUk7AeDstU5jxNSfI8z8LRzTwcQTqYhoD/unu3TsQJ3YeDAxluk5SyihD5NM9BATns9XYFpIjV+HK5ImoKxhgVqa3nlCQJE850CJMEnxWiI8IpT2m9bYfDcjgcf/NHv/3tr3/z+cvnT8/PD6dTSgIRtHULHr5HCq6tucf1tr5/fHx/f71eb+freVu32/XWas8pYcC03jkIV6mq9N4oDBEFYBrBcUCeD6B/RNoJJ5WUS0qZSCK6RcAhjFE9KMo8a9KUcs45lQRzbMnFIlJKOSuIaqy2SROLiKK6QHfmeEc46X554RGBeraXXGprZh2v6OG4rLWOjBCRVBKCo9y5NWMiZtZBp+G3ZQ4EZ7roLtAHhM9sMP16ENG6rdfr9fvL65///t+fPy7vHx/burWtUlDvTkF129wMR7xbGHVm8TD2XcNKYBSCwjnY2AOtyPTLUAfAa/x8Dt2pGxpBnJTVAkiwM7OTJ80c7G4etn9IFE7dOpErD/YleEzotW7C4/nn4Tag6G5umkSIRZDH2RPgI+Gs2gcUZrW2aZ6TinWrrYtQ0nS93ra65ZwpCNmWZSox1EEyz5O7b1sFeAj5I07Ddb0lTfO8EBMzp5ysAcojnFoqEkStNtxMw62y1xsgZ+J2u/XW52XOOTPWAiJA8DgwwZvWdcvAsiKwduBzQMZsay2XfLteW+vX6yWIPn36dDwel2W5D5p4WiICzgacWgzLLjNe2DvZ23pPqrU1mBVarZoSqj4OhwMSJlJK27rhj6pJl3lBvxuCSnLO/Df/7t9HywLwH6hZPajVbXQNUqDmc9d4JyhDhk5HFRVFgyGBnm9PyuIdVwK5QUQpJQhvYywQySO6NWZWSZgvRFDZOiR0PGhbJmI0qECTZN1qqzjsMIlAFzXO07HgjxqD8Vu7A+W620jH6AZBOBpCmIliD8KkO4EmIt363qcq2NNx8sIY1Vq7ixHbDh9tdWu1bXWDVQ8LdclpOSzH4zHnjN9bdOgW8KO5jxg+gmqNiEkQv5E1wcRk3s0s5ZxSwjqMixlRt+ttFZZ5nnHJhQfJ8IyQU54yNJm9NRFlFWUBnJJUt1o5aW/drDHLvExfPn/5o9/+9ocffvj69dunT8/MhDE2EF/O1LshJapZb93OH5fb9Xa5XK639Xy53C631mpDBZImEfJWk0gEudnIJRf20es99G2M22Ew9siL5zxliHeFGPTscOgKM3PKuZQskso8B3POGf0TqgoXKCJ2R76dovqCiSKnNDbdMZE4yFEWQW0D0ttTySWlNOWtVjDFQjwvc2+dRPpIUgkmKikJElTv5B2RymBPxxfNsUNIu1INIit3Yurd19v6/v7+/vb++vb2/nG+nM/bbXNDZEWttQ2dXhi5IT1mMMkU+4zl7s5O0MiwsHULclxmu0UsYgSWiXsXESBPROQekphDKNzGxP7LBjFgLiJ0rhEFPtLWWsmYqWNY2Ab3zKLSa8NxiQuAGby/RAzJk3UHfwMItLeOl6vWzcxLKaeHB8wK8zTD9qCarHfMQMyUUtq22lrzUVFFKaVlWZhYVBBegOCgCNeU4ChSEQAtpRTigapjBm21EcXxeCSidV2TKm4g6F/vI/96W3E8jjxz5fDhzMLker1eL5fzNE+fnj8djsdhdGXu1q0jgEfcbNs23xUBqmnEQjCP6yoC3zuI5tbbzv1TgQJbRJjXdW29HZbD7XbtZiKCebS1VnIB5jHNE//tf/CPrBuqQWutOSe0H8QwfNhdyyx7Wikeo2maiFhUmCiXaV4WkMN3DQM2CDzYaOBjGqijMJSgLqJmNpLZRc06USTNd6od1x1AurEL70kd90xXCjK3na8m/KF19xinlCBAQhdjztrNMWACXGOGoAggMGEPlZ07EpEYffeBFxqdLWaWVFPJ1nqMZYh9FFOMdw9PD5qzlBXUX+uttdp797Ccs4jO05xUgaEBOssJSyh+igTuBOw0blQLYyXvTkLoSIALX4ZkewgasRormiUYnCeuQG6tE9E8TbR3463rplnTKIhOmtOdwEAqAiamnNPxePrxxx9/+Prtp1/99PX503xYypSZxzrce+B6ZpHe3dzrumGGen17O1/X23p5//4S0c2iN8OcCMOjpmGlHhRZBIWjTRt+hArOf0SmEIvM8wRfe+xqnIggSSQyLxOTzPOsqsthEeiQEMWXEmz6TBBYMZMoSxBy9wIuDCgOh6qVeF4mvOGtI8PHiqb5cNi2lVgww0Y4EZWUc0p484XGvizgs3AaBgWH7Q3mQvsP7shsYQvfAR0yj1Z7qw1mtOv1ev74eP94X7fV3HrrdV3d3bp173gRem+BLNLBAg8KYASx7FkvAztFzxiFO1IHyBDTFMHC7GzU3J0DNA9wfxAJg6WD1hFHNgLhd2Bt6KHDzbwDZx73JMKFUtIRdA7xK7ujnS1yTm13/14uF2betppLPh6PvfWU01Sm/ZIbti98O0zcOkrBBHGKInI4HDA+49xgJlUdgz/cTszgLIlYlMMp51zrhicNR+K6rrghUtKUSmsVBxSUDnWrSJgAVMU8Qqi2dT1fLub9dDr9+MNPGFZi1LyMZU6T9t5APYKWQEUazjrgLpiePRxK1vt4mnOqtWFXcA8zm+cJpDczXa5Xdy+5tN7BlR6ORyxJosp/8+/8fcgwEZuO27JMhZnx6NPghHUPD4F1NNzdRg+DfPnyJc8TCPTx2u5mAugymHCUwBkBeZvvQnio/fZ0GMRh0SgWH9ePO3ymA5zFgmGOj9IjgFLF6GWJ4fXfa1T/kDXCpn+HYvHV7pa08QYiDnfHdYeU08OFGexC6633XkrJedcRu/duw/2ALVtA4g+nqAz4WZjFvffWibnV2t3M+rahWNhFdjRD83KYk2aiENWcC7OYmcpoCvUw2wOezLy2pqr4GXs3yN2Oh4OorusK6QURDTs76pZUIT3urS/LnFIhImUpy1Rr790QnY2dOiUkmDOGHXykoqzKqvn0/OWHbz/90a9/+PLp+fn5eTnMFCP2iyIMonunrTXVtNUavV9u5/PH5eN8OX9cPi4ftTakP/XW3dGUmcyt1tq7CXGQ45JTEdlrlXrv8Qdfd855KpmJa3OjXVAvBOWuqKaUSpmmedKUmDXllFIWYYIdiAVz+pAeEDNxaw1Hd+92PB2HoLAbEIMsqcyltx5oIiSCnCjnjIMNR5sy3xHnuGcfEZGw401Bedmu8R3/GE/oMBMQQI2x3ob31q/r9fXt/f397fX15Xw+17VutdbarDeIZ4LCu+G/jOsEv/1IdBj4qwECTSreGogEBHYKD6rGhy2AsfgxSeyv4ZC8REQYgs/Wbc2arHdDHDQqe8mdIiXFiae/dK9zzhlbgow0pLF5iwhmF5x61+u1ty4qjw+P3fpUppwzCbv5PR5mLI5DEwnoFPmSkjWhKBiPhaqmlFut8MdCAQiMJSLcPBdIWhuyJjHP9d4AJJv1eZqxMfTeS8kR3FsTlcv5KsqQAF2vt9fXl3mZvn75djweRxlyhOCmQT5oBOokrUPTRcg46tZVtaSy4w0j3eR8Prdaj6fTPM9wAICRZeZt28IdT7Wq4J/erjcUogErJqKHx0f3qHVTTfw3/87f8xjJ/hCql6ngFG699W6qklOGpQ2rrIhat33hYhZ5fHxCEg4TW+yGNyIWRnPkfYXHO2bmhOVrt/kKYz/TCAeBA07YzKDyBNIiTGaGHwP3OZa+3k1G45rI7hETkVbb6JkjBiFDOzSE1yOQHSgioh6WROFjAnpJu+lmUBfCENgy8/V6WZaFdhnvniU16hp668EhJJKEA666XXs9fP+ESeQ+JXVDU5K5+1Yr5vptrShImOdFJS3LAtn7vExjqWLx8DKVsPHQm9k0Teu6mvnxeIyI7j1JhgIHDg8fEWAhLCqwbkguOWlqraWkucwerqJunqdi0EQTohNz7H4cJLaIqnEOYu+b9VZKPh6Pj6eHT58+/fY3v/nxxx9PxyMUeKw0VPDoBwkcsnK+XC7n8/V6e317fX17u92utW6DmEH1NisGXCy+tMdhDqM1lO/7uUawLxFPywR9DjgxXLS5JAYQLVJKmea5TIVIECwYMBOgIYU4ImprOeVpnra1fvr8CWbUlDKkhymlaSrb1nYmDHHZknNSEWUWliBXYUJQ9sDLR8ADKYeTkAxj2tgTxMKH+I2Ygz3cuu9QNYErDIqRfUtoGO3n8/nl5fXl9fvr29vHxwfqULxbb926jTDRbkHh3UUx+O6oEcA3+DFjvJdIpIgIIlFmZE3f98uIcCbUrxJReM+5BNG2bUIUQUgZGkyeYELP3YwHvuQpJSZGfhxRpJRRjqiqI6mNQlNqtaaUa90ul6sIn04PRAQliO71KSllgAH4WXAZCwvoOWFJKZvvdWzDakpQ0I7rPAhyjFwKfLPuw2e3wwDUaktZW23AXe+2WWJqW/P9YjaPj4/3rW6n0+nrl6+5lNiDoEWk947rSlhab9u63t9c4EXAn3POrVUcxe6ON7fWdrmezezHH38qpWzr2ncrQCnZ9uXGzO4VAtA1EdH7+wcOgdPDA/4Y7sF//W//Xbii4fDC8oIXZh+xJaecSrJuYwhVAdtuZsx6OB6+fv0GebWZIR/f3WiX/YCpQJNZjEffVZKPdDOK3bWDrxyie3BxfC8AojGP4w2HxDAIuUPhoxDcZfeOjbbuIBYU0TN+cWsVv5FbwCOHP7CK1F6VFX1JKecR30hIHYApKjJmAVzjgLOGk2J0ou14704tQnAS4+SFbsT2CyClZD4K1MwN6HDrDRK1WquH19rMbdsqEQfgWhVmylOep+XhdEo5oT6CmDRpBI34SREizhnRH87C+0sSEaEp5d2xZd3NOjHdbqubTdPUzKdSEPfNrAJyaCopFWiK4VBDt7sm3TZPOSsFcaSc8QgGCtHcVen506cffvjp29dvXz9/Pj08TNNCikYnZSaUlKqmjlAX97eP923dPi7n97eP8+2ybuu2bUkTUyA2zZrdnfRuNj5hYUlI6YqGmgSW7gYTmYrmnEBL1lpb7bCEgUYKopQ0KarCiySxjo9aWuufPj8nzSmLNU9Tzjn3ZuExT/N8mK/Xm1uMhCiPpJpzVrnrJ0j3KYGZiUOGR5wI6Yp4XJzQYhDuIsnCBRhCcwvvtUeEJIXEaDDMMurq8F9WkdYNVs/1tl4ul8vlcj6fP97e3z7ez+eP1lqv3bpRYPK33nugF42RJdAxqUDsH0Rm3dyEFUkK93S2PZ9iUHSq6t6TZg+vdePhrWDovCFSREwmRkBoiIBS4ngiImg3Wkdi2Hidc8q39Qah/e12U00558NhOPaJ2brd1tthOWhSHOVIJ8NED8BkLAREWNzB/MOidbveIAWOoHAnYcCEqum23hADjK9VVTocp71BWqaqgFLxwLvH9XZ9fXklps+fP3/69AkrkQdilRmAG8xZkDXir2EoCW+1YZyHDupyvuCo2elV2tZtq1tK+enpEVvlPT1p2zYAACnp7bamlIDV0G4E2bYNWUbH0zGChLm1zn/jv/y7mHlpH/AhwwJxjN4Dd085QYeMvMCpTCyCJ+H0+PgX/sJ/3HepauvdzWurWMT6iA2IO5YyfF3IRRo9GLxLRVmYcZEyM6ggLG6wljBjBgc0D5Mqg8fCtYkXQ0R9yB3pjiYxM7MQh0pqrQYj/UawpJr1pElZa6+8h7UC7pRd5wcDYUSknS9FzmhEIMNvh33GaI/BAWpiM1fR3jecdEnFRnC5pKREjNsIl1xtbcRyReBnYRYObr23WmutZo04tlp7bd3seDxNpRyPp1xyhOdU9rYsNu8gtYR43Os0LCQYygbeWhLmQLgTe7dpmpmplLytlZWTZiJalhnk/HrbSsnLYQFYIMStNR6nv6DL9+7BYaZgUlUP4nBifnj89PD08KuffvXt27evX77M81TytIeWwK8QFGzm3Z2Y11o/Pt4vl8v7+9v54+N6ufTWzR25/xAzQtLvFEbBDs2i9G6jPTxi27blMJsZ5PaY6yOCmXIq1o1Vmvfexyc/qDxh93h4eCglE9NxOfrQjDJghDLPgICIZJomZhaSPGWBYo+I92QU+JP3KwHPi0Z4kAsJllqQpc5kBo11uDn4XmxjwoNLwPwxhA/jcBkdQZjqoYWHJAMpwaCUX75///3PP2/bervdtm0zMw6CjbnXzcnQ6zfkjUBb8awGjxIc8Los4UZMoEuZfZ4WeBqSaOuNmLo1ihDRCMupEPQ/HZoWHTFZBIHyEInVWuOeShkhI4WNoZeO8GVZhhdEebttA3hw+wPNOtI7djqSqUNosGcl4T8IrePtdgP+MY5nIvx9vAt7ktXgwyAhTUnNHVL1WmtK2lq/3dbz5YOIvn79djwdR/KzBzN1M0aYzX4wYvmqdRNRzOlujgU053S93sxsWebb7YYW+ECFmRs+nGU55JIxQeKgjsCfJDHzNJVuBj/v7XYjJhR3b1uliKRpWqZWW8q5t85/5U/+uqYsIqhcxz2W0riB91uBc0692Rg2RVRTTsk9WOTh8fE//T/8xTxPRIICDUAN4QSG6ratvfUIa92Y2XoTWKLDYy+23r0SIw00Bq8dzEzBbsYURoYlMQYjPbgRWCGgDirTRBER5B4y6tQ7LKY+VhOHAICJR+3GsMOQoycTWy3jqiNYxiOIhaEIHlMceWuNRaY9ZHzQkiP+lxBNLCweJqwAS603D0eok/WO53VkIsVIsNoPbicKBIBEhJnnVHrv5O7hqtzNgEfd1ttWt/W6gshTSYfDclgOpUxEcTydhFk01V51z1vFcwlOCRHWOWeK6GYvLy/PT0+H42m93TTpttVWq2rKOZmZpoyT/d7WXabCIkUVVqMYFb6jJ081bVtVkTuGhtvUGDRMWGsUlKfp89cvT0+ffvPrX3/+/Pnx8WmeSk6ZRTnI3C0swoQZUeXbtrWtvr5/XC7Xl7fXkYJdq1lHsk6Gxsm6ihJF3dDchPSCEdfh3UXZeidU7jEXJJimVMqE06217gglFfbwrbYpazcrZToeTqqJEGDHNM1Hi7Bu01xKmYDeCuT0vNdzCqPUMIaVlDloeBR24z72R4uAN7c1M+u2Z/ZMcx6gvHvKqirKmkvaZQpDz4qkFmaZp9nM9j7mMUsSBZOcz+f397e399fvLy8vL9+/v7ys6ybMreKIhq9jIES1VQQj4qVDZrMwe3RDzS6iF0TcrNc+VHVCbrDOiDl4S43w1jsLJ0nzPGN6yxA4uiHixruRcE4ZoB+87tu2BUU45ZIfHh5EBPoORfXCH2hVwOXeYxJgnoLKDgt3rdXhLta01pVxbuzKBQhhQKLgws4543ygcOgeEUpBEbd1e3t7PV8uXz9/fnx6nud5Hw5kQ63jCEKu4051a70rC0qDu7Xw6L0vywwx6OV63bZ1HnazsUL2biIyTcXvaZuK3hi/o/dBsdcYjDnPuuFKgxaulPLy+rrMc8p5vPjm/J/8pT9eDgfo4cZ3s//MIuIeWCXKNJlZznnbtlJKeMzz3LuZ2enh8S/+xb90OJ5ymUSVeCgBDOaUIA/HD0ARDUoFa22ta9t6v7c8DFOIImZm5DK6CFOIWVcm4ui955TuVytEROAbMJj37mXK4cEq1h0mMh7yC7T+MsBQUaGIvZcnhhbFUTEhdxgnYq/OIAJEz8TgprC1oC8U3OB9sk4pwQahoxCYmblZS0DVSzZDC/EwJbDKCF+j4ZShPTIMqWfuNFymRK03s6YqvfeSy7ptpeTbbdXdsOqDO+3rtiWVaV5EZFmWnMs0zaqCYxGALoCg1publzL11ljkcFgulytMMUhTKaXAjULk21oBuKesMo5+N4/DYcm5QMgLIxsuAjwAqhJMSsLMTqQpCVAyJ5cB2qScWzNhmsr0+Pj0+cu3r58/Hw+nz9++Pj0+pAF4ECuREwn17pLU3Gurt3V9fX17e3//eP+4XS7X9frxfhbhpKnWNk2lpAw5EyBBa+5uScXc016CDb4RWiwKWg5LSgqqn1ksotct57K26uat9UEuIQJ7KknTfDioIERPS8kiPE8zi6gCaMSCKli7M7Sie4Yr38F1YiS5t1p7twgSYqcoS+ndhKmutUxA6ZLmkUpGTswII+m3222e58PhsBfBDcQeNzSPtwCHeLTeuvWXn19+//Pvv7+8/O53v/u4fNxuq9CIEXT32ureaLOHhoZzBI+EwSA0ljggpgA3Y2bE4W4w5U5lBnXXraNLXFjAzwsLJDe993Vd8QhtdcOlVVtttdbWSi7zMkN/0XqfpomJU07X65WIIIKsrWKfIOJat1wK726k1ntvQ4dactnq5u7LvIhIq7WbadJSCkTGOCgwk2FC76Mbg8Pj7e3teruu6/r127fPnz6DgsZpNixEMhgIGp8bsDUipvW2Yj0ppaCVE0vAbb3ebmtEfPv2DUWScHSmpDkXAPIgJyIi52TdLdxguWABoS3C0zShZBdk6uV6EdGpFB/ZxkP4Umvlv/rX/4Y5JM/cu92XLyKCwzgiVBTOTLgPmDhpAnUjLMxKzIfH07ycDsfj09Pz8Xg6nA5zmSWrd+tmaI0HDMcstbacUvcOw4V1Q7fJXjrR11qb9cTERLWZipjVrCmrDmJERGU0vjIxq3QkceciMvpM8Z1B3oujduyGtOfFR8DqJSPRhd1t+MIgfEIAoYhTuNluOI74g05O3NKQotqeMw4vP24CeC55F2W6d2LOOY2RbK9x911eRcwJsSHuvMdkMot1h44kwtFYad0vtwsFTfMMCS96PXF/4APHBGQR27pt29rNkkpKWVWPhyM6fEou8CozczcTYk2p93YnPAYMmBS7ggicnx4Rqnm4oAKh37Hv9aQqbgEb7f2YDHM3ZxXBj0VMwSmr0PCVoF7dw1ULyQjL0VxU0tPD8u3rl1//5jdfvn07HU+M8Fp2CKwgwGMSi1Dh27bWrV2ul/f3t7e39+v1er6ct9vKzDnlCPI2wrMovLVNRDRBowVVPmYCRmWuKCptJImUeaKRPRUk3Dri6fcdLqWUsiSdylSmKUnKJWlKxJRSzimLwJ2dhFlZdtIPrc47McnUa4e+pfeuKeGJlSyOULlW4TZnZKQMjU9QhAi33rd1PR5PyzLTPTuIUKw2xouxpMoulvLAhIG8gdvt9v7+/vP37//+z//8+/fva93qWsO8t3FU4dHFDo2HNrpJ0t6qO5KagoJabxadmUvKQUEiFJQ0m3e8KZCuQVyAnq/W2vl8rq1NBX3DpbV2vd0Q15hSenp6wjXq5vh3SynX6/Ue4QArde8NhR+9t1YbgHWUAWBfxxJAd5fPaJXhaZ4o0O2FIW/UTA5VlfvPv//9tm2a07cv304PJ/cgjrARuA+xFtAod4eK/w4m+whrGVr5cL9eL7As9N4vl4u7Pzw8PD4+9t4VDSUI1RIZdrCUaU9TdgdwkmvdWmvzsrRaD4djSrptFUJPEbHePTylAuQT76ko19r4P/8bf4uZe++iOh6LCNVEezasu9dadbjdhnAACxEYAhHVnIKRUqO0V3TO8zIv8/FwPBwPh8Ph4eERPgUiKtPk5k7usBCOnHTvbgjV3mqrrVpv3dpWa91qeA937vcL1u9I3x66ZB5RSgFhsqv4+V4q4B4srMLusfuNGeEEYxJniui7Vuc+kI1edLxjQJCBIeCDgBQH98IgDDCNjXa9QLMsE4+vU5WFkyYEk2VNEcFJVHSobHEHM9OI3ccPopAkMVG3rsqtVRb5/e9+L8KPj48p5ZSSJq1bvcshhvqT2eCkG3K9QIHltm236w0iilLyshxKLiJ6Op0QlAgYDg7wXYWm+O+A2xOAGirea3Boyu6Wc661BdH5cu61TdM8LzOeYPRvwGkN2KzVHuaE/UtFBJlOiO9RFDoTc3Axs7BK7O7R3ZZpfnx8/tWvfv3Tjz89Pj8eT8dlnktOyPkazzG4Rwsiul6vqvry8nK9Xs7n8/efv79+f2u9p5yFubeaVGhH+Tq6ojggm2GKVJJi6TavrXpAGCYpJ1TFjnHBgxXQcDNzUS0llzIdj0cWySWlXMZPyppSBrNyhxDvmnp3VDVYbd27aUpJk4/Tm7s16zaV6XBcEmJ3e2dVlohONJqi4+HhoUDfIePoERlzD5gP/IVvGV1qxOTjtvBwMovWemv9d99/fz1fXr7//Pr6ermch3DZHTkH4WHR+9a1aNs2EfHe0WrSrSN9iDjQoZRLQUIR/gz4ucfwAYqrtdv1ZtY1palMuSTr9vL6QkTuMc/zsswAE+FUTfD07QcrxOXQuIrKtm6xp+4kiC/vAxb0Dq35XnEzTTOKsLDDwegbCGomqrW9v79tdXt8fHx4eDgeT20Pfsf7fk8Pw0frFNYM5xtSVWDTQYHgbb0RUatba+gCSwgFooicy7LMmlJCWI5qTinQ+0asQ+Zw/x15mgrylODQKjnnqbRagwIeGqibKCiXgtO71YbLkv/qX/8bzAIkRFBoyQPOvv8Fp1iMa4xAN0dE0tx6c4/j6WGaF9ioe/PgcHO4gs0QOyVunkrKKc/L4XA4nI7H5bDMh8PxdJynGa+Tu8Gu5IF07IgI67bVrbW63tZo7eP9fbttexuOA8IH0KYpgcDHgIDjGaMTtjbiu0Jm+HtVgfzY8El6v+uIwPnsonCS4QwYNbkAa3da++6MBMw6/jEzgZr2vY8bCVyw6kCzKCw5Z0ka7qp56L32tl7ag/gw79xhKfdWW+utofj04eFhmiYdWXjESCGPIfwGx26GEuZMwWM58DA3N4ug1mrrvdfmQSy0rVvJWURQH388nvDvTvNct42F8ZKsdVNJpcxJY8OxqHr/THo3ZhJVsKm9mUIUq4LBW1iDY/f9CrO02lprzCJMLAqNl6bkLiwyZXZzJjbyoVtrozw2l7IcD18+ffnxpx+/ff386dPnp6fHnOQPlqGAuBD4e+vdLc7ny8f58vL68vH2er6+t26ttvHdYe0iRpAvoHx3IxDywqLKQSzsEb136w5QUVUxCCB2YshgIlQ15QLjdioZ0moSTQrPw0CIYi+3AWraauuta0pJlFjMbZqmbduAHszzDG8E7UDPEPi7M8vpdMgpDXiT9pF/j7sYrvPB29AYhpiC9hDpoNa7u7feEfErHN3atm3nj/fXl9f394+Xl9fz+SM81tvtdq3Btl5XESK3WtvA3N3NY1omaPNSSmXM3SFJOUiS5jQ0COePD3Oz3iPYvAfqGM2vtys+w4iY52ngqzIs3chOH61YzEj1ybkw08fHqBNIqsS0rZuqiApC6GgvmJymycxKLlC4ta1pglE8utn729u2VRE+PTwcf3FRSViI6kDze9+FlIbQAcC80MthksMgCV/O7XZ1j8Ph4G4OYQLFuq7btk1TmeZZGI6QSJpgVxYRTPS8J1UAr84lQSF6u11zLozEi27ulnNRFUAaoOd+QU2QZ/cnf+u/aK0j5QFZNDv/7r0bM5ecibludVdxcc4FLBFEh+Z+enzI08SkzFJrdYr9GGW6i2doRzcxyJrXVjUnFi65zPNyPJ5Op+NxORxPh+VwSjmVUlil1w7bWZhf389u/V//63/99v4OrFNFW+8pJ3P7C3/hPxaR17d3mCy62YhkYYWi4U71DxoAXkcC5evMnBIxKy5bDiZmeEbuBJoHyskRGMfMA1HFcYtFGsIkYUU6At6lIIPUDzT43vsaEGAhBGHcl+4iklKGIQPmSSYWSfjju0e3rbWGDjmRhKoZiJeBXeB673trNohKSJgg1Q0LuJpBnoO9SKrEUmsFKGm911qJGa1LeQ9hy7mMPJYy7TbjQIQWOA9ku+KTQbO2sESQdWMmirAg+EsCrlSW3o2FESYjzJoSfBUctG1bLoXIFH1+7rU1lpTLJMEpJ4gLRJXRB2xGQY9Pj6fj6cuXL58+ffr29eu3L19FOaWEdG0W5hASxifQa+tul+v19eVlrevvfvc75PCAJhHmpIpIt1YrMWFlhNQVdDdeb9l140BT3T1QiteNmTxCdr+hiE7TFMyIDkyaIHCUPa0aJSQxlsjISVPOFnE4HG/XG3Eo6zQVVK0lVWHuHdcbuZuIPjychv9gRB4RxKgRzsIDCLXxZKMPrg+BsmH16r1ttYtwSrnkxMpBJgNEit483C6X6/vb+88///zv//3v3t9fv//++7quFNZaw3O4bbdUkmqGPrXgwSaK0fQA6E/cTFTOHxeiEJHW+lDHeW+tXS5XYS5TqVvNU56nOQLLhGhKEOxaNzzk5oP8dHcIiyFjQYAajiHsBGamIuuGFGjCogZ1bNJ0vV3P58vtdl0Oh6fHp3mZB0UEDwe61US3bcP5gIF1wNyIXdk7ajCtJ9VRZmzdzUopKeX1dgN9WErZtmq955JLye6Bn2iel95beODDEqDKPiISpnl285zTuq6oDh6dH0G11VzKHf1mJrzLSROOdBHhv/LX/oZHpGHIltbbSMxoHR8iApigSVe566WImJKmiOhmy/EwLYtZ5FyIojdj4dgjdkR1W1dYHO9IFuRlu6KOmFk0e5i3zkmIhYjLUqZpPh5P8zzP05xET/OBKH7/u58v13OZchBZ7+fzxc1PD6e/9/f/gaqax/effybm8/m8bmvdtt5tdH4yoBsfMRI0KmeFyUeyaR/4Dw1DMg3/DgPGiQgYC7CjIFAskD+6B+3C4iGDN97d8IHGCYpwgTmAoU8IpKaUXMK99X73bjAzI6KHx10TSDknuq7num3TNC/LApMzLrARhjoCFzEg8E5kRUSoAiShum14CKY9VAvXV06FmFqtAElhx0VUaqvN3NZ1670RUdYsSVVkWQ7Hw2FeJhixRRM8PhTUvSu09KLE1LtlVUcKxIhgC3AARJDZjRGEWUaCW8Q409kjqNcaQakUURAqEUSH5RDhtTZRKTlHhDkq4ANsl3sklXmef/rpx0+fP3/79uXp6flwOMLeKJrCOjON1kaP3puonq/X6/X6cX4/f5zfP97PHx+11aQZkMc+1QVgn4g9ZhZZvmgOiRjc4J6zy0Kt9RFUAMZFZA/wiJzLNBV4UEQUkKbQCO2ZDjNzUtXeOnYpVi65pJxzSqM5Zsh9PKe8LEvajWk89KgEUyb2PIqA/BTUg4/9cUCrvXnrtbWek5ZSDseZBXXBQxuIH1lZ8W22Wv/F//wv/sf/4Z/BO7ata7jnkn3It3LrVZhTStM0x27io6E+id67wObaGmLrU05uYW5m/Xw+55yH5VVknmcm0gSAS7r1lDI+fUwzIoKHPKW8bduIGkVvoDCIyQgDeNtbA992Op1EOOfy/fvL+fxh7vM8Pz48DAMNgB0f/xbeCEgWWaQ36JEGjcq76t/dc8nX65UJ2RIjpAhbgorUitKkcLfL5TJN0568ljBOJE0gPzAZ4NvssD0TlQIZSKEYgBtyfpCfeqcqmVlTGrxdUiYe0+Ef/+d/MmzwLDlnzM5E0Q2x+ONuGD8qBRND4BFDcZWQBb0cDqIpHDmXkTLOL6617TIYcg8Y+VttImiuwemP9URJMB0Ss8DQCUMTE1v3lEaZ8uFwZGazdjielsMC5OXh4eFP/tpfPxwObhQSrdYgCuLeeq11Xdfee62t1nq93ax5bZv1HmFMxDKy44cOb6SDULinpOM1FsU2AEWeqKDZlfdxOyJEhw0ddwmAL2bQe0FBZhTsAY0/BxEpCbTW5hYeGfGz2MNUp1yQOucRUGd3s6RqVltrwP17bykXVSl5iMB4Zyx4JN0gPjgQHYEHAtfMtm3H4xFSwm2rRJRz6R09pYMBCgQfjnTVJixBAXxsq7XVam6wyKumpGleZmwJCGYZ+aw7ox4empT2aCZkOcDFFhHC3M2ZiATC55EkYTbIjNZaa1WURdJU8jzP1vsIgk5JRIPZzSKEEG+IAA5FNhPlohbh5knT8Xg6Ppx++PbD4+PT58+fnx4fl3kiJlXkXjjtWS6A3cytbfX3P/98Pp9fXr6fz5fWG3LEKMKdg5ydoDDxnS6D3wd1mHc6EQVBtbZpyjJovT0cV9i699aCyS1SyQhOGLUJ07S1qqLLsoR7ngp8y7kU6y6ivTV3F5Uvnz7nqZAH7/Z7YRElXAjhw3UD/hix4URUrRMrUhNqrbVuHpElTctUCqxPQsj38tCkGkzmQfTy8vLP/8X/9Gf/8s/W24qoOhFCljKoDaEEp0suhZhKzswsKkA2BmAovN1WC8Nhqkm3bQPsc71erfcIyiVP01RybgMEppwTBeHX36433GKlFDPvI5o3mKX3LszrenOPUjIaUXAxiyRRhoS4Wzt/nN39+fnT8XgcN0r4XRpjZsTUtgqiqfUm92TJMfILpP0Vtm2Ww+EAIDGAsexAi7uHu4oEk+E4Jq6t4htMkjSl8ba2rllzyhB6QlYroiVnM49wCG1aa8qiaSTn4wJY1xUCIRQnjAggYmburfFf/j/9NUhNMD/CGKIjM4+IMdSPIwNjyzRNEXveqUjv/fTwcDicAnfyQJtG+Z7jBm8dPprBXEeIiLfRw6oKOQdbuBCZO1xmOBGG/BHllsKtNVHuNgqUce6Ukmttn79++fzlyx/99o8+ff5yWA7TPCFUDPI+cxQ7SGsdupVt3ba6rtuttXa73raGqnSoUjs4PbiOmYXYETnHzAimh7nMY0TLDcDiLuMcVU0UwxJpzGJOQYE1ysJYJNAEDcAkhgqwu2H6FmEbJYXibtZNc3J3cgsCPkEsggQ0Q5Ah2IydxU4pgTNH4B0e05Sym9/WG3gwFGUgsZVZiSmwgohAk4tJBJLeZh3CKsTbQW6RUqqtNWswqvRuED4T8TxNOWeIUEUEKLioghnapyrfF0SontDpFKp6ZxpzyRFhYa21pGrdjseF4AwYuYFeppLLXGutqxGR7rp7Eg4bKdbuDumLpkQUFL5tLSEUYs4Px8cff/zx67evT09Py+GQcyo5KQvF6I1nNEIzRURt7ePj43y5fJw/Xt/ez+fz7br2EagZ90VZiEXUrYOWp9GbYziAfThLKIKA+wlLbU1TgvIPXVEyWC5trQtCGJlzzrlkFk25qGYiaq0Kp2mZPn/6nJKSB6ytw5POMHCESt6NVzp23EBafTixMNc2BlUizlmnqajyiGEasJIQEZmF+dv7+7/45//8z/7lnzFza7W1Co8F799maw2z/GFZ5nnGl74Tmz6+5dZoyMf7uq54dJGZ4+7btpaca2sqv5T/wOrBLFMpyKdab6sI9+7zMrWtsUpv9ReGNgLn/jzP27bi3cw5X67Xw3LYttXd13V7fn5illzyePhlaPwAn2LwrbXtRbYuOuAUUMeY8Zkp9j4WDPvuXsq03m4fl49f5qGInDOabncwebzXNAoVtPdubknTPM/QhkYQ+tGYGTme48jZ5TndetbcWtu2jZiwJqakdauSFAdLELWt8l/6z/6qeczzRMSllP3OJGhLQdCHR8NUGGMe5z3tJ4i2Wj99/vL4/ISriZl9L3z3/SxQSYih0DuD4a6qDkAcpc/3IKcIZGV4Nw9XTS7BTrafgBgQ6lZTTtu6DVoc/y5F0sTCOZXnT58/f/ry048/PT4+nY6neVlyLtat9972ECF8B+bm3Vtvvbfa2npbt7Zu27Zta2/m0ZG7OQQpQYHszzCEiRPt1dgM2ysudkWIGIbxCHcz3qfaoBEjjmGBGT4p4EERFJiumBj0vSaNgCiImSisBXvvY6IfsAkRIeJxQE9DqYlsDxCrO1E8lDw5pYG/ieCrL7nASLL7n2O/8xIL92aDFMEKqApJA3MQrN3D++YUVFuLcLDL3XqrrbZaMtS8+vDwkDWjehsFcBThTimPjNxBWsE03jsFm/U8pW2rvXeVVOZSt5pz6q2Lcrfu4fO8IM4hJYW4iIgjSBX8SnRrQISxcWL0CFJWDe/oAIEiLOV8Op2+ff32/Ph4XJYvX798en6epoI3DecgMzv6fInM/Hpb17q9vb1+fHyg8eP8cca35u4wRgvBZDB0o/cpB/zYuBHNSYhoJ0VUckpgHbF9apLwoT1i0c2MYoTqJE2H43GeF6JAUc4uPAWXrCXnMIfZk4hVEj4mc2NWgBu39daboZ+5zAVfShJGlGoQq3Dbugqdz+d/9v/8f/2bf/evrTZmXrc1Je21sTKzHJZlWGGJu9nhcMgpQd5+999GhFm/Xm+QkKnqum4DwVPp3XGpINgH03Ep01RKzvl6uyXVoKhbi/Dbun7+/GlkhUUgDQmwM860ba1EPk5V621vocEt++nz53maAfy6YVobPRaDPIOIIMZbSMTCjN2dmOu2IZq/974sB1WZpgmmhNZbyVlEz+eP6/UGeQju5JJza63Wig8Ew/d48uGG25k80NqaEtg+c0+qrfVufVjAZPjstnXD0Ha5nJkF0oPD4QBDnyLIp/eg4P/kL/0xi+acRCSnfPfIQQK1e6A9gkauMpGI5px6x0gdrfUvX79++vL5erlB1EXMaGyPCOveepWR0jbafEbSA4cF6m82Ckq5pJQiEAKFACy7G2Qowg18qYFqpgjNiYJyzr21GEu0lTLH0Oeo+9CtatJlOczz/PT0/Pz06fOXLw9PT1MpKScW7WaYRSMQRAG6qHfrYb7Vtba2brfeWmu19Watw/GLFHIEhgxciCjMAahjxR6CHEYKFe0d3EzI84KwkXHSDV2GeSB7hYlSShYmItaR7KEi4b1BuPeHIv39cXQKxLYMX0JSxUohxJDL4jHCU7g3NOQY4DvvICZpUtxGeBaJhm7eeoe2B4ay0c3JMKCaiO6YoyJe8G517t2G5yW81rqtG/RI2G2naTosJ7jPglxUW28Y4VvtFCSMfc5TThJCIt47K6PyIcKtW8pp9yKQecB0PSRY7ngMA8lRHUE2nlKWlJjY/+ACQES5Ynaz7uYIWn94fPj0/PnXv/7py5cvp+PDvEwlTwjecA8WdTQysgTFum1mfrlczpfz+8f75f18uV22dWutUbAKWfO0Wzpba1AGQxiD7AfQ+623MIOiOSh6bWWepnlSUSZiUWPOueQpJ5iTRZt1d5+nSRMUVgMOLNOUS1YSKHiFMRYkFI+zaOstPNZtdYuUlUVVFQl6ymMJ8D4q1Lft+mf/8s/+v/+ff9l77b3ta4R769MyLYdDySXndD6f4WMX5ZRy3WqEl1IA1rv7tm3gXUSkt365nKd5BsfTe8s5IwOnbltKaZ7ny+Xy8PCQS6GIPbKeXl5fl3l5enoy6yknEb1drx7hZgCxt231e8g2EcDhbVtzzqD6n56ec8kNRQv7Xzzk/MD6R0gM6mN5XNixrZuZbXWbpxkZ70SB/Ep8idiVhz122A3d3aZpLjnf1rXVOmxrOQM7tW4pJciTerd5nuAbGEJHHRohPMyDACACQlu3mrKGx+V6jfCcC/6z2DNkjOlmZvyf/h//sqoOpSGzWUexBJph5mWhvRcXfZCgL9GrAGVxa/1XP/3q+HDy3ewW4eC1aIRvjMwAXAPIP0I0HHYX6w3gYJmmVmsuJUBJ7Sn2zIIgcxbZ1o33hplpmuB4xkpBLNDEjKuZeDf6AsUbSbPmliR7+DTPh8Nxno+fv34+HU6n0+lwfJyXaQxiTIDCd7KakFnfe9/WrfW61bVuDefsVlfv8ImQexdC8hc8+ONOATLg5jpg2bGwEZHtoz0zA3oWZgsTUuzsIrLTADiIO5gD/CXyS3j1kOcLwhacmd2QSjRQ6TuXA7c6E8PopEgW2MOOeOwU44uIADWDp2fIVAYxTj7l3M0M+iVNkhTPeIzIPB6UivmdGWOWCOqQsvaOS3ddN/wxy1RyKg+PDzkX1aTM1iwVDXKE19a1paIiCe9qyQlpgDwcHRBKB0w0LBKjGjvvulpmNFXZHjfibtaGiEMQ0ATD+Kg0sd6DCaEREQ4F2vF4eHh4/PTp0+Pp4cvXr0/Pn+Z5SjmB99ojC8f/uBl2zLfXt4/L+XL+eP3+UuvWGgDi+0XLRNFqA4JISIZwx4c/EHM3CoLtnJi6UcqaSym5wOmZp+wWMIXmUliEg1lINU/TFO5IKMklq6R9XBslAd4djv1UctLExFpU0dyyP385p8vl/K/+f//Lv/33/6ZvlrO+v73lnKCKIY6plMPxGBTHw/Hj/Z1o5H2ppm1diXmeZxBITNx7A23eer9dr7fbOk3TclhEpHcbkPpWoSqGdCLnvBwOZoYHXkTM+uV6XeaFGblyiI+lbduAj4dHa5WYerNu7Xa9mvvxeCw5k/B6XXPJqoqFu9VOu4Tah0t0sEF4wHDyRMRtXWFOOhwOiHeGMOl2u+WclmWJGMwBE5k5XPealHY/aa0VKgYsfDklNFsgt661Vltb5gXoLkYi+NQQaoShvvUGKyG2EGiZSsk5FwD7RITmDwxDrTWK4L/yJ38ikmptcHxThIjCtoOFy8yYCGsBRjlFm0q4amam3vrp8eFwOBBydFXh04V1xZq13swMWnLYkVtrPEZCV+Vaq1nMy5xzgYKl9S4qFOw0YiQYbuwk3t3c19uaC6Y2j3BNqdWGLL1lWXLO2971jEPLzc2cODC0QhYVTOZOwawy6FzNpUynh9O8HJ6eHk+n4+PDw3I4QGXPdxuuOUpDI0bi7bZtvfXW61b77XZrtfbe8Okh4ZmGhJ+ExdHHZC6Kqhwm9G+ASCCGfcEikDgI+1hEmLl3IgmVEXcewHnQEL03VwwGYnd7Dp33HvrtYb/4Etx325oNa7gwB6ekbi5JMWNauLIAhpbxWUGJTKpiZkmFRjLHKDaKoEGYd8sjf5HMOkat1nsg1ZJltDET99Y0jc1yrRuEvFvdWFiIleVwPE6lqKYyTYhRE9HWG/RC1swCyEYqGWhsm5cF5ZpExKOF1Ie5Jid8JyLCIjRoGNCwI5TY3Fm41xYIa8DUTAKxwwD4mFU1zESVVU/H0+Pjw/PT86dPnz89Pz8+PR2WBWmgAwx072CYgsj9tt2ul+vb+/vHx/n94/16Xa/rFSojGjQYGgo7zh0EM8BMBt0CE/PIoeIhSaAxZDKojmHXTDnnUsqyLLDKaMqi3LunpDAr4WdkAmbCkiRDj0vCzDkpMwuHmbdW38+v/+5//bfrdpvKxExt21ioTHOtdS6ltsqqTw8PorreVjQUIlJt2zYRXpaltX69XCB07q231q7XK040FsZR3pr1XretqiLkp7OQyqhO2lP2VEVe395wYiJVxdDUau5hKmrWzfxyvd4uFxI+Ho5TKbtAzz38/f19nmfIUnF/sLDZeIchH8IExciN6L22mlOe58l3jxHO+pSSWb9d16BYDgt+ooHsMUxYPGY1lbpViAZaaznn2Bt9U4KxfzQYY4gsZbqrw7HB7GmgtCdUOxLYrJuHl1IgQ7C9uMbde20pp9t1TUn5L/7xXyaWiICeZ2i3kyZN4GRowNp7RbgZfBb49FVShNfek0guhe59szklzXnKwpJydrPT6UTE8zzjA7fehXm7G9KYl8Ox9SbEqeR12zBypqQsTCJhbt01oXIgzh8f8zSBgYHIOnYL+DRPyDAgzAutI0BeRBFQjp1Okt4jTYgYqk1m8SA441Ia6j0WKWV6fHw4Ho4Pp9PD0/Pz09OUM8JXRDjIgyicMIQGBRJoW63rtvbetm3bbtvWtro1dwsjD2NiEhqa4hhSzPCB899PDI9ImkaHjhvqOM2qiAgr+p2ASA58X/jOCtBwqDFxMCtcabGvn/LLqcHwKDi5u1HQIMMphsXaY6wFxAnBOLvJQ4YrojMxgJSxlfA4W5Om2FUxLOLdaNeHqIymCw8PC02oh1NMK0OxF+HdibxjM++99WbdhflwPJa8HI9HZp6mmQYx40ERFCNKzMnDt7YVzTlljNB4wUZUL0wqqIQRgXYz9k6SUgo4PfOunCTtBsmgjtpx5qF3IGyJfHcJsHA4HeY5l/z48PjDDz98+fz50+dPx8NxOcy4wAyRCfhRWcz9erm21t/e3j7OH3/+538OLgG9ssTEpHfZ9JCiY2v08cUOHwIGn2GA99GLKdpaba2PNO+gw+EwTVNOaVpmaFfwsJlja4lukVRFNYhKKSocHpKortv5cr1cz/VyS1nP7+dSSlIOojJPtW5Z8229ifDp9ADdKnigutVuHQEYOZcIf3153RNsJCLWbRtVExHTPDHx7XZLOUUMUH4uBSkR3foyz+gFglf0Xvq43taU1T2ulyvgl4hY123bbhEBtxfS/D0szLsZEV0v13mZYSGG7McR6xC+P+qybSsqKgWVqpowEmF2AQJp3VTltq7rbS2lwBK4HBYcUCoyVl5CtupIsEe5GCpmgQQg78gjaq1DUw/5iYeITPv+pJrMuipui37PsMMGjF4KFM6gsBMGMdjRW638H/yH/1HKRYRTzuhfjT1RDxOLqoKkxcgB1skj1tttbAMRjpYy/CMPXPXMWqbSO7qhGem7x8MRLZIjvVlV95umTBNyUZCZ1A0ypBESgBsopQQy8O3tLaVUW0X8HlidWmvKeT4syClzdxV4r11kyHNQ6JhSsd5JCOwl1D4ppz58IjTSHXhQQBD0IIk6l8IsJek0zw+Pnx6fHk7H4/F0OhxOuaRwAjln3nGGI1wAuSi92bqu27qt21pbhUul905D6i7ho04PA4V1kyQUsLaDQAgR2WMd6JfjXsYwCLsDmBsASiDnx+kAdcEO9AOLs+6iQwkOtJiJabDde0EuEfZ0GDX318OZpZQS3ss0IWCdR7AD391/I0ljwIcB9RSW3P3VGpexdQMT0nsffhQb+5+qWDdVxYCDNXFbt8vtFuHzdJiX5bAccko55TyVe/oKCUECSEQwbeK9zSnnPMpHa+u+N76llLEArdtaay2lMFPvPaecIcEiWtdtmgs60gVvC6wz8B3ugYOBBMpumpOqoOqvlHw6PXz5+uXp6fmHH358en4+Hg/zPPMASRjxajTCRai3/vb+/v7+8XH5+Pi4vJ/f13UdKWkiIkmIojs+0vseEGGIPEk5DRO7Ew6XUgox996YGWa3w+GgOUOYO8+TZpjLko+0BiVWkUTezbsItVa3tfXWoFthIrOOXLOcS9223loqWUVSzpi3eu/X6xUBWbkUFa2tXs7nQQjvqp7L9UJEAHtLyettheXwdrtpUowgtVUiWuZ5ORwu5wtqIa63KxjvlBIQcPcw69u6fXx8wEG9zAs+T9zhiNtT1VorI1AzwtwVAcvD1Y8Xinu32ja8HIpbMakM9SeyIphp2DYB6K3rbZ5nfB9TmfDfBBsBI0iEI3QEPxTGjl18RfM0p5RQzgNjAKRlGNNzLtCUwlqYRuwCWIaRwDoW31+mQFSbUOudPFJO6/XGv/0P/sNUUm9ISUwK5VFK4caiwNp2DwKpSM4Fc2hKiiRYoOp3iwERt30xCSJhaDAUK0/KCYCviri7SipT0ZQOy6Kayjy5uea0Y9DgJEl2J0ttjXZ3Ve1bq52JnDxp2moz68fj6Xg6DtzfHfpLbLZDlgmbOyOUkd281y4J3i7fMXRtvQ+eNsbpa24yxlsWZERTCGvtLal60Dwj2OLh6fn59PD4+PS4zDMc/ympWfeg3jFSuLt5ONj/bV1bN8iut7pZ7QPuZ2KnYNSruozuGnhQW0oJhBt4ISz+sPmpMDS7KJFoveMWF6RQENLHHFxQh6xzL2bAE4aTy3/JRRoSs2FnIKKgVltEiHJrTYXhGcbhjvJFBAiDR8VzJcMbLK13LBY4sKDEwKO6bhsRwfbJouttEyUIGd2CeAS4jowNizEEtS7KtdatVigCpnkpuczTBEgzJYUpAb3YhMYYJoqYypTK/0bVnzVJkiTpgSAfIqJqZn5ERB1Z3Y1uAEtzPezDYBcz2KH9/39hd192hnYwg+o68opwd1MVEWbeh4/FIpEEAlVXZUa6m6mKMH9ng+g5nLD39PNElUKG8S1jXVAggfMcZ1i+HY83zNyYhCTtu7gmEQaw/nFXVVYlt+nR2kbMl32/3Z4/f/r8xx/++Mc//P56vd1ut+zXWZ98pEmOLPw4z7dvb/fj/v7+/tMvv3z7+vXj7R0tykyU1QJB02ZRcQu84ALfmUpEtFqRTILE7LZtRGRzwmUSaWJiKdpqESnb5RLQnnkwB2q3bVothZlrKQxFD7G5zTmFBCGAurirCAg9STOshb99eyOK0ef9vH/7+u3l+flyubx/vCMvj5n76GCmzO3t7a3h2ui9be3+cd+2BEOI6P3jHWcL5ADMPPro43x7ezebIFrhSsVVFCnohMEu8DPT+orzhFlRwYDsgzJPAud1HqjrBYTHKhXYzB8fH9frFTL8B08LGgwKpTknMx3HEStNMrLSzgB2hQccoL+tK1eV2jaHoINFVM/zhDBj33d3B2GOE2vazB8A2jOGsc7ntPM8mEgQbvV//R//A6XE3Vk4guacCDIdE6ctqUpRBJpLrXXhjrAFct4Zqm5GIpd9h/oqgkC8QHu0te2hO2am0cdxHIgAWkwvIxYCFSuiCspi33ctiiCEUsoq4OL7xz2Iiur9OIqW+3Gfcz49PV1vNyKCwbX3nuETEkwSxJajZf4pj5VljXLJWvuKMg6UmDiYH0K3JS4DXhmigB0R9YqDzCJESi1a2/b0/Pz09Pzy/Hx9uj4/fbo9PbVWsTmBGJzgT82hY3Gzsw+b436/j2599vvHfdoMdvzhbgbriVuaEpEcR0QqOlGoBPUREdRHQuxkHIQPIhVIFNiroPhMcjdLptKosr5qXk7hRTxHRtwI3NGMxZmWQRYq4UA4gTyC2iUlDET8PQQQYTWcF/aYE//StlUinmNOm+522S8gmYEyiQimufM427Ztrab7cSD2D/FHo/cOONvm3PYNfcsV1V8ZoiDuuYqe5/Ht6zcRud1u0Ba31oLCzFiY1nOiolvbiANZKaBuAPtMm2GxCkIlOMJihYymOgsRTLgMgyQocA7WVrH5iejtdn15ef3d7768vrx++vTp9fOn2/VaSnGEtKVMFj8AjWk2x9vH28fH/evXr9++vb3f34/jOI5DWBDZgskA6ywTM9GcA6AlBa3m8QBczqrIAGeVVksECyxdTAJjIXFQaFHyAATx6Jaorfaz11olNzaZY5ZabdrPP/90vV6hYqyt9HMgp/M47yqltWZuX79+3VqmWo3RVQt8+HAGaFavfBzH8fz0fLnsRASjLI4vGxYU9/v9PI7a6mW/gnnCMxArFQ5AynEcFDHmQKDQx/vHtAka9jzPiBhjuDnwIl2Zwb8FTvGRIaO+j1GKguOloG3b0Gjdz357ukG+Fau3gVHfNgaEjlg1RKT3s/e+bRs6bmEGRoYur8wMvJilFBxU2O/xENpy1YnIcRxjjNaacJoVQC5CgwMZ7rY1/g//8T/Fep1AUuOqZMmSAYC0KgKcBwMwQHYoSaG7B/wZFKglA6FRSjVIdyG/Cxq9a9Exej8HIoihX2Rm9zBP+zGziELitvQpgrgbblvLdu9a4XBz933fj+Nkotpaa42YZh/bvrFo/vyq4K4TAqqIkbA8vmGgFWJidxSwMd4cZHs6ZdIHiPuIrHlTEcxQmUCCTAlOADuFmKLpXXIXKVrqVuv1en399On19fXl5eXp9rTv1wzG8ZhonZsjPJX+Ed77OeacNu734xgn4v59ztQgp+4lIgihV7gbRHMACZuA0zRH+DSOpFE2cqyHdsXxi8DE57D7MXZhn4brH0tAgmXMCP1Pmov4ET4GwQ/uNvyB7ra2w8CRhHcYwRi1VLPkhEvNdF+MOVvbIrvVDHgd/nXTJvI0UzxnjttJi6xkbLh5cUnT+8c7BHbneaRPrbUxXUWfnm8iWkrdthYRicZmngnbmIxuWKJt21Dn1FpFKwav16+WKioQkUODj0EMOj9RFmanFBNCgkkRK+gzrcIPrRHaI1S1tbbvly9fvvzwpx8+vX66Xq/X29PlsmEnw3ZGRJhJPeg8j977169fv769/f1vfzuO4/5xP/uJaoSq1aGtYJZ13mEIwMWOZKfwAPg2PQqWyDz/RKuKcGtb72P2Xlppte37Dn0BVCSQzaCp6v3jA4nNtRRk+BzHic7R+/0+5rzdbjbmcZ6+gsfvx12YoXA/jhN/2nkeqqX3frlcHikmx3Ew0Zz2008/ifK+77enZ00DWopQIBjBYzknysXCDEmctfcx51h2AcPRhxAE/MD4EoGuwOGli6yaNpkZqf1m9vFxd5gVagNc02rDsIksLPfAPjrHdPPzPPGx42Q+z3PbNo+47Pu+X+acKABIkdsCoADUCsvZOzPeIFSLGxQAvXcwE49rA2Gr6/7zOay2yv/hf/pPUHc89vRS6lIgEPo5OQ0vyLdLqQogNoi0eI140JPEQ71A+IEosaoIJF30sxMFAJBt3wEUAFoFOSkiKPVNsygTEVYNRQ6PTWtbw9NYS4Vnsqhu29a2TUS21lh4GsXqkoS12t3mtLq1qjUnyrV/oFUO6OECItObl1g24DQnFqh3goyIfJq31jwCgn3sfcyrgSDCI9JnQMpMY3SQPxHZBldra3W7PF2fbk/PLy+329Ptcrndbtt2ra2GmbBAEuPu023MOc2I/LgfHfqnc/Q5bE5sJxgOiIOlMAehzIDS0BzhAStKpF6olIIZH3bcEHDjuBjydwGc5h6cYqqkB9K+HEHLHogRCUfAnMibC0TXFlUWGaMDjnd3aOA49aWA5yAiKKAHeu8i3BrE2jm+JV5ngTew1Q07ciwCHMQVzHpumX6Ml7CUcpyHTQsEn7Foa9fr9fEW8fpq1pszIPpureKAwKLN8OQhV3Kx+NhTI1LLKyqwNJZafDorMYn5nNNLEXitw6O0ggY9+ISLlulG5sGkpSAFIdUQ7ph4np5vT7fn33358rvf/+6Pf/jjpy9f9q3hZUlVGKSQc9ZaYan99u3b11+/fX37+usvv/z880/4X3F4MUstZYwJ1QMRYjAibIqI1jbHbE3RRDjGbHutrQmrOcqWK4vUUkRkzPEoysYMRBQfH/f3tzfV8vT81Gp1j95PfFZjTtTwHqismCbMfYzR++V6bbWa23EcFNRHd0sRc8D0V9TMvn17++XXn7WU15dX3Ao460fv59kfyuM5TYQga8glNoC548e0lKiNsURTJYLgmAMr85j6Aa76SlmHtWXf9uM47vc7Ed3v98+fPwM+qrW0bWNiXBVAXM3m/X6H4yoizuO83z88Ymsb4LKn222/XPp5btsmj0z78FIKxs1aG0WYGyWI6kRhK+0O0k88xirStoYsma1tRz98mntcrhf+D//Tfyql+FKbwaIFkQbUk4B3cEqaI4gXhPJSHizVBIuMMfCGYKLHmeLmmFjzLlpa2n52uNQu14tkA7U9KCAAL4/Neg6zcGX2iNYqXsj05kWI6GXfg2KMKSxadN9aqXW/3Nq+AbmrtZVSwXAiOsNXCApWYBzWSMUgYSIeYwiTFjEHvA4bs3vkRuZzBkUE19bMzclLaRwxfQrBGo6GM1+qfU1EN4xJghDzqThYHY4LimkuTP3sre7bvr88Pb2+vl4ut89fPj893S6XS9kuSIAApTNm770DLRljzDHux9HPPvBfzeFziAgz3CPhHgnaMrs5/8bamIGlTBS50tGDSFopwYg4QEMGYp6cMFuB9oR3gR5CRlokMF6wFAVRKIu5Q8S2NFDWz/4AoESk1tZ7R3RoEgmAU4OQapLCbRFI8cJDVYgz60p4BTsLjz7A0eGZsTmROxQUovXl5VlE3R3nF2yPngkQxBmVij1J3Y0CDaY5G1GmvadiQEQonEhExaDh8SilwKc2RscWD1kR4lKIoORrc0wEaUzsHOFg/tPgJmlBlsXwm/lWSxDt+/7Dn3744Y8//MM//uPvfvelta21lqZ68GHkEaRF50Be2/nTzz99+/rt7z/+/f39HfE1+LMX7W+1KDa9UgRbF1aEbW9Fq9ZCEVjXmLI6MTVLeMptAsEwt/PopRZEWPfRY7nAkIEKEcf9fozRt7aNMd7e35Agch5H733MgRT7/bID5W+tfv367ezn5Xr5/PoZkPXINvIgon6ejiAdERLuqS2MuURHc477/cAyOm0I6xi9lNJaQ0ws1pdSi7DgYiDKxxeMAvTu8M8CZAdahVg3c0ObVnjUWtYHG8Tc+3ncj1LK/X5HYcvXX79eLpfPnz+PMY7juFyuCHRr2/ZAWWyF32SFOLOyaMVNb4CssT8h2WKMiQA+0P6ofsLQCsqW/2//8/8DgJailzkiVoY1bjkze4RoMkbLlTbjiQOnNjxWWzqIbE+8OJZaPymWdPWaj9F772l+Wmp9rDaPOgHoXXDsJIBAvDxi3Nb9CYp8hVWghRHhQtTHZAoRaa3V1vAHPj09b/tGTNfLpdS2AVbCyKnKq1iViFQAmBPwbhwxgX3IzW0KixOVWszCfYIzJMKRRPisWcXmrKWWUseYNie4E2KE5jMtOhQkdS5PYz7m2ZVKL6VI23Zt++vL59/97vPT7enp6fn2fKulgMKB1RjmOByC9+MY/Tz6vZ+j97MfHTQvPiL3iMwA58dQT54UcSYRydLLE5vDDY6LeZAHZZuX4sPBakqrzvsh8wWADoASn15+njl8QLeS69Y0g7QZvwdcx06OjxHDDlIz0a0GXWNkZHwC2gtOTEnS49F6UD7hcZ7H2fvT00ttFQ8n5JjInX5+euq911rXbiTAhQgRHUT7Zaeg8zyhM4EfByA4Lg48b/fjUOIx5+Wy11KHZR4kIllgIcQFMMaMcNTz0kqDwQMPqtaT8iGbNt3AJDTAX3gm0ywqpdbPnz//w5/+9Kd/+IfPnz4/Pz9/+vQZXUb/1V8R8H7e7/c3tCO8vf38088f9zuSEiZ2ceLSiqxMtMv1wsRPz0/HcTiYHtFaS2ubuyOWcprVUjy8n71tzcwpAgbgPtK1NOfcWsMNamZodsT183G/l6Iqev+4IxDwPO+qurX9OI73j3dm/sPvf19K9SzdjX52ymwJkwUBoWvh4+OdiaH5Oc9TRI7jjqEYmJ65rQzqdNGLCGxZsUit36SloY2Lyqqygb0DBE+AqHVH/EbKmplqqSntNxu9Qxn49u0tKLbWPj4+aqu3640iptvWNmY+R9/qJotohXZDVVFOiQRpcHS/Xb6Bu06b53nUUrdtQ4mxm4/Rg0hF930jIv4f/+P/HEG1VV2bBYALjFpYuBJlFuHVqR3rRcWAT0S1FpYU6sHuDzngskU8AuZQDET9PJh5ToOaikXCA6u0h/c+UKWGayCxLaJMgCgljycz/BwIV4LgEqq7qkrMWgqrJCAuAp80YgxEZfSJNglR3bfGovu+356eam211bZdgHhC40/8G4OwojLUOaKUMsw8vNY2Zn84HtHF4+GoCmEiQfNf6kqDPGMtiKiqQNVpZlyEhecYWGhKUZ8WQRSOWsJgDlrNhUGlVlVtpd6ebq+vnz59+nS5XPZ9L6W11mQFec4wIZpmcw6bdv/4OI+zzzHmPD7ufXbM+DbR0hackp7ElFU5Vz2mBYUh2IxElDlsmojyA4EBMEg48TkWcpin8+pqNrMww8eKhQbnwrqT0DfrFN8D+IIoxc4R59kxdsABxLwcvGtrgWkZ9DatRhFiWiopYebjPK/XG6T9pRSiRDtZGK04OEpiTRiLwCacFLh1HuGL2KXOfprZtm2q5f3tbS7xdSll3zec/uBmiYJFI2KO4RE259v7u7K2DddbfRyIEETn0TYH7ngQ6xwxencPRO2zyBxjzHm9XGhxUaWUP/zhDz/88YfPnz//6Yc/ffnyBe1aW9tKLZG6URfVadM9zt5/+eWXv//9xx9/+mn2834cLFJrhhNcb1cmatv+8fER7tu24ecEE9PPDjBg3zawjloKE4kKgAtEI+CccvJWGxElLhehCvE+uRvSQ4AZfLx9HMfx9v42zf7tP//L5XJh5rNnPdQYQ5hF1d16TxtmfuMUQL3n7F+/fouIfd9LKed5QhwFcF9VmWXaLKpEfJzH1hqeJNSNtdb6GJ4Ih1FQaw3jQkTAMjLmuF2vY84xxtPtKR8Y5gVvpGYPcPxxHG9vb7WWOWfR6mGIRTGzUuscw8z3y/7QZ34fE93NfNu247jjpgGcCO6dM+nBP97fy2qn2fYtn2RkvQSVWvl//I//c+7IqlULQlYhkhHRs59EgaybxAiYRDQSPWesZtfLFVeOakHK4JzjAd8jsUhVJ/rYSrE58T8hAunx1nGmd9FDh4unCspJ/CMAcPp5TrMi8MdOsFj5RwV9N1sjqski0pSkWsrW2nl2D3c3iG0jh26KYNhKPdjc27bdrnst7en55XLZwcUJa0LjEeFuYVpKUKY4YcBxxJBmATdNM0n4S1iFgvs8fUZtmXfIFoD5prsUEZGwOPsRwZ5Brx2K7KKVEMELDJr5sRtGxGN5Vy3X2/Xp9vx0u728vDy9PF9vt61t6X4yd5vE7EGgWM3m6LOPs5/z4/4xzmPaDCcE5D1mAoSkujty6yIilSRujwSux/mbEJO7R2CdM8/KjsgaBsZnCMheJZvp8EfjdwOLjAc6JRBQrFF2S4Aggl1zjAkAHMYnWlQE/loFI4aw7iCGIeA4j8+fv+CURCwKYhigNCUm6FIQRot11t3v9zsgbCDFaF9KUsf9uB+46LZ9g3ok6dBaEeMlxHWrD5cm5rX7/RCRUkpRhdzO3JgIXpnjOHHHoFOEVhdgjgjwHITPPtHdlC9xKSCu57D9enl6ukGdIUTEdLs9vb6+3m636/W6bfvr6/Pz84uIXParFnTNk3P8+f/4L//lz/9lzImxUVISE98Xq3R/MOQuX79+JaKawRu5mbXa0AXv7sg3nn0axBSq+FQjiIX62Y/jEBV3GuMsWtAJ87e//qVt2x/+8IfLfplzekAOUGEhhlwCSj9cnMjuKlXnMLDiETHH9LBaWy0F+v3zPJiltXqcJ/8mlRJizVQHIwOt1dE7wMk5podf9ksfHc4YAEFBcblc5phjDndfjIIgmeN6u53n+YDBVzgKgRG53+8ocuijqxRmwtonSCdd0ZCABBedlqHWIH7MDCkRRHgUg5kQurVvu7uf51lbLarucblc+D/8T/9JRM1NS6mlQtoPFElY4H0oqAg23DOYpApK0s/zCI/WtlIkaHVOeVqEgBuIaO8ntgd8FmY2+lhNs6ksWPqHApkKdOj46HHxAEZKnVO+VLAv+Rwz3M3tPHvvPQvbIqORPJkoZqYggh8nJ1MilPSpFnj6c0ihMAv3gP2diOFSJuZ93y+XK7oS9227Pt1aacFQLok5QofyKwGMDj8Vq9h0FoExrfcOH1wQ2RyipVQkZhebs5T69vYNb1arCRypKiYprAhw52EotkR1+CHWhHsuFSLM2up1v95uT58/f355fX16eqq1aqnbvnnWsAhUNB5Inh6zj372Pns/+zk6HvQ5x+zTYhYpEc4kQQHpeCytFE5SUZlzYmIBZBTuWiQQqhUhxHMOLHBYFvFd55fLjHcPT5ogpgaOYkrECpKhjF2C+TaA8PKYM++oNCFzLrj0YLzzrgqKT6+fITRICCjrB+i431vbEKfFnITheXbozSF9hn4BFBf4KpsTQViqWor2PiBoFha4wIMChmoi+vbtbc5h5pfLftkvQdTHSZ7WB1Ul4vM4MGaCJl+AqkOiPS3dIUR8Pw4Rblu7Xq7/8I//eB69j/7LL7+UUnofv//97y+XCzGrIlvQSqmXy0VVR+/B1Ao61lNif71eRWS77Ox0jlOybDXpfRyUBHlVggR54SLsoZbGvJzoeeLR2U9EJmDORaiXqphFbeU8Tlg0sGGH+3H2b19//fb27Xa9vby+QuhMkMZzFClBcdwPcxtzQK0Oxgk3K6aW8zg/Pu5EAf/U/bg/0m5oRWkBHcIQU1uloPv93lqFMr4U7aPvLYlGzOzusbXWR8e5DAjEfH58fJRSU09vtm2tlGLT+uipgydKj5E5C9damPnt7Q3KYxYeI40y1+vVpkEJxvz9YwfuRBTo7sbdg3Bi/DooQWmtquj7x/scdr1eoOqEhBKsZ8YoYkTCVfM4QaZPyN6/87c4QYlWQikJyznPtrV8HjnNzSpqljMRDDgY4UEDPHbVWht06xiF1iOV1TGgJrH3m02EgaimpASwI77FvUAxktnImOZAK0EoaWZjdCY2dzRB45pxmx9jbL4RdWTLeASSf4qqarEZeKorlPsRo/c5xq/x83me5FFbq62KaK3t9nRrraHX6XK5lFqxXEUEi2KNj3ClYBIVoSAlJhZW15a/y4wurBgc+jlqxewgToKlikWEpSiuTK6ljDlbbWYTG0dEZjg7hbsJ6LgxvvWffvzxr3/+c4NQv7Z2fXq6PT1d9v3p6fnl5dPzy/PWLlUK8UPvEAhfII4AXTnn/X4/z6OPnvEWZxciX44ld5daLTwiSm3MjFwS5EMh5IYsiIOESmmRM2IICwVPG1yUcJaouJFkIljuPEuTzoBokaSMTQjHbikKkp/Qox2P2k4XlwAB5UluQ1EXy/OJryhHjqB9v4iwO0OVe7/f04mNrDfmYfOBmrLIvm1vb0NVZ0xkVIzRsf4Ls3Ma6UTkPA4i6qPjkEIrJO4nCnafET5Pq6WMOYCBePjteouIqmXM4fOBhgVubcB9v7y9/cM//uMff/jT9XqlYK0qLG1rjIRtTr/I8Fm0tFZhvCq7WjhGHC2K/IA5R5/T3FsrtbV928eyGgGtGnOoKPA3vHIIVki5lM992/E64jM1s6JFRY/zIEp/6HKN+3mcY47WNlHnoI/7/acffySKl5fX3/3+9zhzxhwUMYeVVrBtQEWGKxmXSizZBQ6u4370frpbBF0uylwe6AIm3VrL29s7P3IN3CHKvF4vvqpBVLVRI2EmbrVishFJDaiIwuHobkXq1rZSK4IOl/MpB1b8kK21OcYYo+wbC6MKG3otqK5rLXOyKuX5WQrmaRzXnEFYYmawrAIgihzAAhuJzTmYTFxFqRL+RTbter0wsw8TFv6//6f/xdyhnMFyt5yeqckRZgx0WhTgVyk1XyI3M6+1PLiOhT8gSkkwavU5dKGctIL6QNapau+DF7MEl52ozjlA9q7rnPGW9jW8585okJPrEpOwgElekwjyRGHU7Efvo6PR7e3tTYQf2zdc5nj9LPFKwR6DSwcFZyoKHAaXE8AuyHKhcQwKCkJ7A3QRpZTWtu2yX7Zt27b9coO1fYwhrMTUSn0/7jPscrsiu2OxsFkYibChoJhj1tpKLcCgc/c3M1CaxCzsTmc/mQhQLGQAELC6u8/JohTkHDGdVbiImSupmWkpLHrZL9u2v7y+vL5+er7drrenfd9baxE+LclVNEI4ZmszM39/e5tu53EOG2c/BySpPn1Y3iQJ6TCzuM8i7JSaogiHF8wXno7vOzypUeAnS1KGZVzmauxJZgK7kmRG7noUk7jKhTsFkt+xezxc5rbvF7BN7t5qk6JCfJ5HULiF2QDsiUuljw7Ds69Wcbx+ZqZFj/vBzMKyXbY5jNc1AyUJ6mHxz445OHkIERE8Wg+5BObft7dvzApfAjB0SAOwDhKzTbM5uejso9b69PK0tf3Tp09wvaGUavRZa71cdvdAzsTT7YaTRUT3bSMiYj7OE+k01+sVjy7qt87zfH19XQZZQhaxCEMyhDcu4/zmhG0HQCiUgY95EaVXqgUWCpQgzzlAcX/cD1C+H/f7+/vH29tXFn55fn1AzfjQjuPu5ni/kHqC4ReHIBgdijiOo/du07Z9c3ectkClaJFSE3CKWan1/f0dX6IjsS5V7EvG7Y6aZcQyQvtOSwrPLGtnJfcYc7TWgBQRLKIBMj8XjtYaHhvOK5Duxzn6eZ691nK5XCO81greiJhVpJQG8T42daB75p6JI5HKnwgffWDpJKaPj49WK55Sj8BXVkp5fn4movPsKpKbrAjMiobMfeh8RAReIXKibKlWBzyABEoKEebg1VMTadD3BD2gZoug4DCbIOII9SbutHopiQJFVA4bGrY7GKr4+xXwWFCwr6iosRGHSilMnrFiAfcg/L3Y6AU3cy2akQB8u93wrz7Ow+bctq3UOm0e94OIPMzNxXhOCGnw9cvAAU/cagUvT8wRNpxEuWiFaKckd+wecRzjfv+gX6HhFmYkb/Nl3y+Xa6l13/bL7aK1uMHIOiiIhN0szFU1m99Ztq0RcaB1ILwohIZEQWnD9ojgbWvZoxDCJJonl5qZZYERqMxg4rAQYnIXoibFwke/n8fHt7df//N//t+JnEnqXre6X6+Xl9fXl5dPnz592mrdtoaHhz0s4na9eWDRMrQJ4ra2ORHkjjE2QUnhILPpVSsxhVFKvQSdMDDHyzqwQ6VisfBwcBgsXDkteLDzgy0g4gi8EgTTVjL3oGKIQNE/tlLQ1DZScpZknRsZaGoFcMxEzKaqGfYrQkL3+zFtXPcrQrvOEw2j6VQg5rBQXboD4vBw9vM4zSYwxj2JU4InhpjDu4gg7/N+3MMjgSOo9c001NxtDtVCnLgobN1ffv/l9dOnbdvnHCRMLOaenDA01hFuxhGIJ8F5nq56+NQ8mOXz589FFcztvj1t+75tGyYV1HXR4zMVYUq+bd/3daOks+/ePzwC1Y9Fy3HcW2uc3RU4haWPbtORJnS57G9v73/721/76J8+f/7hhz+Jplf2OE/oOlHvheVe5rqI8sTIZSjxXmZmGXZe9QrunZg91TgMSjLyiOCPj48MyifB3wxgPTW45rQ6LZhlnXuKCbKWPUOU826OxyhAxsLS3WzO1i7Capy94usuhOx49vMUka1txEQUZh4x4ATGDwOBv5kj3ZhTZEFA9oiCGTZShyiglJg2oexHRfAD5gpYc1T3fZtjFncHjRMZHeCJwBQGbhNEKlKKIlH9sUhKYZASfQ4+M0Ig7VFZns4Zh5JpxoRnDtYJxyEPxfQSlrMKkFYMGwIAKADsIP9rIPzI3fF9t1qZiZg9bzlhZoi/I3JRYGZRbaJjTC3FbKK0QZRVtY9ea9v3zdz27YK73cygPE5MQ8QNs+A0jz569KxbAjUiKpPtcRVryMyZk/AhlFYpwmwQMwW9zfHTTz+x8NbaOc7S9tvTbd93jNuoternCR13+GRWqNCYGTXFFCSiUcinO4UUhaAPaANlXLWXokFSlJlDa8Y1U9AUgUUFoXjCSuFVVVVc4hyjlEIsRExm9/H2/vb1L//6Zwoxs1pLKaXV9vLy+unl+en55fnl0365bHvT/YKBF68QMHo8u6PPs5/j7GP2Ps/euyEejwz6dPcpohTOolAXBUImMpEDfvUcSJaTLmkeHGMRQSzwcBJFSCKWRGt8XQgpBxNh0OPLfu3nebveGAiAmZuL8P3+AckEDIzuHgOa+Ph4v9datrbNOT+O+xzjcrmAQkCChc2Z6gmR3nufGUCGQGxmgp0Ve7MhB8nJze8TVVzMRIr/NQZeYC06jwnF9JxTqZzn2Xv/0z/8w/V2u1yuRRXJ22PO4l5rHRAf14rQG4/Ya90vO+hZvB0i4h61ttvT08fHnYg9SFRv+44Qpfv9KEW/vb1dr9faGlx70yYKe8+z25xta0y8bRswi/TQRbh5be08z1orAEKEUkybfQwWBiP40y+/vL19Y+bPX75cL1ckowEV4RXhgLcJKsxaCr5Nj0giQgTJEsd5CjNa49EH0EcXka01BJM8Igi/b5NzWliNbNx9rGiRIqLEl8aY53mIyLbvvr40yn4kERJRISdMAEGkGuFeqeFIZmL1gvMJGpgx5vei1gjQYGaO/1y0aoEztwSFDaPUfCA3BeHSWUugilxdq62Z+0NA2M9eW+m9M/H1dsVyKSJuUYowCzQh1t0B7a06Jwr3SRMRSx4C+6hEMjCY4lXEguYcpagy6BcAVanUDwSXL9DWf7PgLz4ASWfwkTFs1iIc38s0UoMJkqDVdvYzMhuOONmPgs48Z8GjkyeFIEjdWKRoDXcqFBG1bhE+xhTV/XLZLxfc9tZHyeilFPZB1QA0DcA61i6AYyAkUSYHJsPc+0kpflVEiKQ7+uNjYjAEar1tTZiIYs7h5v04zuOeCBOzaMEGfblcREtrddv2y+Wy7fu+bXK9Iiogxb9KeYuzMrOm+UnMbZqFk7vN1eU5szlAmazVyso2iILO4/z85TMzKmrpdrlNG+BjAyU2Sf4JZwbDPH3+/e/Hj3/7V48YHsxl32ut7Xq73W63p9vt+eXldr1dLpfLfhERSHxhuDefENSPPmwYgKP393eI6CPnLmiCkxNG3hYMtiJMSlAVqwjauMn5AesTkbmjRCXPfidIEujhb16eFPTKUpqHs1LVzUYfxCFIh7dpc/Yxbc7aKgL0+9mP82DiooUiaqsPqJM1jY1wu9zvRymybRes/x7hc+A4A0SAM6BnoWAnLQCFiJabJnx0Y5a2bW5+nOf8+Pjy5cu/+ed/ud1uY06i6HNO96216yWDdUVUWEpV8LFzTgRqmtmGlhgi9wBcycy1FnfLjmthZn7/eMcQer1cKN1zVtSXWqkcR6YCo2ljTAMgPOfA+yuaAjlZwWQPO0jv46effjz6cb1c//jDD7jYjvNu5tj1meU47sf9JApRRa5Ma+12vfY+RAFRyPFxH6PL4lcR7VBruV6uH+/v27YhNF6U+zmBnjMzxileD4xk200Q4ncirTm1NmI6Pj7cA3DfThTMbhP76Mpr88gUGUFyFDHVtonNBKs5IO6aZrVUIiq1UNDUKSzHcX/gn0RUS3mQvUSU5BZ6lolQBO8RTKEino2iXrQIcx8z3Jlo2/cIEpWn21MwIXIR+yUYuogo53EomAczWjwYQn0VdywqAYTJkTum00bCrxKiKhNDN/JNrNSSIvL8vaNmZQG7e2mNIqQIWeqyv2vIMgggbPpS71ImExADCTEz1YILRlUz35OzExyzCWJK4Z0TFqQ3M7NDjikC4Lpt7REsMY9DRPZ9oxUCjjIAgErMaR2oW9ngV1hDBDPPMSxrfgmneUSM0c/zPPrJOfd5rdVcSynCVEr5+vWbiGSiFljxvSkiw1jdJ3IBgaEJS6l4YhBkVmptWurLy/PlcqkQbdSKmB2JMDO3EOZW1CyKSniCGkUFVaKC6Um1tmrTSiullGm+XbbRJ6uQFlzlRFQwKhbN5Bl3LQiHYAlSRh0Mu9txfvR5/vzTj7F02aWUbd8v2/Xl9fXzp8/PT0/7Zdv3S6nFzTJliRzpqhj0+nmcvY8+5hwfH/c5wIL6OIeTIUedSGvVtOWJhHswqhwYNmPijOLBVxOS2ZyPUWttvQGJXu+nqph7vx9QxbStjT56P4no/f1dRLZ9K6W8vb3t2wYW5Hq9qghcLLSge1EWYZtzmI/ZkV+/tcYIphZmZgiR01IT9O3bN/BV+3YBpeRuKjiGotWGQ/M4upmz8OV6/fTySrATEu2XPYIgrtdSwgMMU5hLUyaBYa21rFcCzF2ImUlrASpy9rNtmy9aAm7jmIR8KoBUc06EE+z7rqr34160tMuGCwZIfawct2lzq41gxROEMcx938/z/PWXr9/evnr450+fP//uCxOPOZwMHyCu4ftxUD5ksIWzEddag+Lj/tF7h6SHJXMR2tYoHtnjUWu9H0dtFTuTSPTeMaghfGLbWu/d3Ld9u9/vOWijCUcZgvree2vVphNxKfp0ewYIzEytNstsOIbVhldLz4O+ouzldsh5oTFRUUpAhDy8pyCFipZt3yLiEc1kGe7GRIneFBR8up/nJAoo21HlIixjTnNrrUIux8zX29XDZ5+t1qyLAQQq2SxUcNc5nGxztrZRFuqKLeNT0QLDF//GVOlubAwcyj2IjIiCyNMrJI94eUS84biEJgeLWKYvUK6/bqEwTSzoH8pWiET4ERInAVAIf49NK1LvH/faGhy2uBv66Nu2LXUEsSp9FxeSalEVbFsJDbsBb02wbEVQgHEVVSpp6Hgk/NCDUzKvW3Uzj62W4h7YkzgDmDJnCs6dEe7HwcJzGpEjDIPI+3EKi5ZCEXNaCgNGL6WaGRPV1qq1qK2GMcuc4y/v3zxi9BlEtejzy8vt6Xa7PdVSW6vCGhHCNOfMfkLhQirC4abC1s0zeEcPO8wHM5T+bG4YaTh7MSmYi4iQJF6cUwLWDoInLT8WrCMiKg3P8f3+cb9//PzrT//b//b/jTCP2Pa9tPr68vLy9Pz09Pr66fV6uWxtu1yuax+mcLyxE3GpHx93CFPnGOc457AxOlYKCg4xCD+cAuHbLCk5o3SP4BxKyVHWm9L3FLwx5hgoyzFITX7+6SdVhEdN2NfP44iIy75jKC5ZCeIQSu37Fh5zDhb5+Pi43+8A9G7ZPRI+JmgqTkly2LCjH56xO7FvO2L6iYhI3IyEkahMTmOOPvrtdvvy+UvJuE2ES4uKLm0hSmCCaKqKluIWtfBlvwCeFpb7cVfo51R6D16IDTAAWn3Oqiqslz3datMmCk9gnMSs/XR7Os6DmeCLdnfw1b0PLSIufQ6eFuyKIA3jv/zlL79+/fV6vX76/BmQC0WAXDtODEwE/R6CW6BAQWYOxFf348S/q5ZA2VQpBSbbfmbAHMBxNweS4VrO86itXS5XmEndbaIr+JjIlii1uGHqJ0i23AeBx86wL9+3bYw5Ro8gyQWCiZyTQUod0YSoIbz3DuknKAcWRnY0EYzEzIEof3iwBk6MOee+7br6nR5ENyy0c4w5B7TItabNntN0Ge4ESyNma2YmSwIDnUIRmXImIszG//F/+X+ysE+nRYIBW8crIczwbmBxFoQiQLzP3LaGqwZZOrIO3wfcHxFBgRJ6GNJEBMXHRAQFkZnhObCVO4Srr2gyPBGBnxhz93meRARddl7XzNA2qGrvJ/ayttXjOHHptdqSMwgvWtaeFSpK/L08HYxradUdDYhi7nNMEUY0KV6wRAkpU1FBSeFqnGb4M/t5skhJ2jBxRiHxQP/1HAghCTd3VZ1jwv6Dj3GORIojIP4pEdR7r61d9l1UPej2dKWgWkspDW4Ad4+g4zw4mIUvGSe3l1pvt6uWKszTDSKKs3fEq81pe9uIKRxeZau1WtC0wUyigk5kRks1RhgtJGTD4KJgZg9C9TYzTzcoGn0JNPG65sXpEclBB7nPmThsOF0u+7btT0/Pnz69Pj+/PD09v7y87NtOTB4scLeO7Oc6j+Ps/TiOs/c5eh/D5vCIaTZmF4eeJTwsJwmMNdjIPGd1SCzGnJp849Qiv/7y63HeyZwCgS/BwASIiioaY1REa4H9itOvG3N6H2c/+vRJTtu21VYhWIJqSLMoGzHpfsCC547dHHpE/FRzTHhigJ0Cq+lzvD6/3p6fW6vQaFyu197H9XotpZRaj/OIoH3b8spjvt1uRPT505dtayzcz469fIxxu922bUMG8r7vcOfNPvbLRURut5v5hIkdmxxu933fe+9Inw8izJVv395qq3gB4a3TImPMiIyTmdPe395++fXX4zienp4+vX4acwDogxEXqgFVdfNh8xEJg3cOGc79PMcYHj56731cLpetbVrU5tSiwoqpRVT72c0mTmogATYN2aKl4JsyELmoISq1fry/P2pqiKJoheElgmoptrqs8wdKaZBBU08U/ewCIQan2722NsdAKAvgJlwtluXqid/iUAKlcdzv5na/3yliv1xKQTtxYZbso9YCY8F5nvhUsYcBGnFUSzEVrUgKwuHpi6OGoQGCgtoa5AcFrWNcUzjJmWUYzLJvKb3AIuDhcGEAC2OVr1+/IvLUsiSBtm2bq88v2TbOfGoWVk7SaU2LjsQxzwJxws38cKJ5BPuCpBwwFVAfejQaEgdCymUpGqCdsumtVnQ1pWFWhWZg5bdpiFdd6eqCLJFSKxhUKeruY4xWmwg/co2g1zM3lEmkN0211uLmTTSCmGPfk0xWKo7WBCKiuNTr08tz7z08ptkcA9GYzAxtFS4qWWzk+/s7UGNiqq2CcoA1/Kcff4I8tJSipXDQtm+qpYFtIx+9/3jckfC1tdq2HalSl8teWytFwxqn9CH6GMqKe5cowo0p4D0pythopWTsjGdyEYwURsxairC6h7AUVWYqWvrs0D6mmsejQ/ompZ9nqZVFtDhUAG4xZx/jfP/49uc//2ciiuDWtlrKvl+fXj9//vz59fn59nR7fn6urSCmrvcxZu+w/53n2bvZvB8Hmqix8kNZZDHdXYjcTKoShc18ttvW3IPZtwtqPdhmWD9F5HLZ+tmDeFNlESQY9t5bbW11BJLTcdwt/3JVabUJc6klPO7nnZkQiwdxAvImM+KCWFaSrrvPaZ45RTzGbNqgqvIZnz9//tQ2TlRft63hhG2tQu9rM89Nd1ctGTYVpEVrgUiMhLntWz/7Zd9bawgev91uAJ2gRQGjTkRI9Z1jFFVk7u87IkYKVB7hGfeEsywiihZ301LMAmHvo/dvb29fv35195fXl8+fP0fEmLiHXFXHQO8I/NUTlV6hjoDzCDqO+xj5vrhZ29p5nJjY4qEQMdeaaRxzTlQksqRi0MP3fUOWHItFxCOmm4XN3M6ztobdHb+pe7/f75fLBZysaIZK4V6NrPgW1cS2ykqHRRAFhMciajM5doDSxEuDwBTBWPwwkSAaed0vqVCHraGWzOpnojGm+cQ8XauAvAl3+N7JrJTCTFXbcb/zCntHSBSzAMvdtrYco15EBDqVfFEzA5KIApJehL6suDYG+ePuEX65XHGRDZtEBLNVal9wxXk8Fk8IZrEqYsyHNgt0E6VwC0YnQiQALzEo9glgrFDKAzkGa0RKwlxEg6nWdtzv+3WnVUOGaQh4vrmXBZsGUawUTA8DLgSHqpl7DER/4HIhAlPH9vjJBKuS1Qz7FvaAOL3WNs3c0+0MxSo+BFwYKsqF1fJy2vcdmxCWXzQWmE33eH39NMaQ/Hf56AMKVxbXzNwXZrY5bPpxHrXW1ERSFNW2NWbZWhERn+OYo/ehRc1hpNKIgElBtVyvt9rq9XJR022/sFQ34yDrBk0rGDJR5QzdK9DmiwgLuVPbKvJk5vRay3apY8wJSRxRcGxbBUh4fbr1frKyRnF3Vin63XpS8l/BRHHe7x8f7z/+8vP/+r+6UNj029O1tu3T88vL68uX3//h06fX56enVsH0UO/nGON+H310sz7GOHE1nGcffRyHI4jQBitVLTYNgrn9shPTeT+eX16O43h6fT17NzQikPTR174fiJKHoh+hZtCtEsHSmU/yYyjTZXQPD+tGRGMMHM2+rCexbIzQRMyVjBYRt9vt0+snC2cV1YItuWiJANBK7q61zNXQCURLVIkJttI+xtnPWsq+J9bRtg3Tz3mcsFMxc+/jdruJSKsN2AUTIydRVa/lWmvD/l1KZWYVnmOq6rbtEV72HT+AmYW7W/zl7395v3+UUr58+XLZLx/HPcKRLwIbzdL5ZJkZBBeOps1UuFJElFLd/TxPJCGXovv+oih6q8UQAubOkbuUu7cmSkpbOocSEtmIgqdPbKuttTkHYOFaioVDjgjH+L7vrTbTTIuD/D2xFM4iI9zimDIhcwfN7uSoM5NMKwmosIC7PCAEyOhlNUvjkEBlG4AEChpzMguMYBHBQkq6PW3n0eEuBgLPEXhaimrvo9RiZhL5RDHRzNBfgrHJPbbaPj4+SkSILAtYnvVC2ZzAIuIRIoSnBMKpJGSMBNwK0bXVpVUIKCPxt/kqKf2vd6hMUhNEK7vBzoD5Gqd2cHjeWskQp/WMorASOUu2uOvy76RHSaVtG+VhldJw8lAVQHuSpQXfw85yoTPXokw03YnCLcYcxbyUwuh/dwfhYeYqOs0oGGliuI0iQqWoyne1lggTw93mE3gIVCwqKkVZ3SKyBgc3BC8yXIoqom88hWvmlv1BHh4+xjyPg5nNbAzDJcPMwQSxBGIb0Bhfa+ZgiyrPICKtGhE254e9n8eH2RStcw4ErNZt11JaKU9PT/vlum1NtZRaWt1sjqDAZQnIS4sgFS7C2UOEi1b3sGnCHLKAyUA1AqmyzUERx0fftk048/5KURHFccxCNgPkh7KIqhExhRCZjf52vn/75f/4P4NVbXqpvNXt5dPry/PL6/Pz5y9fXp6/vLy+CLtbHvejj/M8z/vH/bib28dx771jqIwIcz/PU5lrrdvWjueX4/62b5vbZNQhMJvNPkYtFWc0Zh1YZy6XHbMYjI1zDkDA+BbKvrvZcRx4L8ytqLbWjvPAHxUrhFWLaikiguKHtrWtbaAx97b3jCPmMTreO7OpGYeF0z/V2Hi2Vzsg3e/3bdu0KDOPMZ6fno7zVFW0lrv7efbr7brvO6xV9+O+tQbCa9/3ICqiZz97PyF9RmQp5jMlwYnDRO5WtNyP429//Zu5Xa/XH/74A86QPk4hGmZzTEYxL38X3jBzq40iptmjiW/0EwsBXj0kxxznIaLbttdaiLioFgWQEsxcaxtjlFoJxm8REY1lUsP9sSoVWUS4tON+sCBOI01OICXACUsGAzuvuzmBhzWrm/mcE5W/OFVE2KarljnGTAttAd7CApu3u0dREWbAKzgnFeIBJOiw9DmUeWtNBIKLxEeyoIRpzrHtO67bgGMXO5FkP7iorOMejVjCLOd58smiEioRUVSERJkzMZEZmWv8/RQLR2cfLpNznjantKarfhMzPGQzGD+16oMDwGUOcScYNsqi4FjiGWXKTiKzDy2FVp+D6mq/SWsPizOmf1VG//HDYsfJF4tKAJ+FuT+cOL3U2faFi5eIVi8kR0SUAKAvErKyv/FgmU3ckXAPELElUAPRDuF6yG2CecxJATdD0gb5GEJr61m0HeQUHMiMra33buEsjFbz0aGGTBhdSxHXzBim/Anp+RlrARIvsBfPMZzTGElEWhB6fBLo+tGZRKua5TagqhSKQG9g0Fo03Ea3cd6/ffsqj8w1plray+vLtm0Iam+t6b6HUWtNm0YQVx7TPBw5Fw/BJVzK4ebTIhDmMYJsjHF7ukmFdZxqLZVauBOiQudUvHWqPBAuzz4nc2KA4V4Kk/np97//5fzrn/8VbkwOFdXb9fL6+vL0/PLy8nK9Xi+Xy/OX30lVFnbyMaa5/fjTT/3sKF4/7h/MXKt++vz6i1ltZfZDJBsgSinbthHzeZxIi7skHpIOZIT7i/CcQULKeh7HeZ5jDFGxTE8RN5+RFuU55xK7BUjXs5/HcWyt3Z6eVEWKqqj5rFQvlwsoIgAmquoRymRmSLZZApV8KdFFA8Nwa22/bBT0/v4O7QPAxsv18vF+3/a27B318Y9s2wbIsbVNm+pUDx9jNGlFVbTiFTAPqF/mtLdvHz//+hMRffr0uZSiqrY001iYAIhPm3AXESHxe1JO08nNQGTY9oaX9/39vdW2b/v7+3tQFMnAmAgnVorEYRawHBta74cz875vWAKenp6O4wD1ikJAzJ7btp/9xNlXSkE8pWqBTgW6cHExDlRa+boDFi5CKY8gdjekYgDbGL1DswcfPhBsDCL7ZQ8Sd3J3FbU555ysAsYRhuE55wh6eq7Yz5J5xY3JVGt9f3+vrbm5KNuYtVU7T6LY1yo2+iiXEhQs0ooe9wMKXRZi5tGHqhYWJYg31s2WbFX6+jhIlzafAFupKGv2ok2bNn3fNwiwRMXhE4N535EYzAx3hJKliRoTOmF5dvfWnkAzMDMFZcyEWR+DZ+JLNq02ZGLQkuK4GYEGySghITdKJAvJo2YBVXhQKbkumBlYL1lya8BEsX6LCI8Z6B/BkoEhC89Qa40SbFVApg+c6nFziCh6P2pA0R5l1SlTJiAQTn9itjlFRFh51bzgJX+MMEg1yNheMzNDZ3JEtG17VQU8BQAkIjIrWHhMS2kEJdA8+mCnc1otBY++sBCHaoVHTIsWLZzXaub5QeczZ//px7+Lyui5ByA7BGrU29Pt6enpsl+vTzf0KDkai4IRP+HMKkwU7hM33OX5Gu5KRUSkqAdNmxzk00UYWyvqCZUlvNg0aWrL8cmwesSwacIZTqUqEUzkHx/fPu5f4y/MxMEEFZ2IXm7X/bLfbk+329PL8/On3/9etf5pjH/9839prTLHf/7f/38f22azgyHcdvWMa3YmaVsjDtWy7fvH/SMskQeI60H3laVlmHP03hGfoKJj9BVvmiUTpVb8Ded5ut8vl+uXz1+colUo940qm/lxntV9a9sSNWJ8U3cqtUQa1BLdxoxVtKhKKRUL7uwGF/+cpqL3+11EVMvzyzN2cS2CCVpVkF43x5hzjtFba8Fkc2W+Uow+zK1Jg7Lgv/z5b9/e3l5fXv74+z8uHNXWsU4e4WZYl1FTKjWl4cJClkWtgBYSBVdW0W6DIkXxMEDVUlUKnkwWQX1Yos6Z8EGZLmwugtDZXMpbq9+HHiLwK0nPYsDF215KKqm+C2xwoqLTkTE4ElGIPwAiVaXIAiuPCLdt2zPLBGquojR5zvvoo9aiLI+kE48orVI8kkpIlxl7Ec5QjuGY8gi2OZEsIiwq6uLh2UU95zzOA/+4qiIFmiOl9v3stRbVEswRVGhNDfBcYTDJ85slIiuTiHhaityR149chSAigrieWLJpHgRRRLBmrlg+8sSkmv8T82M34SxjQ7MIpbq5FoeqV4SQxpAhTaP3YeYqAuGUmcHC81AfzUm4dQl8Bl4PZkrwB2LjgJEE5IKZjTHxvdpMEsngwERISPic8zxPqGhUBPoijMaEuhiKRRcjbyRqqXPM1tpCv/KShasbjnz8CkkksIjE2Ye5BZGWgpB94mX8M2dmxDcRUdEyYnpky+jz/jLGwJ6Lr9VsokfJbLLIHPM4Dmj5QWPNOVVYAPGZm5tPbOIUwaJcmFdFV0JVYwyUHGlRIo4g83m+ne9v3/7PMXzZ6GqtrW23p9vlcr1dr6VWZmmljDGer09Pl9u3b29bKb2PSRnLU2qNFPtFBvBZFC0WRkzatvToR9RWI2pwjDG2rXnNUi3KwpkgCnLCDYEzS2rx6dPn+9vbL7/8UlvtY/i0tm3jtFIkpl+uO4WdxwFxYSYyEauwiwgJEWlRqMtUSy2VCjFzjCEFa4vVWnrvEVRrfXt7cw8q9EBQARzhR922bfQx5zzsrKXcnp62ttdWPVCewyiZATR8IgJdy7ZvWG2JTTiEi5lp0bBQLeAJcA2kU5ohd47VKaTHeQQRTAylZP3kmGn8zOZU42lGTNu2u5uyuAhKr6C7L1w+3j9+/fr1/ePt9eX13/3bf4dinEjPXcLxtEKMeYm4bdqMyYpWr8bM8NCliIUYEDbUE0jGhSa6tcYkWqSfvew7gNesfCsos/LWagQiesgtHqhpyUh9A4sZy+clIsi6iCAKUi26hM7Y+2kFxwLSgyUI3KRocbcxUTqfIRCAwmxabcviykKpcuRt2+acKkg0EV5xFLzwZDilRZXofNiksBuFBZytojLm3No253AWM9u2BlgPfluH1mjFLc95RoSHCUmtFcmA/TxVpGCHoSS4ONNAwVPniUqLCRB8QJB2wC2y5kdGvM8jkoXRtRiEjbWUXC8kNMjhZ4twrRXyElBtOMM1O7nAtq24LzRSaeI2ZlZrvVyvuCSxY1IQss8Ckg8Ff4spVosCkWBVIWJi9nAfDuopnwmm30oY8caOOWqpFFRLPc7Dw3NhF4m8XqAyYpsGUiiyMJZoXeAiYtNqrSunGq3CRBEwZ+JWSE0xOCFVmyZVeBVBMHHbNnPrY2RFUCmcAf1StegqqmZkJSGEo1Btlb+nZR2YShjPCmbXOYMC2Udmdj8OQVWsINO44Gcec25bkxWzEx5zDFEZi0WHKpRFiMLGeO/929dfxxgUUWpFG/i2bcSybdu+X4IM1WlF1W3AznuevdaGY9sh/GKmEJsmQBu1kPuwwaJA1YhMiGurCSOMKcpAMp3yHaOIIKMgm8bw4obXUoQI0QvnOOe3jkoXVS5F3CutlbWuZFOmFPOJcC1lmqmItA3ultG7iRLxHB0Eae8nnq6+YL1t2yJIlI/zhNnn6XarrRWtQT5Gb20TQTtTYIU3XP8eRtbPHkFFCwU5gro4hJhVW6sZjk1Mq4CTRVSxlfLlcoOmvpR63g88LZK/S3PzVhuS4GiFt0e4TRo2mGTMWUtpZfv73//2888/a9FPr58+ffonMxvjjHBmtjlZZM7hFnk4U8EWIiLIcvBwpQKRRXjUWh7inAcQRESt1BExp8G+VGuGfQLywtaL15mZyaA4KOPsx3ni/UPNOZzSqmXbcgqL9HCtkkXRB54sqktczms4zne8lOpuSLolIg7H+yLM07xu2vtQRR+VRsScY4MqF0WSrCICcA8+RFJxhAyEAUKEwKSfZ+8DubaqopkkD6E2bI4sImQM91/bWikFGaXKDLHW29tbLRUmsjEGEUOXBQoEoHoawWypM9N4J4kFYULHtbZ6sVusxP9JcywSnyNY1N0Zk3CkqZrSAgYVEAdFukiYKLRImT7JOcjQb7A+a6Lgkl0W6T4N3KIqtTbmoaUQBUvSR/u+pUJnkbKevQ0DGO5xTlye4AZqKfjtpCECMPVkzmi+TBIiIoqg0QwtIyo1e5qIM2YkpbjTiJY1QSSCrtfr+/tH2xpe6QisMFRKGXO4B1ruodUiTvs42k5guSPNK0RX4w8z2zTVbPmB/iz1VO4RsW07xuRSKzQ2ot+dFu5WSw2mSSQit32HNQ94GvopQStZpiFNJ7dpY4yzn8ry/vaOYlvgUUULTYp45HtXm2Zz1FKYSVlUpKhERGsbDsHj4+M84Wm4ODkFSS37tm37Liz75Xq57D5GqbXWutcy3RUyWmEmRbvODARjEYu4W7lsPmEFJyJql2o255iFy1ZXOh5FbQ3yqjQMMouSxyxEcziHKVcgjMLS+wC6EO6i/Ch0y4dBRFiotcZ8nmd4Quco1cAV7ub71tytlYo+CVahUph42uzD930vGKlqEVW41cArMKXLBL7CUioHMfPH/X67Xf04vfp+2fdtx2xETFtFcY1V5m3bzt4vl6sI11pVC2ccIf/666/btqnIhlJAePZkM8uWroTRieY0ykRiFkb8mf3lx7/ej/u+bX/60z/UWucc6A7CTROEqyeYpRSCIXzMkbRkYDmj1hrIPQxqtm5ofLZAaGH9AYeG11nhh+hdVCNIlMgexwUCoAi044Pec/dpM3so02i2jnMkppj1Poq6qOC1Co/r9TLHxLXiKIdQxg8AsAHzfmQ8bax4Oy3FcVBMm4BnF2FAsawnrZZ+dhwgRQuznKdFxBzZb+iOSvf5/PSEe05EqTCYACDMtVXzKSKI9BDBi6ZjjEmIlOCtbWBiiAg4Xmt1jAGUu1R1j4IHoKK+nDDu5Mj8UC9BaRDkKEwXJnaBn6a2mtcFvoaMYiOizNuiJSuGmUWyeAsuUw5Y+YlKabjUKK8iCoJ1aHHuSISAMMYGdpNt28ccxCSi+NbxQIM2MTMVwQ+P9NRYUXSAJoPGeXZcoRARuTtKTSHQjIhasyRnzC4itTUKmmaBajdGmkqyW0B1VPT9/WitEcm2NSZGfIWIQpyqLFQacsHS80KsLMZIr0z3ROD/uTOAV3cwzLU1Pw7SQhlfk+UqMCJCisAp5X48ncIczN62C0Z+3RSqXnIXQdyNlSqqCplErUj0Zchd8K6OPvBj4zlBEuTZTyjue+9nP5EdRBGtVfPY9x1P7XkcIsJE18t12xsedXzdPsZ9jm+//lIK6LvOLNu+1ZLz7NPLK+L1a22qKqq1FA8+RhclZiZnIgfwPacFuZTatAZReKgIrudgF2YSYmEbxpL1y1KFaLgXYa4FhUrECLhgIiJbASpITmSGMCOYmYKKFmcBThLCHIl5esYxeR8d+Aaz9H5GBDJ9W23oKYN7MSKRE2YxNw52cy3FPZCEysxPtyfitKRt2w4UBY0UxAE1xLRZvb48P6PxFAAFs6gofJ1Ah4nI3VsrFBTkIgrOsxQdoxNxNuEyCVHv/ccf/z7nfH55+eGPf0Qt85wdMjNYGjFCytJi+oqD8RVwNsaotc75mzMhkg129IIBM6E87HDpSyk2pruDoU2vlVuQxENtAR1ROoTwJyE+IRCIGxGr5GDWWlWEFaXExmwwRpvZGANVIEFBHiQsAhaSRBTdABTUh4W7h3lEBIGTowhIvVljmfjkIeUABI99fd1VmZJRSx02RZlCYfrN4FvE50DNIRJEaHjK+CmEO6mK6nkcvrJdUeA45kSQAfSRD9qjlkJENo1ZSLyIMDHKJJF+E8KER20tv4lCSUqMdYxOESyiEJaYEx4uUXeTXAGIMqdlNeA45beOgMy047ISUp9IWUUks3rxdwdRqo8oUPtnzhTmxlng+R0tSc0PPujUyKcslXMYCIQFiTBEe+4bP0uk5pVgDJlzBt7eCDc7jhNJ3CxSSqFVO2XuWspqY5BlaNCYE4lR+NFUJRLBW789fBlmzFxhAfXER6uIuSsrMyOXQJYuFpKsdUsxeCrYhdwcDd1BHES9n7GCM4O5ZJUodwR8RkRmIvGlXcxs3/Yxp4ezSNPCTGNmgVPR4hTi0ApzYUbPkQjvl0tE4ByBNnyM0Vp11KwfJ0j7VtTmnGNiIKKg1irqQj0g+GMlLbUULZd9P46TiFqruAh7P4RFVP/+17/8DTlUc+77JrVstZZWL9en/XqppVjvuAjJIUilcANchMNIlRmQmqhwlKrTTgCbPi3Cgkir2rQA/+yOPW+OsbD7wFEFUgjWRc8TIMCfgUMhZpQ8EvMYo9TSz+Hu9/sd1P3emkdsW8M3G5mewh5pFPKVWSIiow+8ZWYwDPr1ctFStm2zOUHv995vt1tZ2ctIqE4id8kfkQEHqV6p1XNdZiIqqnOah6Hjcs7s490vFzd7//bxyy+/sMTnT1+gCnVzVYbbC0D5WP0EEY4jjJYOMFCdE9DdQVKBPEsHwYPjgtOERGPOBLLNwXf3s2/7Pt7ewAqUUpMnFrE5UdWAo9/dRTUi054xwaLAK7EK93AfY5hK2Pd8gQhKqIPRd0mlVLMpiWmD5hUKgvZPmEXVutVaRs+mW2b28DAPZiekXsfZO6jdJfknnw4kAx8R5LCFVFRH72glYeZaK5Aud6c5ZYk4sSSd/SxlKV+A1pihr4YWp43AktYYkBWU9EB54SiutRRcSxFJviuMoESQm1OeWwuaYKb4bntzM0DPsXBwSFnxTQaRUiYB4EdMsj4I2hkSJmQnGDFJZEY0rhsGvJPaTiKh9XLkdqn4A3E+plQmvvM2sCniH8KoIUzAKImIlS0fLyZRRF9AodJaA2QfK4yJV/UgPtpFm8dYaq3zmA3pYOuv8mgIUQ0LzuuAVcTcwJyoSsaW4b1JM0TOBSwyxtha40VVUYiHE5X1WeL0IZxW2I5HHyzKoHPZvsPBRLXUR2IEE4cTjIin9VoK8eOgRPEZC2zAzJrVj2xhtTTiU/LgSKRKtWiRtm0qoqqXyz6n42cgpjEGFt7ILMkMjbFuNoequBZ3ezu/pQUkiJiKKMruEQ7aWt1a6+dkjjEG+5z95Lv8+NNPqoXI9v1y3a+llVZbbZVZtarbHGOySG6TTkQBbsuG7VvzRc0Bhjb3IuqOdMNk8IoWFweZCdRgjuFEODgoG+ucYm3PzKALVZAhaiPczUpNwWirrZTq2V4ANh6HflJ8zFyKEolZej7zbHUXkcvlipBwzBelaBBfLpdSC/YJEX0cHHADUBBsSlULkOJA495C2yfwUhU3735GxGXfp+lPP/709x//9vT8/Pvf/x5Ra2uu8gmXBiBWd6iDELMTS9iegxckXOubVVHhWHDK+uMiBQgLHAggP2YzgsacSCB3Mx/g3pHPPCKQ2yi5c7gjmkpV7+d94cDYJ2zbLpCltlqDAmWNeNPBgphFvpUP4T8lKF4wyKaNyzCPbg19dgwPzgo6S+ADPw8To0oLEMmKiQNETmNMRgB+RGGe0+4f99vt9vr6mSFp9wgiD7fuory1hq5TUJWXfQfshjH0PDvie/EP1lrdEAVBWAEx0RctWtTcWbQ8DoiEbgKRP2mjwEANuiJPMcaFATNRAWlAHowIWXyf/JC7oLSEH9dA/oV/IXFIRFDWU1AkSoiUxCShV1ocxmQAljvawwl281iIRIqRknQg7Ji4e0HEuxFG+CIqHLhsE4yKLAHHOoKhTFBWK8Ir0BS2FPdUXs9pW6Nk0VeIFUR1fVUCCbO44gzHxmDmTGQJmD0qq5YSwQNR29xaKcXcGYGs68MTzmHW1i6Jtwz3TR8DlouCXzki2xYw1Jlj/JdHfSiFaAlyMvJwAfNX8t5hESLHGQY2cs6ppTTRcN/27TzO2hpEShN9PoxwdCGeyopjqiL51kyYlLXPcZ6Hu6caSpVvNOYccxzHYcNcA5+VW6jqnOPXn38tbSMmDpIit9tVhefwGWdRnaP//f1di+JxcQ8t2mq73q6X/aKl1FbdncKZKwQOQuwxY0GOePyZKdBmwTm/CqgGd9hvSkJzkV9dfiNQPGD5yI1OVT8+PuByr62NPqBcwBcOeVipmg77ZZUngZCM4ZkPSKL7aFstWuo6+pl53/ZSs9CaRbdtq7UUrVj2WaBGz/c6DKunAaT06RYEet/d50B3rgW7Sulj/Plf/9XmfH59+W//m//O3ILQMki2PJ5EBEfY2mgnrdLvfMWFl7Np3ZFZoreKm0SJwsOFGbqajKNRMjNox8lx7THO+mlmc9YKDykkRmRWCfI7pvzZOMV9+MDxr962vbYyrQrzvu3TpvBk0Vooe7x9AoYitBwvex0QJGLuvasIq0KiBbr4UTDu2Soa0KYv0blyEsrQo8cS3KDRhTG94Wtyd2a6XC+1VpBMYwVCzDlZCHubiMBTiX8EN2eG5algwi9ScaVq0QjvY2zbBik/u5RSRHiwMFHJjLpwIlnuL2Ji5ETiuCTCvzG+3+oLkci1TQuzBJFQ2gAe9C85L1oBqpglmXcmDmZoNRIQgKRXA8MXbFXrcMQnKRJEKtXM3Qx228C8gGuJY/0b1oHJa6PJ68RVahCRMHAmxUudOAshEsfDhbRoZsSDZMH9mfofCgq6XHZzy98W+zO+CU7aKlaR+Xkec6qvz3D16gFSxm6zrjjO5RkxkL+5NVmIgzPKDNlKqgVHmLBgbtJHB04uQMzME35XS3EF/j34g2QhWjBMcGEMjwiXwy8LuqxoyW0E1jbRaZPWQFBq8ZkCfXCSzCqqgRYwVlFZpb6yFd0vF8hp8AkJk7CgJMSmudmwCSp/zBnIgBKqrZ73MzyO4+hjIPVFVYpqrU2ZeyI2PEZ/+/bt/f1Ni4w+wVcx0cvrq4her5d9u1hYLZu7E1723GunR7TWeGWZYI/0VW4LfVSCDPnNYPKBHCeYeUSHgx+/y+NT40fFoGHZ8iCF7z9pUtH8LiLV5ejtaW17uj0RM7PUWrZta7WVWhtUAPUh/skpe+3B7kSIlmL+ngrp4VttRBBDTSkpQPz17e2Xn3+WUv7NP/7TfrkQcx+IJn78kkREfWRkaa6iRJAPCAN9d0mcTHJqhpKcHvKQxweGYG9hpqIVC/qcGRhMnkgyoAy0zTATlphcryPGGJgClxFXsIvgDVJR8vRhuUcpBfgS3oHZB85NEVItsMgFxsDIYtGiCuczLgNJNTuArCyYy+U+YHcVYXEowkE64hAFLEwUQU3Vf1NHQwusVpFidRoS4tL2mTCjR551i6eF4QC281IKgo+orqdACwBhVW21wm6FCg2IkvfLZtMQGiXA6JZjQiiCgzNwJ4sYY33Z/PgP+cin0Sv5S4/IfE7ktjMHr7yYyBGLKNK3SIoPh4MfR3eO4UQcDA4OyyPugSzhlEgN6/J80fIBMKEtHZ+pPpT+2AeCdMFhRsRBKE4sZhP+pjyXgyntlIUfBWfmj2sF95yjlgsDjhNBKyXCxCVFuPxAD3LdnoYobJ+TgqbNWgqvqktVpSAWn2PWVtOCIsyeDjJasQFMjNJ5C3JzUqaFS+T17HndlFrFg4RsWr4VWnhZhSE5z0w3EWKeYzwONUzHQHogFWtt8zB8RyjVud+P1qqIiBYRtgVkeZiTmPs0CKUJaQREEeYYKUREWLUmKHy5Xpn5fr+3rV0Y9c7EIW7+7dubVGmt+tXO3mstF+Le+3G/aylzmvM4Rx+9E/O27UtT6BQKX25EnOcJJ+Pbt6+ELPFVzbFve22t1XoevbY6eg/M9NNK0ekg9AhXHTgwvPyPwSjWtR0EnSJ6wahtG766BkVg3ikReSLHHLNuVVQ824zptF5LrbW2bdu3vW3A9JuIABFqrQE9jwiA11preAQ7c3mcg8SpoANkSotZVS3TDBRiqXoc/ccf/3z2c9vav/13/+75+fnt7X3MzsS4jbRoLRUzNU7DCELyHQWJ6tY2D4f/Y85Jsua/dS8KAl08iI1A/hDjS0E6Ezi6IIdqiDyLlTDQEOxd+75FMPPHx8d6OMPNAlI6YWYJdxb+Dp0RcXDakmfKt6B8ZhEKQ1qCh+NvQygelN+llNHRDclCpRYeEzXIkqwMDEdEYwwXN/daK/42/Die1xLGZFqL/m/VLhER5ga3EKZtqFfwq323g3kgFRXnLQ4Cs4mo4zFH0WKM/PZHdAQB7tv27ewdJ1htFYzpeZ7MXFR14dBQ7AgT+dp/0xJMvIYUelz7jIzDtRPQGmVRrsPEEqtTgiREsAEAocFSGh68gucw/icYjqiGcInfnP4EPOk7Tvo48xOSxhYPrWSIe+4HxAnlBy1b4GqGeRBu5CYsZobpKX1S+LqCRAU9scyMVAwm1pUlr6JODotQLJDU3eHByXvRU+Ps7rU92LHvYxW+JwyGcPe5Ow+e6IVnBnsWa6zDV6BEmVYmFEaM1xvWnjkJhdTO4oaMF9jxI6QUTu6HQlnnGLTaUeaYrbbjPNM1jT+f2aepCGKuVUpATc8MhyHmFBZB1nPu5tOgvYFhuLbWewdA0PZ9zgHjCCusmzGn1dKmWWub5xdUmAKxt+YxbWz7TsHbbnjJ9/2yARURHj09aJgK7Zg2rW2V4hSV1jaiYGKfTg9bBdH9/mHmIvqu7+7uHqP3bd+2thHRy8tzmi3WeGQRbFiqMn1zwUQc4Y+xF/l3EGVF+DRnehTkQWlTwCETUy0NQarwJZo52ti3fd+3HSYpXnIRCOGAj0aEkUXEvu8rS0zC3TyoFCA8ZuYRCgg+8djMI6qtvr29/fWvfw2KL5+//PFPf7xerjbt/eM93PuYuf8hDMPOOScCOhaMke2wzKxaJPWRVGsdY3C63tdLASAom1xdVR95Z7+pTMmARRUloTEGZm0USpeKJAYvUlJjg5CSBFKIF5XyfcUgXpK2SjlRik+QeSQsXHKSA/Js09xDVDUcWmecWK01jK1nPxyfCDOCMVQVxadQ8UdESlYohY7CuXLhgYOcBOd627Y5hrsxYfYihgkOb5w7lgPoLNBBhDMTQPgcQ1KfGW7OQK48kFjuZmNOSA/AOQuxqMDDmJCGRxHmmcJzXiRP0PpecbTHknIKxA+JUbCsAjPg5OHAkwpHUoRBLKxJvwmRBbMIWqxZTC0DLhjXg8eKggDJz545wpwouzo7B5N+x3c8PcCRjyMlK6FFHwUIsLkyEZ65iNjahkRyWqKOCLIxwZgw8yIP0jJG+ZQrUcBtoCrIeMb4B3CTs4/JH+gZjn+thSjAlUVuhQBgCA3ttVZkTEo6/RT0161WrKI4aCCUtoULAZQgECQ0i1YL18w4zRD8WI42nIxMVGttrY6R5pqgKKpmqV/Gd485TkWpkIi4eallzol+V9K8kmEw0aLuwUqRWedEzLbgy9GzQ1SyhC9PrgiaZq3BOMZzGGB0VRnTt31n5uM4WGS7Xtip1qpVWtnQklZrK1Xfvr09PT+rqrnt2/44ntCt6GZadM5xHCdRIEwNBwdSx/vZRaVWXaFM2BmKmX18vM8539/ft63BE45cqqB4enqWRP8ZAXwpmYhA3JuI9POstcLo9PH+ARwDXzSC4zF8VJTQhrOTk885V/HnXmoFkoahseb/yZKpKgIMkCjvPE7qmIkil3oEyBCJqj2s+ByzjznmT+9v729vWvR3v/v9vu9Q9H/7+k1UPHzfLtd2JaI+unvMMUiYgxzdBotDQlNFUZ3Lhm0zFXqP8ZaIHhroaTM3iWln74i8x8ZatAQFO0skn1xqUSlEUVRdk6rR4EjAzo/juFwuqiqi7hO7Ap7hpDQoVBUibDdnFrcYo5dSW6ksAgEbEauKkJxnhx2stducI8LbtgFPG2N4xO36lCodYlWJUnBQ7KqllOM8xugRFUbIxwIkIpAfYdSuqoh8l8UpMifWihwGQAIggTiBcE4LGFEfQ1UvdQ9V3HtkLvjAmaQIqq60aKn14+OjFDWfEbrdLr0PfEQ40ZyinOeJ2IM1gEObmuc+2hZpZTm4OFOOvrCaM3Fw8tQkrFzc+PtMTnmtYS8mDgoSCl4+9UWjBRFxCA50DdwgwgzRjgQFk3CQsvoaNICxAf6OvLSIFjgTEar8GAmBCGWMUBAzl1If8C5kanNO51SRskgSp0QRUVO2getEZIX2JbK0zk3JyFaew9xcixQti2OEXUMIyEayH+uizArpIPz3xFgZ9GFzXF8BmhyW21ujZk/peZ7o7cOT5AgsylARK6WgmBvKhGm2tFEoJmOIQES19xOzJLLI8ZNrQfJijl3piUvZHNPabymij4HTHfwBtld3jxyDQjTZTreUjuD9T7wYlWSc6BnaPDAQgO0KCi1FS4GhGvESpdRCdc7BwszVw5u09TXxGB1FCPgp55hY3eac1+sVDTNEoUVtGiLJipZ+dojW3T3Meu/cBUPK/eMObbcWhWGq1oYEAuwK8BNNm72fbh4c6Ii3aXOMBukIM7NgHI4ILbrv16enZ5gzM/0BfHEwijPBiISImxs7k4QGROIZQ0/ZuSQLDiXOakNiAkPz9v727ds3FML8wz/+07Y3Nzcz6HSZ5eN+f3l+ZZWznxF09oPTLJH4bXo5wRUuPAAHWU6DIr4gvrUBMIDssuwOxtZaVZGxXL55W0QO7yu98Xvbknsw09m7u2/bhhsCyy6e9vVneBALKkJSv2e1NBhR3d08yroUCbOXeXggk64UDEP5wy+4nWotYw0QqRRfjA6oLEG+DJHZbK1BnIoDak4zgJnC6LEwBwbMQGyWaIrwjpgZOEFNu7+mZMsjZ74IRDn1VUuHIraYyNnMUKDzPLCNzTmDDaMkqoEglArz8uPf/lb3HdrhQCykIk2+oM0noCvKPmJsN4TjkSTF70TEREbhTFUlyPBVBnNwwPUM3CQigtjzsiEKsBrgb5mgIoBYI5hJjCk4Bb0UFKu/BV+ACGO0DMLAG7EeoESHRB7fIjND7pliWQvscRilORNvRFXz0AlydgoGeSORooXU5DMtyxVRunDJyYXFpvfexxjX61WqgBQRyQJrrMO8kDCIz1JQWZiZzYyCakVEa96gQYH4Dbw/hdjCcnoSThbIfd93ybLJ5JeO4yAKYZlmrdUxJlpV8WA5Ibgq4HxhiD5TTJ1lPg/anohy8FRlZhS9ibBN27YNewbwzfCIEm4BJgJXoE0DeAlLIBR1TKQi0DbMOYUzbZ9Z8P1p1TlMhMxdfkOWIuhijgl5JXAYtPrNOUgQ8yfEBNm4Auo1l7SXSLQIilrKrNnAQ0EH3GrMDYCVCDEpK2ROqjrGBGtNFKP7nJOCSu3zlwEBu6i2WomotYqDjziEZY6p5UEbEmA3UIVYYvZ9Z04OCU4W7FjAryEuxEvwoCIR9/b4gWlNJ1KUid0dJkdRub/d3z/egTY8Pz/frjesQd++fQN+8u3bN2betv16vZiP/n6+f3yAyfCwbdv4QRq5b9uGdABmjbDw0KqP7crcQYDFWkDpNxwJnn/YDBNjISJezNZvZh1BGEYCDLoMVUllA7snpsc/9diGg5LyxVsJlR2CBAIt6nBmiWgpc2Wt4yU6e6+lyJpIVDIsEqMkXjbge3nvmpFIEX78/Cpq5hRUarl/3LXoGP08ztrq1jawmsI8LYys1qqFYkaYe5C7zzGwPAH+Amgx5ljR/44ISCQklhWijrMIPVo44B6RBLCDeEQpxYpBDOoeWiQ4ytn7DA+P0ir2RqTV4ztzj1abhyOsbjUHMDOLcnBoKdgRRJlWNs5idSVUYgWnrJGZhDlvDhNhEs5g1Qh6iOlEHqqhdQxFcIRwJgyzSiRFjRZiXujP4wKQlL4SkaROtJRcy8ydV/4fxpYIapmBjqM55xHiZKnzR0JXXUIAK74Uxzf+Tgz7vxF3Uo5inD9ELH0xVEmOVCkkvq1XnJLZi0eWKqcxm5jRJKzr/1JV8+yHWSryGhGAHfDqjtE3Fsyq+Hsymz5DQ0VEPGKOCVwCFygv9IuIoGfClg1WH/sftoc1njpsMhA7g61C9D/uV2EBiIkwu2HDPQx8CXEEoVEHawquDZ8B5EJUZXmF8KVj+Ur5mQrq46BVhcCUlIX5O7RFqHPgWG2g2EJw1sBt21oDK8bU29NT7319gAMDoGpZ4QcREbXVOSbQLbz/c87uzizH/Q6eALw6Ppxa68VcVIMyTxR+H00ogD1sg39XC4tg1yHG6JOQPObB9egmvIYjDCgzrqXpJszH/fjp559U5XK53l6et7qpCMZzi3j/+Pj1l1/HnJ8+vf7TP/1TLdUj/vqXv/7yyy/7vv3400//w3//3zcE7zBDRwh/HKxwa7ZaA9d64h+nYaTQU4SFsqU5BX7CbBHCysr/1RJAtL4Rf7AsTDTNIxwr8WNuk0eDSCZuAfhK4MUwoHgWS9g69xH7nDPQ8twl2pa5ilyiGBtUfGtwJ6K8bHAJQeogkrqJbWuwJa87w1trLIJMLYCEJZ3A9XE0IIiFVChIRXUTYhrnACmiRZm4aGm1gcZbjY3s4Xg4ffn7UjsUNOf0MCC0vZ+Mkp8zQ+NLLatBOMrr66cAZpMARX6FIqJVhdimVa1OhqkdGobpQZNYyAZj8JcirCVUWAhDDDERXkH8+XmYI+mAyVhJhcWdKISYVMEIBXMgSu7RBkY4KSmyKY4eq0D+hUcksSGcC8EYB3AoP9gqPLFZZL6AOizNsqLnYZ0HUp2k9fedOqARJ5EV3CaE5Awm8DAsfL1et23LwX9NN5EVsxl3DuEzLTkdfgyb8/E7QpJMibZ959NgLYBwCFIwzlsJu1aAzcYNpCpzGhqgMFmkkFxyVfcVMW9LcuDnIaII2tVSSlFEBPISwD1uL1VxZ3gRY31LOHwjRU1FxJgJY0Q8bPFIEjSLRXtKzf6NaRNcznSjoNLUjJdvDrxJuv/C4jEgM5ETSA8CNPToKPawpOMEKNv3XcrMLSsYhZnICMCXCOMWzCDiTITNdBBVoZPKpkn+sORd5Wbm+74TkQj3MwC/yAppcdTy1dLa1lortYoWLUrMc85WNxGubcO3ud5IpsiBA/NNgsW8hJ4RrOwRZIETYYb1j3728+397f3bW9va66dPIrK1TYnd7bjf++wf7/f7/WPf9z/84Q9ffvdFRb+9v//rL3+ZNlWk9/H586c//uEPrW0Wy/K28BD8gIU1CZWU7XEEXDXLtZPPaogICZPR41GH/Pc389YDRMSqs8Sy2E8zI0hGtwgyG6WU1hokBjgQA+1agErIU+MgShRzTmfWomyU4dge0zJEUpcik5ZYFcEqOEMwb8CtQpx5FfgLOougKcRLqs+4RhA4ZqjWCNJSyizMjBgGdxdOFb+j8ypYRRCP2seYYzBR3RqWEjhvGD47IqJEfUFJEtF+ueB3AYVOQeYWEaUqrQ0KqeNMYua1ScQ8zy4q5eX1eSXuMLbORFs4C7O2y0bBwSnKLVrMpguxaDCR0BTvNryQC02ZViKKM0dYkKK8IYAYYbJnuLFIq2sxFldyVhaeIkQK58h6EmKlsYgyqjmZV6d4rpOMyTnSCkA5Z8dqDYvFw6xhHMdZpDXOsZThdcPRD/QwFiFCv/nLPTdxQgI4PhRUUkTgP5FHqUUKkFDiDJUjyUc9y8kwemB1eACOuHbwX+d0IKmn+P73UEqmAN9AbcYZZ5Rz7mMmAmKbb9QyoNO6NbUomQN3ZqZSyn65KONZnJ7ieBo2bY4gbisGDi/lsIndDtMxblj3KKqWFfORzk7M63DkZZUVY1/OccaBJio7ZtNEt/CrIYrn8ZK6uRTUb/U1BK8GwXSAZw4lEa0eViCOpFoiAuFllNAELVu4IPcJv4qZmbsuFj0ohBWJQ9frZc4MFhaW4zhqLVvbVJWYj/udmffL7ma8Cdpg5rQ+Rmv1crloSZe/2VxYhAYF5bGOkGCPzGvk9etQSVEyZZCGZoCBZ+GSf/36dczx69ev7t5afX55vV4v+3WvWsccH/f7L7/88v7x4W5/+MMf/tv/9r+7XPbzPP/yr3/RUlTl5fVlnN3C/+VfXrSW8fOcc8B9FgHu6XvSFK9o4nAiocQzPQcd+BI8LF/myDY0DGGQfuKmfBz8seRx+B4DsvrA2Im6i75O4ai1RXRmlsVFiQo4B2HO3gIJZtFSsAiGQqHOY45WN2YCwZA0gy5q1P1h3ReSiPAwdCmadS2V167jwDa+J5gG5h5ewk/IvpnocrlYhulDfU5EkecOsVRy89EHBRXV97dvo89Xfa17zZ8NyfzMpdRSyhxI5wQ2lkSasJDGx9m1ZNOOijIxnjThvJlgL0+hPHHmYtsMwa3Ei+MNVi3uESYkFKouQDdU9kbszqxVKYjEWS9Wxp27bXRuNluEmgif5yElmBx4QTiJUTEuVOlD2yjbEB6TTuYZNEKDxVlpNThgvmJhFiVyCpHyeG9zfMZSiecoAPwQEYUoNv3IxCV+8AhElK6u7KoUSXbI1zxCj5vkvz5KUl3znXxG/gTCgpjAyWP3XCsDPjOlxLUTt8ohNFtPl6I5kknWFSUU5A8OIC8wyNdggBASUl74U2SKvkRS305hnuw3Fy2SrT6PWBKwbXVxUCgSkaDgkFpLAFJkiiCz6h5hLkKC0uoxAfJa7ukTyNUcw1XNrDW2iWxwRmiMmTNBph0wk2gp2Jp96W5rLUhtwUEzxyylQMzHSTyk0QQvW17M4YmB5Bs+09IZFp7LClGGBs45nXInwOKVUOESBWCYUtVSK/QV4g7vKbYibOJIB0Ptn2hxMvBSpVZgBXkUipAWLYWYa2uB1BqToiUkv2887nPC10ZM7BFlvQZY+ZA9tW/qZkFURfocYw4RRUlGP49ffv06xvj0+XXfbs8vT5f9wsxv397e3t7u9/vZ++9+9+Vf/uVfXp5fxhxm869//Zu7bdv2yy+/Xm/XUiqLVtHWtk+fXn/5+Rf4JB6GRHwpcL14DkJpfVi4HzPRzExyLNFhnv20jyk7b2NKNyK++shwzbQ+4QWHMZOCbBqCYLd9I2LPzByRbDEkSJbX+ZuakIAzA7EumpMKSrnhIIMxWZe/GjslHiplJSVzi4UyYbYI5t47hF/QmDx+bDMrJYXRvEImwr1t23meyDbOP1wzYguvVRovA7Dtfhy/9t5rqSTcR8dQP+cUEeZSWxNFeDAiVOechOLCUksp6pYfqaFwsJSUMxAFXvwgUZ1jlp9+/FFrK0Vrq5i2oT4AUVprZRYnD2YtQkLhPqdzrciEpiazyNnmuM6PNu6X2W/WLzOaGwWrlEatSrjPcHOrU9tQPUM/mE7RU8s7673wR9TO0olG0Ayb5j4NxCqcXg52Qx5Br7g/UzyVkgRaW+ca95dimdbuiRsDiCQRE1JWMxQhnxmcshwgIh9KLKIgVQlZf8ISBfGqExCORFSCGDFwHrh7acGa/BvHfLAvTB9+sVCVSYFrL1beQALfkmirhwe5sOC4Z0CigXVhFSiKSGgoTwRWSwFWgOH3gX5qnoAKdEKYwWMr0BJO6D8e6b5J9KmI5lPOHBEQLCcHtT6WMaeyttqCwsxBTQPwqbUA9v3tdkWc4ezMZE5FGfKVvPp4BYkLnmxjVPW6qSuurvze8e1EtlA5G75G0OOIYsf2hoIUcGXYC+eymJRSRBXZuQkhEkNyEx6wNZkZNvQZIcwCIWN+v/yQgJMxEYJ/h80ZtWG0F9VwxDN4CMqRipsJhERaKCv1sssJyo2zd1WVvC003H/++e/EdJ7HeT+fnp9/+OGH19fXtm0fHx9//fvf7x/vZvb09PRv/+2/vT09UVAfJzoh7h8fRHyep037x3/8h33fcQ6K0JdPvxs2Xl5eppmS+EqaxKMrJCOmirAUc2Ap2ReUEWweFpbJXfSbF3C9ghi/8N+HO35TW1rS3AMyf0UQZkAL+mtti/xY1pvJTEHT5jRrtTKyVIFZA2cxezyrmCSwciy6lSWlLvKY84BrqWpFeQtTeLCWCGfGnESxkBzRJMBz02Quay9J6NV9WfC09742p4hY6Gn2UxERXa/Xtm3QhhLFJNaihYqyzjnv9yilqLS51uhwsjk9olZ1994HC6soApmhRMgpzbK5DPbyUC0f90PGQNhFksAqeRO2SpqS4lpakSJSSFlasZiyc5dxNupXf7v1+Wm+X0//JOOJ/KJWRLdStAyfh53MZMJBtXeZQ7ZD+UPtkHn4/qH61W7npm+l3WvtyhMrIjyzg9xsugOvDZ6OXWrJ//OJIYigoYrgBfLiLeK8FL6DOZ7rQPxWRfD4n79fJ7JEbNlyk+eyL7ohL5sMkxJzL0TTpmZoLbMmgJPeLvDJ4DAT6mGV5m6qiBRPzy0Jmxk2UEFpT5C7CyVcaNMYBZwRTExCHlTgeEBDKUVQtLaN0XkpZSPQ4wi+J3/m7N1l1EnjKLQgIicVSV43TFWn20hZXq6Z+R9qdbeidc6hyx0ybTKxoOlUFaB87x2VrRF+nudxHGOMQLTWtnkqBbOZjgjZYeLk4aFVFmcAYL0ACgM+g6kZOx+mBNxdlGx8XqPQYxdsY+45YX+X4glFqJYxBuK0cMqrClw9Nid0ICIa4mc/WdJB+jBeJWfATBSFEo7DJaqY8InnnCwdNvw82ogxSehSQKLei36DeULXP+csoud53D/u53EQUe/jjz/84f/y7/89Ht1ffvnlp59/Pu7310+f/umf/un19RNErsd5ztF7H+d5UtDlehHiP/3pT602EfVwES6JbgdF9PPc910EKJbzGkEsfEmg0ysDaQ3yliF8CqfH8UepEUhVRaw8NX7sFMx4p77fFKyw6/MquI5wXa2o7gEpBIZcd5e1KeFNDsPYmLCSuUG0hsPWMj00DP3VnHtz0TJpRo4phow2UEdzOqLfKAR0joiUViJipmMOFwc/JAwoc17bfJJ8yQsS4c9vrdVSiWmO8chLDqJayxwT4h96SAxEipeI2LaNifbLPuecw6Sk+Rx6B/wucxpR4B8kuIbNkE2SDd9MrZZSt0rE0MfYY/+isGF9TOcImuJUqFW0jmh4C78IvZT7bfhr+3iO+++i/FOdr2VUmy2GmFfSMjlcPLhIkFkhEtlikzPokDjYTOz0+dXLV7Zf+6X59Rv7G2+mMqR4UXOiFu7hgcEXXxgFmTtKrB72QlD8ffQcl5ZjC0uDiAKtIzTZAr19SDOTv/U8+h+AO6XnWUgelwhTaiXxxCRXDHWmqtmEkZUy7Tx43S+8+GSRVGus/5ZzoFijj4pQUJHyIGcewjhZXgbwSxTBCGDKFqe8Dhn/alUmqXVXRWSrOeiYAGIOBU44+ZJpsHmG97FTxsgtczkC+KBMkIw/wzXqACly4U3YiJbARnUryfgJXy5XTHxEvG1bZB1VorfT5tlPEZkT4dWkKr0PuLHoIZlI6UlERObCRojwGMbEhtKbtLUznH14D2dk83hA4wRx4Xd+30WYgi0JnnQz0IqTYgIuapxZT7HVLShGH1gIIoWAj4YMyRONCBsSMU8zYdFSzZyEI7KEDuVNRN/nlFoLRjlaykggGGOMn378CTd6a+33f/jdDz/86TiOv/34468//zrGuD0//fO/+efb8xOkAeY+jmHT7vf7+8c7EW9be319fX15xb7l7jZs33cVpaBSSsOawkk/UBCFU+h6Lx6haR4Ucw6iwkTugcy7bW+imqN9BOdr6uAqaGn1AkEOCOWGLM2XWFPzOgQwYmZuBu1/hAtEbxyroURAgTwWCI8gKCYtzAzBW+5+9l63hkHE3WstHCoi+PEoW4VTKAETDwuLC/I1wg1HrafoPEQEqlOKNMzi6UIw+PV67WeHMOKhL1d0AqpsdQvkAE/HXEXhWqqNbpZJUN3wK4cqWousaFFE12TmMwox0Iatzh5GzEGEnZ6c8AF6KUhsM0A77s4c5bJfhplNJwokBueLheGoCEmhSWoiLC5uNCdZZz8rzS9y//28/He/2/6k7/X9ow1vMSlIgpRmBHtgAibVKUGsffjWoraIi3OfV6nlGuUq9qL92kfzQuflXsuQalJJaS7MMCI8tBYNooiWv5n/dq1LliUlN9i6LNKfGYhRjSD3DxF0pFF4lIKCkRoUrVbIP4pqLOewmRUtuNahV3AzZsH5HvHgK5gYB0R2xQRFuPN3zCr7zoCoylLZMzOFANWRzIsWyKV8ZbLyMveguCNFPkFOEe7KipM6OIQV05eQGsE5pSyaMQBOFIQiLRDIRBKxMqaYWWj6VFGX4KRDZbqhx9MtKMOskcsRud4Q60oNyd+Io9QNLShIiSia0zrEZI9mbYrQkpZ8mbJvu0dE7CLs5nPO/QI3ZqBwhihsWtuaahEW5N0TEWGSWkJSFo6l8POlWQJliv8fM8Ew32qDIsuJhcUi/cy9d1pXPsv6oB5GdWYpQuibFBHlUkp2cEaCePqbS/04DlztqkogMLiwSNFMS0WVEM4gWIjHmOBLMKkcx2Fmb29vbra1vbby5cuX6/X27du3/8//6/+tVS/75Z//+Z8v1+u+70X0/eMDipE+xnE/sKn80z/+o6hctguWMGaKcIQME6FcJfIlIv8NRCO68u59iQ2QvCAiM2YEneeJ3OaPt4/aqk30dgVqwikYAwn8+YBJEabnEY74RRGOsMhUA4gI3DPUehCVWplYRB80Q/jElWBGY87zONyj1gIJ4dm7rSydbd/wHgE9w5sIIlpVrafZHiB4nuMJxrIDSsISPCd+/iVJS2JJlKFlVVXUjYSGqgLYYVJn2BTcI5gYVabuPt3HGECEtGboiJlt20ZE19vVPeZiuXkyCyMxyT3O8365XLe2CXOpFWCXr3YELFP4Zd2dqRG7zSmqvHQWRUQ3LdGAicVjmyYQY0x57KkQMzWRS7N9nF+s/xuOf9/kH9v9h/iqb731uDCJE7T9xGQczpOJWCmIijI3ZjnnYRW8flhYKVR3suEvn8vbdXoZ+08iH/40N73TRlURLUyQ3zBDtrGCSvAo4vgG4COU05nwMiMSgTdbOyBybwCFed4K5kz0/vFRztOyEYxLKVis0BePPYKJg0g5Itgj7wYiBD9Z7h8P4fCq881TETJS7AHMC2MCx82gy5jW3KhCvqbdPIQ4FfBL1VqEnBWPOwYZvFdrE2bw1U5OHBj04zvtyfGQyXoQcWTxnooqsBJCdGIQMY9hrdSiSQloEvIc4ayyWG5fezjKlGALKp65b7y2hO/4m2oBj+3htbaISCCZSIsKIjc4Silwq06b7bo9GpHCKWIE0ZzmDgP2MhjTqnqmUHWiAjeWryBGR+OFMhPbTJ6ZYRNham1Dexdmcs88g8AlQcA4iANFmDZjRbLIyhGJle0RFFtr5khBIVlFSeHOpUBZj7ERDJy7jzFVVUmczGzeP+4fHx9jzuvl8vzy/Pz8Ukr5+uvXf/3Xv9SmLy+vt6enT6+f9svF3N7f34/jmNPe3t5EuNV2uV4/f/r06cvnOQYLntvqznB+MA1mOY+7asEFMG3OMSMILbu0PIxAI9eX6IDPmBkCc7wI+35hhisI89kjBCEem1sKL8MhPuaFv+J95bwn0haLM3prDaKXPMcFVU4Yw9Vsqsh+uWAu9tW5hEEdq/OMAdswPcwu+S6AaQPVRG62yAr6LWPHa32PxIXypqy1QpcxZ4dsH7OdkMAzjCfw4Y2ghcbm74tzgqVs+hsESXF2iygTWLfUcNdawVqN0QFFgH0BrJf6FMGsk08j7rmkJDFKOqPNu9RWWfTB8kMHuWBT2K+EOJhpqI/N+4t/ew7797v8D0/nD/brZdilD3Hdd1MjtoyGZpHS3Il8ADakUqJHsNBWR3QSZuE+prZQilGHF90mXfbSm8tp7/0uM86TeaKXBtBYzQ9LETXODGVItsA5ETkZJNtAY/FFZmBsPsSMFSzXhFiyBIoXFktnoK8vLLVc6yrJiU5FHqwAp8IXg62KCFnWUCzBZT5QDzlSHqo4qIIjcnKMWEw8SmsFnjXcCcBJyIF+Alxai0bKX1giokjmREoQM9jaiQyl7xpnYvd4SBFmTCay8CX4A7WwpPVMPq21NscIhBpBUL/aymgp2pB1SLRed+EiBU52Wek3Y3Tomj3JUqbV275+MKKElYA94NtPh+xWNhXd9h2vHySDmFgsYQRLx2/Eg10cYzKfRKReYN6JBxxsRrFogvWSQ8ZDnEBWQDUqkduYm0d6F8OdtXD6S2kBERGEVvdUwqhqnz0JkvWJadFScs6FBKhWllIMQfbu59k/Pj4g2X663T5dLih4+fnnn23a09Ptn//NP+/Xfd82VrU5//znf31/f8NwZ+afP70+PT1dL9f9uhfRb9++XvYLExOJmSHMspaC5OFaClAvz4TzPDTySV2KOzwbeHIf5GrPbpaiotdrWT5hyfECcJwSxNluvp6uAPGejI4KGimUJTiwDQMwISJeLiU8sRhS3YNh1PJgYR/W+1QRLIKtbjStXgo0Nn2MaRZjMHNtsCL7w8EHiM+CguLsZy0lktmWWEQusueABbjzkuVw6u61IETLzEpRinBfsjyHHC9EpFbkTlOscsOKHBEt0+YYczFkc8ypIqVWfgRuSx5QKsqVI6K1RsxjZHfQHCNEubAuDZJSYTKuhYnnnEFU0zlB7l5US3AiCEyZpokBlklompBq0VCnnc9t+D8Y/1D5v9l+ej7HJc4npRa07aZK5IiBDRIqzaWVfZvklXhQJx/UEjMmDzKOIGpkYqY+Z0Sdw+Sc/en37TZ3Gh5jTjPutiC6OM8zAlUejhFzkbsszMDrNP2S8IqnzzOnABVhCc4hhJMVoCBCEnJ4zo9tGYwh4X/c3oCYfWnD3X3a9OG9n/5fvRsiC+IAdA/jBi5ncMKyXPw4U9AaraoObSkzi4Q5y29OWOagEMqQW2GJICGKyOB1wVjtnhtHzuWs0G5g9FgsQuLLFEFUori7Lp4jmJzILZjWxyuKcwt8zIoHJBXNeAxhYo4wzrg3BKGk2BQCnsg0uhYRxGluFuYIRvMOUUx0J63+0QjSymMOZuQPlwiXop4umyAmLUmSYzKqlEHquJ0RS7deciKiMfqcE9BKH72VauFoO5hz4s3B6Mr0PY7tgY1Ipo9l8rZkMINkHmQ6BvL+JyEV7WcnJQLswxg8+XH046fKem2m8zgiaNq8348xxu3p9vT0VIq6R++nmQnL0+3p5fXlctmZJCJ+/Onnb9++jj6Re1pr/fT6uu371lrRUmqxaTPGdb+4BwnlgySw0a0fNiDfImIpqkNApAegbYpMoMoB0Y1W04CImh14yiGgfuxSbJmD4muegJkg5253Xu1+vBIBJF3HwrlCq/sCLjhfKVTvMAuFRTgEyUWKiauoFpnTUjQhrKXYeU4jCqql4DdNVMHzRsnrSsXcA8diLYhPN59MLBH/f8b+rse2JMkSw5aZue9z4t6sry5290gckgLERxGgngQI0Iuk/0D9RUHQL5AeREB/gRhxgGlRQ81wWmR3VWXeiDhnu5uZHpbZPpE9kqCoysy4cSNO7LO3u7nZsmVree/LWtKio8TgMntIRUSGDXJGqopV5vUOgNKtQBFtOIiuqmuvcH8+HjqUh8d2z8gxRkbuvRVy3A5mwEkZn4zn8znGONciA6pSQUBU4hLGgRBrJZQWbb/TFRKGqBYfS4SzWqyDAEiojiPcZchS7Dddvxn7b8f653H+M/u4afxW8H3gLvjpG87EMYEbcABmqAoLWBsumKmOG2mwD2zHcyE2RGDA0Hj6e2yf+3a3+2/1/JHzrw55KtbS1OnIHds9MyCQBJsLTN0jE5GObH3pNCWCrxSQ4j69dNV6fJS8+GS/viakqAuodnUOVTSb58PXGSjdK8J2JMlcWC9t4Tj1SpIfD4u99/U5O5k6jIo1kTHGULFhJlp6Mnw1qi2yu9BsokEOmUDSk+cWEQoAyePspdNL+JsOIRWR6gqy5BBUDZGwCuXI4NsHoQpyipITShKyzUY13ZqxISnslBIPYFotIC3Eiq/Z481MJK9BXJXy9axJ45RhctWqglKCYku2KoD5hm4dMwni5+4OqWqdzcw6oo4jiu2D2M6km53YehGR3H7RySFynqeqZKSNcZ5rjJEeqiSkC8XsenRA1JRPh2CrjcHMWlVlyN7b92Y97hFTMIwtRNnbaVn8+fkYY5C9h8Rai7/rdr/9/ne/hyDD18rb7fb9+7fv33/6/u0bKe3/+I9/Op/nj/cfAnn79u13v/vd9+/f397ejuMWEec6117zmKKVw7pvs+m+w7nkajTPt9MPkto7VQDWbCWnWPC1XBYpTXKwCNDSEJtznucyFZ5k4ZyqU6s9woKsRN4ZrUzV9EDzg8nuY5zd7hFB+hwLQWbr7PHUo4N4bB7G57nWOgdVNO6y6c6tmu2OywzsfrvXsHc7rmQmvS4qySJCKgWc+nJTTameV0rW8LBcrVTdsccYj8fjonJ6tSgSBq72yHQP0p9MVQYJFzm03D1FMMch91LzxZiZsbeLYhhn0sfei8y0cCo8nmalmGTDWCVIKSPUlCUp2uTgZaQMpmJxHDMihprVPAF64pxxVdJsKH1RBtJ0f5fzD/H5x3j/Q37ezvjdxE+CKRgGGA4B7sCdZ4DzIEEAI7GBBTiwmOtgKEIQCl8cEUZKnCvu9pz+mD6/2eMtbz/p+BgWoY8UMZuDubhEAabsLSEyZNcMFGUlUP2MIq6o0tKuEsMai8Prj/2Jtp67trEqFwPd9Tj1yg+m/1QO4IkpzYxkAsjo0O0GgLoUxYF75X0sJTxj+96+0HxkBqbHg9ITMqZpSUnrsLH3nhRBg0gKFHVwcwmTt16EpoZTi21MhW6q6qZAWZuyMNCUTE2KttZdyiTaBMsIs+FxfsVMk2lg6wLxTB3DqPbDHDx6bMKk8FlCVUWH4LkK5ZmptI6Q8t5hhouW0zBj03SsvTj76O7SHD5vVu6wyZSHc6pWInoUuYOqGoradLvdRFT1BLgSRVS+fftW2yZC+zyI5hcwyxcBqVWSqPM+Uy//H/JNy3M0zcSg1txWIMkjJLZzzIPki7398/MhAjW/398Ecq7zdr/d72+///0f7rdbAud5/pv/7t8+Hg/3/fb25tvvt9tPv/nN/X7//e//kBFPVglqxzjGMIHAY/tSIaz8OI5Disibvj00wkPDwjdLqIw8n+demxwKLVJ8ohpXQoJKAu6+18KYY86IjbICKaW/KllRCQexdgosJpDOB2rMRmuMI5GRdASp7Sop7dIhQHegmecmW7Sqtn3z8RRjqncQ54a0BXmo+y8CDnKbKpvJey3KMRFt3pwgSXKctszJA5BWDQDajnhcmVAmvn//6cePX1R0HpPtMQp9i8paK9zVJKFZp2zhzjy9CB9lKTCWhmj0GJcq4U33CKyyV8oWSiK1WEWOqS0/hUSe6zQ1EkB9+zymmrKj83w+BBIZo8OfUM+gjkQAyrgZUIFJWOZvRvxW8IeMn5a8ARoIwBVhcId9Bw5AAAMmMABQ3UeRCt94Bhx4EHHBUKRBBOlwhwC3IzQxY8GX+bxZfoN+UzkxxLAiPfYZChGrSt/LBiE9mIYJXR1w8e75DBLcvV8Q5wuDhztnDuHbsXctutaiq3KPdRIgqqPQHBtjfIgMZiUiHB26EE9mERnQpm/mQCHlnXcU/bCN5dCNmgKTkHtXZbc9xFlURGQeNh6fDxEdxoMBcyoydQ5w9gCJKPxm2sh0UfGMwulVQMyU6Zjwc2qpc68qTYGkhvtDVHbEmENNCi5MzvsnBKaD5UDvQRmw0PRMraqA71euuAlOHTctqu61lMEFTKgnk0n5fpgdZoiM07cJ5jw4jyYamUkfYmic63mMA0q9Yl5fkBEgYoPiX2FxLpaA6zzdXcryfmKYFzFRVHVvSI/vItPMPj4+juPGGl9V1rl2mff63juRcxwRtGwo0OlGSd5w39sZdj3UBgdE3f1jvUcr8b31x3E7hs15O4455zw+3t//4R/+h7WXQuacw2yamdpxPxK5z7XN7rdbZn5+PlL827dvZnYcR9laD2XOPgcllFcNsg4jFSIyyG3j7icu79txw951MKAFXEUgNMCovEeGWdY8fE2ooVP1yBxiZulODZxC2K6+ZK2loktrgEdvWqkcGqfrIrA5GLiTMmeZOccQVV9nVqycPQJSw5UZ6ZK7qC/XIk9OX5JXd3Wz+oDHnFOgHs6hLbMxhsgYEYshJTtOspulqp+Ph6kdx22YqZnvLarHNFK/FsB+AxKMFei5NqYa1GBmP1xE1va1F0HszPTSiNY5aJzJzTIS8LLODpd0377Dhq3neVnIkZuQwOfn57AR7qK63QUYwwYB2ixpfmmCiqYmNAWiEFdgStzVv9l583XXuCsOhyTuB6bBBnAAA5jX/wdsQmeJM+yNxxPbocABbOgTWIiNDIRAFWb73D+9veFnuITcJEbKzWQCTl91UVBUoMT6lTpZkQZSAkSzWvCUrixHpIihQ1tamXXoxRHsNJw1byWGTFovwh/XSkTE3kFpHSJOWj4taoPFppZSoPRMhnYlYUwZzExa+756Ej0RRmSFoZ/pww03PqpMZHhCWD1IiincfcPTPSPPBSB1GRImCuQoGz9EBGf7RGlSDUCKt0M4uOpxhmFAtKYBoKbDTCK27zXmMJMpLHryYjRlj19xX3CmSU0C6dmK2TX7xty49J2ELcfK+uvo452IDJggU2WqSIqTfELdBaSQO8Wytdz1IsEiuswnflXksYknWvIbFwYFaMKFsBdLX+GwSLX0a3+KEL87jhuK9w1Ty5Fm15ge7WFxPklH8r33GHa+LzPz8Nvt2NuNuR4kRX68v9McZozx/afvt+N2HMdxO8zs/vY2x8zI5/P5yy+/sEssIna7kQYemdhYeR7HERnn8xnhPDGoGCNS46lV2Zhl5jwOpb1z5rhN3y6ic5CnUV2TtZaqbA81zeZ9ovV52IxBomETgWRhRwAoO7w3F/cFxDHbWuts+QRWq2gBCagq63XWBIV9qyZ1PrazuqUpBWnHa6219rnObBGFekHQhFXGnJQq2XvdjhtUYofDRUVNk0KG46XDIR3T97lWRnjcb/dfzl+ezydzwupBtrIL+ZpczKa21ikC2vNu31On2fj4+HQv0rm2AQZ7P6MnPyqhChpDlVYPdT2/7A5EhqmRB+7bWW3v7dufc4wx5u1+HzZGWb1SIsKRkw2OtWQOe3///Omn7ybKOechheJKsRXKEYX1sBpE0kVUbccEfqP+tvFdlzkGMAU3gzCmv3XoPwTHHfcb5nfcJ/SOOZC/4Of/Ae/vAPAJJHAHDBN4/hmWWAuHytI0zYkYGRPEl+RQWRCi+UhLU+EMFIAtwtJWnH7HECWKaKmqu+yf6HieQ01EbRgZuDXZS+yeeKUprdgbshSGaTaUGoWgN2xEj+6xNN17VUa/aWYrw8bFKO0wVH1pQg1q1kA8u9a0vK+ptaSF2xiARKTMmcyKgdyRipHpO5BIy4zsYWN4qU7l+VxykR35O0cphnLxmeowi0g1FS1VDIhwMKtTtPDIMW90NclIFcsIbmCFjjk2LYWHakq0ZIq0lIUkv7MKDhkKznNlUBiPXZ3OJskbYd1Qd3faoPOlOmoWmmRjcByikDU1TUVKqI0o6hszdYlMGxNIUckNkXLpQfWoNbUaJ2gVtpompWzfJR4uQOFO1fRAoElNFIpJU/rF59r78fgkSL23Pz6et/vtPNdanxcFVlVvt/vvf/97zqPQA8fM9rmej8f7+4eHTxs2BiPLOp/n4xnhYwzM6e4/fvlBSOdv/9n/6O3+jUtUi0uMjEBBozrHHMoSh8YVPuf0CGTstYl4IECwOKttLsIevoCkTCEzAuhpu5dvkohEpCi2+xSJEOCSbyTWoWIlkhOvX5EJeIT75obiXhtzqFC8zCEQM/JwCITuvdikRSY1PlmIsx1FDmtX2Skim/UKW2lM6a40rkvwc605p6mFegZRVKElJyCPx+ecB4c2dpEyjdRn9m9ut9u5VvNpcIGi7vt+v4tcOCx4ilyGaBxULkjNd3istQR6v98gpXHEFldGzmPmjsz88eMHraJvt9vtduPoUkSce1F4d7ufz5N0gznn7fCff/nlxvTi/vbx8Q7IUFVHsUd5GpV3L6d9KERuwMQ+sL9hzcibpQaOUZi+aOf+B3AYjonbHccd43f4dof9LX5/QP818mfsDzwTCyDSooBhGHJjCra7IUzcMg+NCbmpHjam6EiNFAkT3R7FRaGYeJmLSGpIiojBGA1CRKjqtNem9wKHLzglq83/FQpvzZH0UGbq2zokvHemxpbUZYi69h42yRBgfrN3Uryr4hZe0HCjhJkZa4UUr0bF/dVJzZooo6EeCqVVaiqLyrDJNaQixoAInWOKaXqUyyDVM0d6RHqIcp5w7r2q9RbkYOd5LnIJCE+JSAbGGMi0MSKr79rVjKXA5izqtyAb6c5Mz1QdVT0A0uBaptCqgvPUlegkkC/wBw0r86kVcxw5TItnWaoCbB6X1ZOY1qxJA6kkNTE/rTNBRAqRRzbdu2fXtOr4S8o7W9RFVMyy2BrsWErUsceLEBHJ8swJFbmARWQ1YEQkNYPDO2pz3p7Pz+f5vB1v57mQW1Rtju8/ff/+7fs8jmEWGRXiIx6fD/IImJ6XC1DE+48f0XxEiHx+fjr9dcfgzOrnx7v+8Y8EfJ/xUNPjOHoqA+G+EQJsd6efQfsCEftlfnM9Go6j851RYDxo+FM9Nn72iv4XhslhiGr+Z6lgsZCwL+ro/I08S+QLc597oZYKtXs91KxPkYiIYbHX9vBhg+26i6fn4Rmx997uw2r02prc+FWYAUoN8YgINhTNLCIJLqmaagD4/v0nNMvkquBJL7lW7JxDRPdewwYhTLqf0oaMBSgAX4unV5ZEHdjOJS4fPZ6SmTV5mhGeLA7Yr388H2OMBMawv/6bv+Yeozv3uVbUXFOMMRI2THIUjev5fEDEVNdaZuM4xnEcIhicVFJcGyeY+1dvIGA6Eik28q5xbNxSpzTbW/EM3A3yphjAMBx33N9w/4b5E46/xtsf8fbP8Ns75MAf/ozPv+AvSVwa9Llz2A3hmAO5YSJT0iKGhOaGp0bJnhpUh0SGbCRUUrIMKZFirdXOkCQpQhihwoZcYgm18lD3mgC1sr8nHYxERun/lcQQRMx0jAEc1bSZIyLUVaWkOolCcAL+am2F1B4j/MFzHpfCqJSIiorEK25GZEoqaQQAcjlEwlLBhSeqYsOoAWw0azOm3oMqPki0PaEk8qbTi7aIFGoEZmSYDCSLfbjHogf9enLbAjBTRIqpUElDyr26zow6pYKLPMMFWrWqqWF4JsikrsQLFMHVCjcMEdyQfC4S1EYnxodEio7p7iI2j+N5Pj1D0uaYQm5elvGIJNj9EFF2O/g5uxpCdR21zXORI808jnhOZE05hXtGeuyanlfF3h3dpdqGxafiNEshHBFpxdkFGYMg/hsZIRE4z3W73ecc929vt9v9/nYnDYex8vPzY5MDTpKQyBxTVSP88djBmgPYK5/Pp5eDY/Wc55zzOM7nU0swI4Kmtcng5vRd0jF37HOdQCfgG1tVVMhY4wEgir3XOA4m+FTDVzEoIqKlaXOvzWEa6WeF0isqL+6ilhS+SpDHqdnARqVwtHhXw/8akhgvbDauMTG/+LJjsDIbGHMOVSO/bgzjvE6EO6mcVLjZbXkUwRO0DgD2mwFi6zznuG1vt1vFw2ANCQl9e3tLYO8lGcWXySIZVt1TbVsV1cNumem+yBdgLKfPdo36S9fXEXGeZCI+z5PGrntvgeTzhMgYYx4HyT/fvn2fcxB/EyCSTp81neARiLQxRIU99quOt2HbyyaWhNUxx1prZJRgGQNZ70hObxdSl8gtjpucsrbm0sChMMAmVETugARiQAV6YNxxfMf8I376K7z9Lb7/T/CHOyTh/wL/8A33DzwBHcjAcJwBg97gTyJ8jpycHacQgZlONYN6akhqDJ2Skh5V9ZcdGjMX8kBFDOFFIAPHbbxqhXpmNULcnAwOncrlZN0OIQALXvcApLW/NROqsdZWhTVABECsiHWmxt5gZGmE1Uw/nVXIxJZSzB8U2R8TvWdUtObLdYwxReBBGxPRIlMwEUu1KVI0TWIypgkIlbwjQtVU4DXcmBCBpILG399iR2Qosuh3WuP5arrWBo3qAhlL3Tw8aaGlwiFhglVJjqwZMrQ45pRflsr+8OKYARIcvFJRWIG2KvAUNU3p8klEggxYIjNEIZhs2jCDCaSfPpsCqOy4mF2KBFsDZB3WfKZqFCzAexgiTZlCUadZjJkaR0wz0DAgXwtIsLTQMROxtxd/rtrvtcmiiha7v72NOY9xm8e0YSLqe7//+DHGJF3gPM8LOlDlr9a9194e6WwGfny8Px/PRDLjy0izng0MB3CepwB7LYWMmerln85JOt8+9xLRF+uGjqoAAkWmznQEQra7tq6AId0rZdHaGKWmmSX2WU8MNTRdxIfrMCvKS2+94iqr9MappyMqHoGK0UQyIyNGK5ohc7uTCGQ2HHu7w73WVdnzJYM9i1dRGUV8TOfBU8tDEpdIn3T3W+acJHmsvRF5nRYipcdQVTqLKkaPjASezyep3mZ3okPuYTq8LJwjWg10+SZRMAUa6nBaGuztvjfPqre3+3Hc+FButzurIhboSGzf5/OsORt0kGcLh3g4FXxVbI44V2ae5+JgAc+5nHk+l4cPNZQtryhFFNDytwRbUyMH9vQ14TNws828aQh8Y94TqrDa5EiF3GDfcH/D3TDuuH/D/Ab9Dd4OjAGd0IAIwrECJ+BAYii2ZCAkQ1KH8g0xIPARJNp/ODVyWWoIREySFjNly1VAxNCINCMxvHZUn/ylDo8L6mGoV5FQEUTQi1HJIBKh/BMngDSCzUMZw9Yqfhyj2zDzEnGkb6uI6hxFxDYVoyl2u9mRzk/MvXprhFxFRdTGMDHTAQUlMqU0p2BqNu2n3/72b/76r9e5z+e5fa+1nvvBg58r21Q5omA7zKzk85jeiz6fzzlvfj5F5TbHWvt5ngDGsIAYDW2oazioxm0yLtaEJKmru4AZ94eK0MCgZFBL9hSiOufguAAfJgssejjUczGG3RcPjbOMkiS6Td+uYmPIVefxjvDc10GzSVwt9MLARSXgpXFd4gRGHqwgEzVNpsWyCDK1I0TVfUmIX66EheMFLpnuqMtgzssAKEnY1wGhdYWaHTZwQ2bKSxkzTQdE9trUPC8WVA92cKpg7/PxeDJ27L2EC430Ry0BJ0Y3YSlpPQgJIatklpnUUlMPJylyFuZTLABev6n13QMACjHtvcccnBVgzp4i5P8Awjsv5bsQVX9JbdrMRoYaAi2GRXDY8Ro5qoBKJlJmNpk70cLd9bMQ1Yz0krSieMkOG93kFwknrRzu7n7WMSBor+kCBludojClV6HDyV6PcGf6UnVilqUSJWgTnYNHZia9lzODaVZWhl6SKYSCI5Pnfa5I1auyIQgW7mpGn7jf/PTT6t5yNpnqy9RxHWPSnl1oUCszI0NCrG3aTDRamBpacibR5jxGHyhGP6A2VCJKeUBNEo6EAQO4iRwqh8ihaRsqyIAlsAIQDFCyHjDYgXng9h3fv+PtBp3VKR59XsmCXxwNQCATB7BXam7s0CO5+/mX2twtRpQMKkZVhYk0URs1Ms7HmZEp7LPzPBjs1BGcUTPi0sIQ0pwWwm6iMscUGh6pMP+i908niTBVoftzM3+YvFsYUaAkg22wl8LOtEjZzddRTESIQFYTVZw497Cj6msVEepxqHCa2IwzOv+b/+3/+n/+n//nfroI1vbIeJ6Pj8/Pn3/58ePH+/vHjx/v7z9+vP/84+fH5+Px+czM7SsiaMp8u9/38jGPev7iYiM9UOUjTbLoA87LpNENFYyQKaOanyGioo4MyHDfnNFv4k/m8sfnw3SoSH7haA+VeQyoEmBCtrZErQxRkwKVkalQsaFGTluyDaGB6lMKUypReIaKeLqxw2OqNT5YuHVWqsgTKthjP45bZipcRIK+HxOgoK5Uq7BqKFWGbDMi2mJmpBZLYowD2SmzcmZVOjMGcxFCfyriHjZMdTIeCYS65zQ7PM/z+Xx4awCER9dV8J4UNZIf53G/3xqNKv2SeLpRnlpkjtIANzUw9fYgG5r38+qXiAgbjxhlr5ZtkXYxlLU1duTaD+y6KZighFNSobgVZLIT6M9IOpxcxuOJ8mypJjwz0ILREnQfcp9zmukQ86itesxj+965pg5VoWcPBJVm9QeHgTNXeOowFSkhyKzEDh0CL34kyRr8K1bS1GElr1/NEuHulU3phW/KnIPBpgqO1ksklFdET1X+omEmom9vN6oTbnLBE2hB2WskKDx8Owb2WhyG3+5jmAisLYIvYTu02aR7lPhgxz3iGes8M3PMCbL1Ua3FdGxCmGRMEt9MzdCARRqRTFEbYQMO3O5V+tG7HDIwDKQQWUAM0zAG5g12x9uB+4HjB8pLKHHpDgnQBjeaKalDcgRUIammMlR2DZITfxg2QXnr8DkHjQ4iY2/vSZHW9xCtqQ1UU8psXDKiVXezEmS/bIwaTWLbENS/LKRfqh9QZeBxHFlIi1aVMDQyoAoap/hmA2fU0Ly2L+PLgm755pSBis0xm7ST1DuiNBA1NtSUSmI2TIYc9xnY0FTT2xii8u378Yc//O5/LJVbsWCNDN/LfT8+H+/vPz4/P3/8eH//8f7x4/HzX94/H4/n+fzHf/xThEf4WotVQml7JefXGNDhnh0IONAvKobYsahaZWs7QP9L8srC9+KenDaymGVY4RDZnutRWZvUBNkoZQV6c1NTARDVMShYKD3Mz2O+TWaWcwhDICYjfLdhD2dWIZlsC0Ukr8d3ZM0504NakTHmTSPCAoghBWlnj4CJqAn23u5rzOEemmKq5wqBqNn2gDsrARvTPefUjPQKjhABW9psO5bf1quBSVnNM9okXdXmfY4xPj8/C3/odnoaAT1RUVJByCmKSPLlw8OidMREMMZUS0yjuRRKKLvmsbu4ASsbCGx0c7hk1S+5NJKv4ZkiuvZWIfyiNUdySXazSVOgQpGt2UCi7xAaZY1Mhh0HzY4Mr3n1Eu/jo0DLRrFp8Xw83V1tM7dba80xzRQYEbHOlZkufqEiZbnJOiATAHXDSmlHRK3s59BxpPTjUNpi7mFWLjeRyPS9gpK0QeiwSrJY5zpuRwBqej7PiOTw5rdbjbI3IFGjkcOw9sYF2Eqxzs5zNQ03RNpGVGRvN+0evwCOekZZl874xvugKtTQi4jjOBI46HUhokXVZS4sPMFDTbk+RC3FXVMOTZPCNUtz2ABSgDjrrwoTTo5RIhgYwIAOyB1z1ne2v/CV/vMcssFp6zEH8Rw1ArkofUwuJxqYcOuKDBsZaTQxN5nFB0DlnlHUBC3R/1p1Qw/WoWYmNCKPiIhZkqqR2BJiasftCA99GYbItElqIJ9Qlf9Kx8CQIdv3bd7QFQZPOxFkCs2wah8VcuJzTBIkSL2SUJ4GUFEdlULwSJIaRhbV221+u92GSEjdITQSWyuzb3Iibcg85u0+fv9Xv5Ga0BRaG3nkOve/+ru/++mn71D55ZcfP378eDwfHx/vH5+fHx8fP//8y+P5WGuttRhv9/aaGyIdy2PYzWNLyP0+iWZm5jDNEfOYEUnddzIMUmA2dZI/DzVNR8MgHHYEE2FVRaxOs/Si99hQAVpnqLATYmlCRzY1oTxceJZ8t2BHtSkTAa/Nj+GxL+fIvSk3IuFBPUtm3M3TyMwcY44xhYNBS3sU+AABAABJREFUmQJ5o7NgOtQEqpIU4p63AwEosrx/BYBaBQkqhjBS85BZe3XcV21rT2kvclXK5lxmA1SrnWMOjsXx2L7dqJ4NTPCoY/sx9nm3OyCJXNvXOivrNZUxaqYXaS1bfyX3ohSOVpHG8jMgCHdoz0tC0L6eJrrDi56holC2mjJzmK29r04sGwBFxZZWbcmG5kEBOGKB6B9p3IZK6cXKwrnW7a5zTlXb7ut59hupymPvfRzV6fWaveC8LptHNQHn7nuvBmcGdfz3diHB34MPwn2zBNwRqnY7VNV407b7YAMDOM+THVx6R7OrHBnFecikHAhE1rkgWXwQKmaLsD3ivrWaL2ICj+KKMAMG4NupCEwt4aK67CDnkKntcRyP50NVfW8MO8ZMZHz6EDGDkEHJqCqZJiM1bYx0B1TtEN1QkynjbTwTauZX+wGD2cQEsRRlwStIwA05kAfwvZj/e1CCCaSXWr+IQxPC4bdUEROT1Aw2XaXgoJ3FTnux0SSRnl4QENHZq4etiAg5JsFEfTl1CBIqRkMJDw+IjamkSRUqqZT85NneNQFTUojIuRZKWWjWvgAA3G93Fht7b5GLwMZfLlUzEoAQ+seK+26ejDZjTVKSeW5kqpiKjWEoCVAVJL0bir2VkQWwCFJUOAyD9CzzuaCsBycugSSlz4eq3fWv/4Pf/9Uf/8gkGn0XL5eMx/Oxl3+8f7y/f/z845fPz48fP94/Pt5//PLjL7/8/PHx8fH++fH+kS5RBkZDRbYHGVNEwIj/ZsQYlqruacOM5G4N8kCBCu5TDgCJUJpZUlgmkHTG8gRSHO6Ld81MI9lU92xHMBJaVEzEKHmb7nPMYAHvnrndr2B0pV1AQmx6bKuRPSPsKg25U0iulJ3YARJBigLagtvEQMQ0POgjijKBSFENd21THUKDy1vlhrpVIgKc67xaVn0eCLnZojLnMY/6eHt7u91ugIw5bsddOd1esBUZyRjDzOZa55xTVde5zrXyLB9dovI8fpo1gPDABGt0EVROxRFCpiwvJmgkRXZ7YOIashEFHKpKxzttDij3a3aDl7D8JafDncrluNeZmTYUSc2l2NvNjHSd6iFkDw+LUddhzsN9k3R6HJNjJs2jo0JRNbTN+DTTiSuUNama6VprziEie++1F3PEvTwypg725FklHMdhYiEhqrcxbrcbzzxKeyHzSXXxjkARIQKe/sUc4/JtezuBvGhLG1eLhVIQ8zh8b27+7kcj0h+fKzLP8/n5+RkRHFwQniuJzNxrq9o8BhnmVLe3spyVPns5O2NDDY4zprlmmnBY1gMYhnDodQyg0/8SPBGIwAISZBlADkz+LWmkWgiuscsCKFSFk7AlpUPuR61kAWxYixQXo5xlF31fCqVtMJHLMqLnWUQAmsaEmkbUcs/KsAl56TBLs36ddHe2KVElW6WBRZouSq8kJHqMWzj51AkLiaaq6ts5bdAJvYgqW83aNordCxSzIampFHSt7hTAzEBUSMjkbaIpGITRp7JiKFtXIj2h1Fo34IxsJ89IiNzuh/SAa5cNqQKxBPD92y1Tfvu77wA4Z8il6R47/Pk813P//d//v/4P//v/4+PjQTPLfS461BH9IKud3RqSe263OefBbhXT4Y4XUIBDZ1Gq2hCVvffLokxqiRo48JVq5BEJUhHpidgbGVCVoKAFJ++xd1kPjmGJHBUUdpSHTBajFEpeDEQyXFVMNDlokSnKC7PqDcZLOLYDV8mf9RBDLb8q2RtzNzWSfNz9dmOyH5uuihmiatLmP5qZSWlPvtqwMY+DFcAxj9vt/powV+Wpz6oIyNy0M+Ogo6nKnHOMOdaZbL2w6tpeKT+IdPkVhqX2dMH91rQZtQqpRLFKMI4bMKpnkD2WkRHcSswtrnuSnE6BcHKlszTlzFr3aUNDICCXcc5aMAQPOxBJXSrvfIpIGTJlZkbSNsOrjMgLXCJU0m9OWhQ9aiw3k2ZTmUnrLlHAhXPpc8xzLxG5zYO5XQ3GI5nj5951HHedRMOD5JBlZETYMBuGXSpyDRTzltJzDR5S7VziaXsRSwz3yFx7nU8SuLHWnsc0G4Czb5SZt+Pgr2Tc8O2+vZyYOBKir9E/EaVhuWSmDsOQPJCaaamTrvOGKPVYwRDM6h9AjcRZwJEOLMiCOTQxABOIQYHhbMAhEyU8oPOI5yM0QpCSBYNMgTHXZwKovGAS3pP6Ta2yX+PCEeGvIfTIJOODx46IDRumr7GLwkmrYcL8jr2sChYiasOaQVOrs+In5yQTGHD3OspEPLKGiCPX2nyWmq3KWeBjCT9cpQmpDsL5H1GozHEgIcbktOaMhDLDdRhUTOHhoZcXDeMQNDOktZCrtVQa1ALQgEkLAWsgOJv0wrK/bq2KgLA7kxSYwQy3ccu3G+Kvbci8He5bdQyzCM+MgSRtCUd6uCTMJhT/yT//j0Rl7b3dkWWJd5F3SxxJQP2WtfbtNkkn39vJ9yeZwaaByoCCSJAQynkuZlg2dPlOx3bPWJ3my8eO4xjUETeF0U1IJNLdAylDR8B5w6mgi0ZFAHiLYAuECiQJpFOIGy5lMYbSOWCWU2WQKIzzECaItq8p2sxWUZ1Wz5HDa8AwRu3j0ihUtTFHQUNzjjGJ+zmDSiv7c7iJE0mBVFmJbBlwVvww2lGNwevce0f4NfkYMcVoGcIQSjyzTFG4QdjdJVOPGKyJXvlYjdfwvtGpCoJqikhWs8hfpSrb1IhCeGrcpCoNjnfx24gXichQSyHYWxKYKemnm1kiOa/uXqcOgWJ+kHF0JdrUTPc6DOgboaYCxY/3H8dxu99u5zpF9bBq7JmNO+480rIpatRnZgE3hjFY0U6ABwnHMDNTxOkgxothnHHhBdCOjcgAGckRAJ1xz+fJZkMpfySOo5DAb980In14ZcBAZogOUEUOAOVCTYeo5UU44mKFfml7ElwIh+tQmQoF/w0RpBJoVCiTfWWQ5RnSQSiLMCuK0pQX8PkbMBKe0EAITGQILHOHp5LabpoiUEkFvPyxCAOQvTNsgCiv+8UrQALF/hJY+jXm08i7ilJurLvu9cIs9Cviq0QL9QCgNPQqfFBKi4+wEAQKE5OaM+DzkugWxPYd7pTUpxVRpYIFuB2dyFC3GUS0E2lizPY5uNA9DFGxVqtjaxooYvUrB62b3w0H9MBUd3z6iMhKSotf2C8okgXx84/s9CFqvArVQq98wXQIjnns85EV7FJAplcS51VRbB5Z+tvf/PS/+y/+i9/85tsKD3e6CX58fP54f398fr6/vz8/H+c6H8/H4/H8fH68f3zsvSX1XJtORshkc5K5XnoGmiqnWOcKD7KKU9PGhOQwiW1qCE/3bUMjc6+VEdR/KGF/gYqajmIncMEJKDUjAHl4WnqotKaoz61MEUQVotibiFCKZMd3np0KbnUIQK2npHgLe9RaIiWAIhUEI0SEOvWm5YZkpeVfKT+CTSmnb0/nnMJmQyAzu0gdzO3Y7s+G+KuuRXXPr8eLiJAi2ZaOE9c9s1f2ZhPX4kJNg5UsOW0D4oq/tSC5eqm+uX2d5zymdLl/HS21c6tkzWIlaG9zpk2iCZIyq/ulqpG5Y9Fos2I9+XtFx5AI33sd80iBpvbDFo99HAfJWLxIIkJ/9Vd/da6lpjMn6Sfkd0TGOhfKn0QpyVAWaR4RsddJqBnEKospm072rQ3+ii502LTwfveJBMdE9lqPx4PfMyaxJcvM45gk+eztLLDGsOfzFGDMQ0R489F9FErkAvLt+zeu8gSY6JTjUXTQzoAOSXFYpgKWDsdImMCAHYAapiOturulH6cQqx5xHQYDOjEGdEATCgQxItYBgBiGaqpsxB6ikigZbQByQHngJ0TSg1FVS+IfkHLVkQ5sGSmVgyATanJp+AjKn4E5JqV8KqsX5ZmJ7g3xj8XMM2TkjlIg8XCREAzpGrPgS+HAJDLTd9hQazshNZO1397u0gPAXDTZA+Kor1i1MIgeUqyBUVQgMFOjfGtDs5AXFpf4AtlCqhP8iv5Zz1wq0yeqkoWpVajPfm4ppeQvSUdYKDKj5H5RXQhApAxvRaU443TDICleQd4eL3HebjZtDhtQG2/50/cuWMQagKZZkvt+ricy//znv6zlf/nLz4/H4x/+9I9//tOff/nll4/PJyJ8e3hQIDo8Pfx23IF0Tyr4Q6Giz/M5bD4+Pzjd7fuMcNJraWSZzfrgzEHnuUVWqTky46S+uVca2wCPRGZ573XoVGJK2ZMKSRFukFaABKU4fG+v+TVTBY0AeUsVJirEGSrYqbFZxZ189R4jkok/cdEoQ8R0OKNYmfMk/DIuLU31lBBRdlmKSiilWJksAip+MfVnN6K69nl1ZeVL1pcVq3kYIK42d+QwZbyTHqHnMtu+LcYcFCpHdUqzqJA2uLjUIyJdSeeRqiEajxVRjXBEjjkpg0wPJqlrKsIVp7Qu0L9bEPVwL6L9nLNa3zWIJgzuorJjU+iXCApNQa4zBoC7M5m7bibxHqTMab6dS6Ueh4oA7D1k5FrnuRaBncrpenPf73dWDxSG4tsnr4RGJn0BYmbZHFBO1O3cIqI2SJucx9xrD6lGEaNPtoRtJmCm4Q2q8YuSY47QCv0YfOQCaPyT6NORFQh+otAb5oE5YY5WYipfaFgfCTam2TLRIWoQSzEhHdBUBfRHTN3LkwM4Ge4+zRh/QFVniLCtV5V1ROqoN1o8iiyvmF7F3fysrkPXCgkIfI5ZpAIBLrEzEVEdw9i406HUgNvuF1dVsMcYeaAkRETUjCINfhU0NULS3C3NLH1avfim6NaRjcGnLg3XXx0XjqFW2O90qR9KDfu9KoSqCVBmwGUnIOGOHnO/XqHXYJoasg6VVyKXUIXS/7ohC0acHrWXBIba8j3URPV+u5sBikzs2KD2haj0lBUySHgxsznvgfjdb/95RCmfeOaPv/wCEVU7n/vz4+PxeDzP5+fj8f7+/vn4WHt/vL+/v3++f7xv97329nXbYz2WiAzTdH+e+nw85mQrRdamLnTCbKhdcjWMMpmxglSiXHvPMcoHQhQovRDGoD6QBSnb16DfqmDvBLWVSOOoowFzWIYy/SOyM+dsV6l6KGPO0TnBPOawkQlIclZZhCp6RSui0j1Ljcz2dEtBlrh/RhCgABDh6RBBume49Lgcqg7IqDhVwTwiVZERwSwRrwUiNWChmWmiQuHrCO/rYUaya4is2ESqFBbUOQ+z0mE0vdY8URDP6/AB30uVGtEdVEJN14EREeFhqon0GukSTYQLChzjyYznOpF5u91tSmbebrfb7djkttL+LIWNGZpWsjBfa4WHmLEDQfm8wKu+GVaCzxQsYuM6M8dQd2/ogagLPh8PdlzWXkxEvJyQx5zjgijMjJ0D/nHoaOSKeSEi0qax2aaXWAWErXV+597L3YcN1o0DKE08IKNmUMGiU0TEMiQplqGGhIvN9Vw6bwEBtEdxOOAYlzpXotuQQCAcGUSNAYMa1FlqQw0IhEEFMQQDLkIbWTPoCJtpI8SoTjWEJzdR/DY1nRzIY4+eai3SIxKsGW/DyOS7MDiC3Wrjgk2K+H/NuZC+3WkskURV1WlCywhSvuy2c1ckzCICmw3PzeGUTNBCYAxzzyTZy2oMmAmK2YzIYUP6i2MMFsNjjOoqoFoVRJ7NNAQ0iSiTKWoZ1d7l0ZKdOmTnS/xUqkGGC+VQPkQ+97oJ+WrIS1nvUIS28CGgZddAjS1qaQwVhG8I2y7IkMhM8TGmJE3+cu3NlSeZKOYT6QyZFNWpVk9CMHRE8TMpUh5zjre3u42JFOQfOdN0IQPbF4AMRPja61zr/f2Xn//84+PHx9//9//9f/1/+6/d4y8///nj8/PbcUemx57HNIpiJNY6iVyNMUSErF9JQCW2c7g0i/WY1YjL2Hsjk4Zw7LyRlsc8VwnxVRlaM1xq+jx9L4+oqXYzs2HhMccEde1RsgpIEP/hTs6SbJErDBNHjvBwE4HvYFXKGo9WJBxoiwjOxMQmVclU4KwYwhHsAEcS+ayxYa8sKVXLEksYJrqnGqTQSHF9a5sxmLNQkGKwUJ4hgTJdMWtOYb2bUugUFRNLRLivKAMWVq88GVhqczKhjxVO9kWEq1p4uIcNFSnX7tgRmsg00znfWPSThcH5NRr2kugJFCHwOG7n+dS0HveFCN0Wda0lxnc0IMjIvTeLGD4rRteu+XKdp1MeNnydy32XCp7K2+1OpHHvzTlevq8rb3t7exP6vwMUsuZf8cwri8FZlsgsgADApThrmRHpa+ubuftxu9UkcOmgQSDYCOnmX0oGwjNDMyRTUk0HVHKchRJUvtfzX69gUwhCjX3l9Z0C6v9Kf5sozGCaLrzplHahTonRAErFS7hPVVEdLM6QWmwfFJwpmBtMoJi/MJhCqoFerkwd3OqELOWsqlZtWLL9CwDp4VOniuVFBtXa3t/e3kR1HgfFxPmL+Eo1ckx7cVMObogIhX2761tcRZ61QKnF1U9ppe0sNZCQLz2JKt1ER3mxCOk9IvIl7neqX3giN0tej4nfUMUBsum1kqCSnlRA5ZkiUKkpoWpAVERAgowBBVSEczoKjSoDJYEU0fBWQaJeQtSEEYQeBpQYyk4AMzykLRPAEOnVYhkU8KqUK5LJRokC5Rha0L3oPWdm/Ad/+O3+Z66wP//8y7/6u3+VZ4jJ9s105XYca58eSQIrSYHhDuS5lpC2nDF1CuXyRQWYc1hNM0lEjDHc98GZdarcbHDiPzM/Px/rXMdx8A4v1UxCXjZvh7ycSg2QMSa/TapVpNX+YZxobLOYxVWgQ6Q4JO5fnJmDdL423UR65GFHdtqX4Le9jpH+N9Pkl2pCNtDPS9GWUfF2jyl64qx2fWWCLHKBtdcxCEnXMBfDt0KL+hK16Tic/ErwkzpxAqnOPy6BJ2m0M7+kyRHn8ykiuwXRNGv7zzlyoE8mjXBHUqsvq4sLIM2GgATNyEjtuVgzBdRJVUBAlAp6UVQUHWortqqy4zHMdoS7Pz4fmU3m8TIjM7P7211EMkrAjrYwJkx/jdGp/F8z+pCjM3A5BkOKq6JVjA6e+ud53m93FoUeIUw8w8cYY04r01mMyJraBDGEymQDnhgUF4YOJJwC1vuMOW/ulP/ZgAIOBBAGMQxpoV6uQAe8pvCzdd+9a4VIePcMMER3RNKiYyAVacghOSADsgWeNiwBg1XKEWlq4zY00n3vaGwx6++J/rGoVNXwSK10jM2x2AGA8zU1jKoaEc/zzIxjHsxNrAyDCm8BoCrb0yOUoow8XcZgmrb21kuUgiRQ0dDU4jPwFdh9Jb+IqScKJlJNwEtH0IdNztwWc5StgAyJIONJ6d1WTZIr8ssFBXXjPa99UkcAuyq19xkfSuervsoXkD4YCpeoIJEX4SjTVJSjCewaSKKIOoQoOFeYkmJiQoaMXAS+Zuuyks1EBmegGcH4BgTiuTNDKpd6wcQizNTI+CpK2JWNiCh3lyREZZiGEX1s+RcV6nZoaaCKij99DxuydpZo9hxjkh5jatRCZehZ+2SVVokkqwRRS9MSqZbH5+f2bW7DTE3HGDwwzMb9dhcTEZiNoaM8QAp1TelGEdOFi5HF51BoPoQNlIxowRIugYuV0Gz9OrE5hMaCvaQ5s0764qQxp2Er83J3Cc9L94sEvLW3ULRZNTkQ27kmtwkgfJFhA7Xtg/1qLkVPp/ox0+fMV6FBEKouOwvRYsXAFJsuIJGpImvXcBYXEq1vfG8bw0zdo5GS0lniMcmVH/WIS78nMiAYw6gTxqPumBOCtWgKlmguOE8i3w74lj0GJZVwnuezES32ALR3dwmvtk7wyqVmx+2Wff9tDG2JViQqRKCcl4Sz00LFLWHXp/ODQvCP4yYiBtvuIxOGFFiaqm7fArndb2vtQR2yTFxEq0ohawQXKYhwoboLCXZE/zxgcini6Qv0V0C1a4AoTcRMQDEU88KsBUpnSKAGfsuDINlFFlURkxRKKidV3LnuBSKKcdzCndyNhKk5J/uLv5wYc3gkxZ+ugV7aO0CkhLUi3SPhYwxaTJzrXGuLwHTYsAzs7UQDlNrLIql6iFRpj2L1sCYdw4aN8zwpL3XNMY4i+zNhKFyHcn58hVri3X1Fhto06Vqh2B7amGBT66ogkGsS4grRUsVY/3PhNldLoWD+nieABJxcUxTW2t9fRUVhs3xBtgbqRWoAJKFI2p8GFHT9rYHRIhzxOGxCISIuGEO6iFQiFDw9rt9X0Q+SYqbFHhaIFZrap19L71xnQAdBdqqP+23vh9kcY6rBVFQpalS4OcMQOz1ahpRG2TkRnbUSdJ1LFGZDxTxcIcNsF90LpqZTBdhOLYEMDwraADCzMYcqe7oDIolgn5bIfh2fyZEluyJ4kcIo1lJ93Rc1MztK9nPhQq/5Z4JomaXvf62CultFxaeEU6mM8f4FB0JVOee1X1Jl7JOb+17nWaE/8loVrKkZVXltw9RfvzaZZHuWFUzW8D61uYoVU5oNpqrFE12+pGWlk/WLGZ0yM6EqNeXbo9Tu7h7iJQOchVnV6F9Fv2TqASpvUc8qunktIstPkrN5ftZOpm54xHme3UIfajJsdOnOLjSpOGW6KclDXWjHlBo8JxKl9xARKpaGzLRhUoYK+eURszwEgTLNehZ772Me5/k55uyT+BpXKvsphqv0HGYjPGHChELRrcVqp0BNGAVssKFnogihL/vuGS6GsILGyP+Ra+00WtAzYpwWRiINolDAHZEwx9ah4gLtRiLqFaESUhZUZR0KCJRFVpYmitBNKSOIsdDqNAtnUAol1hPNmHOo6LlWSloZwauKrrUYQOaY5KVVzzhCVWivpHSgzXIbX3vzeAiPeQxn/cRCCkNEOxvSqumVfB5R6l1U8/aivklLWEhE5KiStWMbkBzVIZ+HNIXqtF+bugNfPYK8Tl3GxSLupGQr4VWMZe5PiL+ivvRz6JOqwb2sqN6FBq1KKZJZIciEBF9P4ahPkcKuXPTrMSV9CFWSy0wku97QQhNUREAo1thzkuvSq77J+hoSKTWKhS4GREukUVVpecc0zeyQMvtOpJpu99MX06U5S7THzFTL9tbN55yEMmwUnX+orHOB4p0ipGzToFVEf/vb33K86LjNYx6gjvoYRIqUM97diyJQVnH3aoj0N/BwxKtPz2GIKooQKAvfGgJEZnoEl9323fl11Ekf6V6CRf2aApTBYd+rGruBynY2SJAeHJY6n8+qjVQA0P82S/jzarwlMwgt595e2N2ZY7LQaVB79ZBeVRdGPTXRVFMrV7KubEQ0C8ep2M2UmVz4r3i6qRqZ9YWmIiN2jVlxWrnyBmLj23dmZvpai3nedmcVUi2frqHVZI6pZm9K8cQCLanaL217QMApsvL92Qp9/CPZ/QLQUAaZML4RSjsUdZu1mNIV1fik4RnH7ZYJSIwx1l6mnMYhkbuERoguDh7pFRIIBCHAzjKUgvCABlzMUrdnhiUM177kJ4GQ6iRKUz+jVlNvb+0hYUdZCynEkUA63CBcEwVkJO2+hPx6UxPrErXCGvj9IhIZKpItn2I2MkJMPFyixtwYoPn4yd1mlVdCj43VHMfB89bG0LKw0EpUUq/NJlKnZuMAqSJ2TP6WM0/6QlzZKMEXFeHLSpUg1QDwdm3UnuviZmHpImr9ZbnyO63MWBqJ73rgOpPx/+U/nfn3gfBK9WqP9c/Lq6rrH/3ydVx/Ky/4VVUVCqt00ghLKVUVa0pZypiBbuDZFwPqfVBC5lV1vOqhC23qw1IakiqVhhpprOMl633KJSRbrRD2VNPD916Ea0VCZXCUl11E3+t+v398fMx5oA9k+siHh6qRSqF6JbbGqBr7JSYjqpGL6w3COR3NlNt9WmNPrLNqDE31yhIglrkIfbER0Me8gBFNe131gc4jOYLulinBSBoRKckhZwRQqrlJ8KxaP/VZif01SSYikaO4BwBaoObqClQ1izEmcJO1IiNXzjnDy56qy5F6We3jLTutuYCLcCbgLJrrnlf1TmcCMzU9bkcBHXUZVXKUGb2IQDyi8JOumSJ5FKG5PcYw0700gZRYE6QwIubsNQjsZVi216qhHIFypJSVfaGO9U/2xBk/h1Tcl5oYzxCxIaSxKHSMoSKfj4eqHccsRJQVTJ9bvF+jpDTKYIfcpAveqCKV3sLclWL8bjPlMhAR7tTMHK+cMWv7S8EzEKSKhELM3J+ig9qoohnFe0nquDGUZzM++/XQCSsICtE+mBuWdCCBAR7FEWLvkW6li+1Cg2iowYakaCbRufSCMSkJByAqWeUd5xd8FzuT8xh7bRp8zzmZECmMgBODb0QEwFF7cqXRFZyNKSLUjs9yTRIJYak+x3BKuLTJ5yFHRMwxWTzWI0RtVPdiBZjyB4UQ+NUPBgfKep7FChZo/IcZSiSg3N862AP4mv7zsfTZkIXYMOBnVkINIDrxrhOh40qn0FUMvPrIV2RmCAEgqN0l17vMQMioGkVCE2xwXRiQXleZX84V6cLkqlb6m6S/2cAekrCN1GVTCpGs7Lj/9cerVVEoGVRrGvA8T95OQcnBQ0qjO9NE5Ha7CQUMOtBvd0pBTjtYfafnmKNFumXt3UQB5StcJ1YwEWFtmgkPWEF7Uplp31dyVTGu0f9sRIydKiLyFViBrie1urSFv4SIFkcyeiXwNtLpgjTQ4nEBNexd35UZkbnXnseRGdtLK3SYUUD0eZ58jh4hvsn/WXtBZO1NW+K6RKnicF8sj1evKq86IIEm13KbON+CarkCkPfC/In0IXTvmmUWX4WgE4l2X2D0IUAwxeiWWxUZRWGKvVfmddxET+q+WkqiOlvvAeB8jgHJaoAoesX3TESomg0T06gH01mzakZxzPhSJD7c73cAqsbOx9qLFLR6TepWEdNDTcKqXHxCAbC236ZRX7ou8hqWMjOI+24SgQikmJEN2fTOSYlIauYTchVYpmQ0szMc066zo/IUXEUJ5MsOlutto8+WKgjwdaM7Nnz72gPJYgEBCVFwmyRbEL1TapVX0WrMwal60QIb3NIQVWVBytsxxnCPGqoSTdB2ObSq4gsoSCR1/xVtkVo3nT+r5fqSqbk35xVZKg4bKzdBJ1AfMvgrutTlAxDylCu+8G4V6K3VO5ULRuR53loR0I6eSIXmK4f/Elq/hsHr8woJHXSzTn2uAuiF7dTV9GdfPslGU3AFLaFaZ+WrrqZIKVmJeqtQ5++TjIyqu78WFf1rXogUQ/xVshSccQlQJTi4ntHjapf+R00s8bXiegMCCs2SmGtjiOgwBZJjm0wr4pKjqS1kY4xq8Mhw35zwVFGFPtdZnApAVb+9feMEaaE6pTVE8BceMVU5CNIDv4KWJJE6gYY0E5epGu9UTaIVaFeZc4M19XlSkqEeYqfdjb1w2Al9qPC5NFTCk4neA1UoVb3eRvAenhsr91rrfrtRmRammYsgO0PnGKVZSxDfdFy964gQq3iqFXTYhus5or7nZkbKLAAk/fIojNHWwaBVn3faDQjZpnVHmHsxmnE2DtKT7VJ1wN7+eD7dvQhP153p2pF4Gy9M2Rym/hiY51cdTmkNWruoKAnBJAKwpjN2aHbmJUCEUmcqJk8mMueYHAg41xrD5jjQJJ+q+4sQoO6buJlndO0BVb0dtyT3q8hj3D7CFQ6wZyNq5SQ8SGy8zuPacBlmAo9EqBki5jREiqjZcBmmsjvcNOYjBi7VUCRzSkFoEX6uYyD4ncVSQ26EwwVwbM0S9soierGHSlmuGnYNd0QIUlUMwp4JMm0MpBTFypRRlZpiSYHD7WMMNRNIBO14pAdJKvc2NabkOgYLTG1J9LP1nzlZzqFBysNy9gTtzCcia9NxApFhqmoKDgWwpmiKfQ1890d9SVKkWCJZaKlmZiCM4qmZoFJbOgfSMoNJlfbM/AsFwguq+dUXCiIRqjtoJtUVapX39+WLGVrlw/Ui2dGW50VAkwPgSNEQMAe5anzAiVrw4dYUwtfzSn513VfbOV8APvoLX/hqkO44EAriCs4XzTjARUolskrmVExUj+MID3LAWFA/1xMJG0qJEeeo/TzUdNPRW8RfxilXhah7LVXda1fNnpyhdUoKZ8b2/e3+Tbn5iMCCod+uZcZlQPmauvvR2iQt4DWPyUfHLFM5XwAQr+AwcGYFLyIwJQ2LOkGDaqkQlPZD0Mo8UWhqbGx3ZjjM63k+qegO53wlwz3aMFVEjmNE6P0+2EeJYAsXquLbPfY1YtI5UBNbVTKSzQMSHAsRihDB3iS27iwJhGq68BtQXtwEQAgM1AefzFqLxwP5Hd7pvftea4nonKNAvDG0X5y/urZl11gAxAWCgYq/ZiXtTbwIkDlMuqASFWTSrpx7LhOpsVZSc0yNJDEQmwLg4ZxhJp7T0V4zOUkqe/sQmKrKfDwfrTElYyjHJDPD1Ex1o+RmUUga69ctEGr/cfHSoTBfU/111lTqLxR9YedBYKbrpJQ6mg3mZHZ9SRAr3vAkkC5rpY8K7XzPEY7YPQysVOLK8ATl1CEIyQDULNdKdnKYOQUE8NiHTaSEUdqBYshg5g7Ajttaiwc+t9x1QjCkSpnvCFOGqKVDnmKQdyWCx3Z69THjlbYRJ1gUHmR0EGGk7xrdxPamTkp+vTtUeeP4sZQVH+1oIBeZix9KlKmXYuLaHhlJDaYrd2pRB/wqTuNX1NBff1xfL5BBSC8rUYoKytJR/Lr+60uVePW/hBltClV2mfZVbYiEVtDvqiJrb3291L6sL5MMV3HQnWxp3PaFInzJ7+XL1b5+8tWrEBGyrTNSIB4eK445CTLMMTNLQJs+3WhhD20uOpG9hlw5csnIhbXXkDmGZOTtJts3IL7owsZ9xWDdSr9mEAyb7VuL3vBd5mqp53IJsRfNMlfqfktU0EOgUGwmhBnyumUZtcelWk2vTvuLQpIdLKL+wFCYAa+7V4VFJhf5PKapPfHkT0n5GO9jThGFwt2f5ynlyLgiYoxBYQm9YOgxsohXBZRESwZxGaqZhGz2MKKsnMzUy/2x7Oy7AhQA53myPZuZ7+/vTON5unQ9PTkZKr8iXyQvjIMgvHVf4LUEnN9MIf5uBpBwPazJrNysqnauUy1p1JvVj6mslgtT1SIC5XxadB0RaWZ+nZdSdiZCBppHADnnpOqBuw+zzKCJKhWx6PfC26WqvMHTxvLte88xjmP69poEZkrIoThGAUQB9pmpQyNOQJAhMkx0+5VoVl+Ux0DHlEKKsga+ilY4kRNgczibHuqIRE6IhmRgDEOuKKmxklsgYmmqCDgFh4DIbWwrCCSuwchaRlfMdffjoHYKazk/jiOLC5TI9K53vZJBZnb1hthHqvYdG+JU8XQHwJHI6kdo4TbFVxoj3Mco2g/a9+eK76kv5fQrkBU7TFQI93NkgVbrkNffCicqCnHTr9EQV4SuOP21uusMmYurcOUvcR2vFnDrJP1/Pj0qKX9du6BHAbtAFqFmIwCEQM2q5fjrEuVXp8DrMOnd//otKUID9+vp1pkofaRIn9Av+lInHxxsA6Blx1gTnnQrNDNIrr2f53PYuN0OVpBqlTR5u2KFx9pbVedxrPPctQwUied5Pj4fZoPhiVmG761qakrt/jLtrkNZGEr4QKM4iFSFbJiP01uMCCztoaZCVCCLuPgKzdETUpnFIeXt4mvysbKVxeJ4r12PmmY5DLIZ7JlF5N7bxkABkDQ7xBhyrpMx6/F4qOk615jDerrqXHwcRR1192POSwu9xkeE5Xup6THaRmki6ZXmowYRyTPW7LZzMMuQkrpzOB2Msy3Dwp3s7fv9zl4CW3Sgv0Ky5bAptyW1l72ZV18YSrXOUkWhqWa+nZ0YMrwjy3rWVEOErFA+sGMeKEoruelZBhgRZsrMT0UxCNVVy3rt1ZkoVDUogAoEonwdaCMcoYaIOI4jMo95sNY8jkNVKEuq7WSlRSZGrhQTz8idkVle4338VlgQ8gAFpWIoEM5qZ6roCiASQa0HNn6zO8AXsFchh9Gf6T+tweSir1R+CWETVwRKCaoiUURmFjFRoZKepFovP0VVtqqqp/M3qmhyxphM3wjW4HNyg9E8JU1tmDlqCjQSkZ4lpaSqdE6PCOUy4ohKt2ZFOTEElFZpN1sigpLrXC47tpYIDBE1nsMmAisf5k7q0b1Uub72hehXatuverQv5CqrgAyBoQD5L3Xc14Ca+fXrX7PrxNfwW6zfF/T/q2Li9cFw80KgAcbWTsILOZLuVapKNYkrWuevzo8L9cevv5Z5lc9SjXG5AhnzWnkhSfzWX8GZ/fUvkhj1vbzzMcZkY4ZS+KrmEZtuLZVxZ/S8pZlFOhcKIVS+1F7bnXTPY51nVQNrcWRfuodPOn/tq+IuayZK64aRl6+OrmW0+gRXMMqI5KxTvZMX3NG3VIQapdV5pkK1RrokIsJQ3La+x9mZW6I1RyoYqIwxuHVUzT3WWsPM5jS3msO6zhsPQRU9TOSP48Yf5NVebeigCWWf4VT8li9r7tq/VcrkxXVKZDWKM4KJfwbVdiNJmQeGjeM4MjnOSYBQRXS0zS/D4qDbWqaU7pvsTAiPH1UtvzZGf1JLGeg3NlsyIgqE1hjNdfdLMy6rvGB/TIESY74MphIw0Zb9MVG2NHLYwFGcYwCBXR41UnSjAmnpsMZ9RVaSSgQHoWKMibQdS1UpbSSCvfYYOqed52L1N1SU4pZNNA5J1IB7P5NMiGqA8qeI3JHZfpAV7uW1tyCN+2d9sZoYLVwiAhU4rcUnxOGJSLFQVwvmxFE5ToekzrAzcuhw3x0D4B6SGMNYNV2zl2ZGK2eRKqLJr+N4s4gEQEKxtwUr80QWfSh1S6OOV1XcZkXjTWmQ1zizJxfEIJg6CdvtLy4xyJSS6xMw02CrmRAwY791elrN3oY75HVeVnCo4Hilycnz7xXwrqB/4U8Vdr58yJWwv4J4NJH//8fHlXNXUJUOHn2qXdMPncz2dYigBz7rEbzqjV/VE/zPK4r3uDoZdI1LvSAqoNvXiSvi5+v3fuUCFQyCSsDrlw4bXLCZKSruTtcURqCdNeZKrFm1ml+EvO/H8eOXHyIy5xxzUH3huB00e+HxQIVISo40q4vFHI9Dwn0v7nkKJTd7TkMyPOccRXr+0uGv2y6ipk3br2SZNAQRsVT31yCYfaF1XRuXsE8iubCzWzVRvIpCRdgDX3tjVIehMxc99LC7teAoVf64XIve+5UJGh7hZQJM3gZ6hX7JSCUjznVeTfW1V3rpnqrZHHY7btc5zXtiZoBF5t57Xroa/aGqZgNgV2NnVKVCglYfrl0qcl8k1j6HTh7z5/nkCcABfLD9HDHHUM0ohVGIyO5JaVgiEekQlO641ArnBW/3VwtExGvyoPpDVRWVzbLE5dUjBRKe59nAlAh0rUWV2WHmvsXGHDPCM+X5fGbAhq7zHAUw9TpQTqSCXkgWGTVIS8Vwxd7e1Pz6Gbl2aLsE4OuiekUMbRpoVQBS3WANqCMCG5IQn0KkpZktfHQq6ZmdWio04ZktYpQeUYUKexcipRcvHTezJ/q0F9ZorXDmqqSB2zB26jMyJdzzkqypPCvRM3lorz5JBAUMBNannKBgR1QGVDlzH5QZYoqsQrkL/Ur2M8sGDAW1V2l/4fxSd1xaPbOD8SuSSkM+/PILLGnUTl6P75Uh4xrW+xqR/+nHv3dCVAFTMfnK9UVIvcNrP6N4R7Us/snHK5+XCwFKXAlFVQsM9InOpLrqQK/A6+Wz32xXKl9igapmpI5S9MzC3YNjnEbJT6nLZPQ3M2oM8yJVbRityvN2u0HyPE9NExE1nXJkZ+KZVHIe9WRbzkJETAfDrnVOoBRUaK8orh+BiRWGIqqSEHlZVb/yDJSMpdSQIIcGpHNZhPtoNTcCoUSY6UQPerZ0NUl+JCcoS+OeYKxgDCuabKYNa70K6olLuTEgVMjIEN+vS32tI2RyQOlKGYut76t426W042RDRqiI3W5XosGGBAsME2vUW0RkYvKqrgXB7N6UOiOISAMalhMp900RETrxQmqCl0NnKiWIYGp9QFwd8grc2u+OxYdVPQ+FytAI2XBwmKuVD2ohUT3UPTNNNLrkfVUggJpkGO2yCXaZqQDn2utcpprFzk73LSqIPNe51z7z3L5JMwn3vbea+t7jVWULgZqKAWLiKA0WUxU4Is3Uw2Vo7tVbNYtEWBYBggKGKmOl8Qu1/Sd0QgZMQfv4ELgDAgt4whM7fU8ToQ3M1YaS9khlTlm3TL+ErcwMYUcFIm2wcJ5nKTmLZMvmMLv59RJMgY4x1tqCiu/bt0TV4nMeWvPceYGMUly9ehLszARiHgeQVvqrgqbuMTSxVHoRIKTH0Dus4wp/vcJ/FR1V+ktyzTxxX13nxD+Jp/02Xy/0pVt8/R2D7UWi+///o5qL2Sgcq+jMSNbHX1CZKlwuLs7X6/1yEPUGqn91kn+l/BXns14RcuVRFfyvF4iqTVOYWVflXhN8EiWgnGMYO3gUMrvdbmutdFfVtTcyxxhMD6nqTQCa0UrU9jr32mS1DBsCySBjMJGianOKyMdF9SGfHWgDuCL+6uvU+2KccsFjBKYLWAP6XSBB59GaZbnAFtrR1WOti0ESCeL0sihntbiqGnJBRvDNesTeTtbTcbuZjTEm5Rk5fDTG2O7N5E8SIpiqVHmDS75QRLB9c7gGAGN6lwJk3tfw2JXuZNnjqKkeF5qqOsz4raBIW/msVVWnKmYjkbn3nDSXZkmMGiywUmdxX4z4oLRYhEih3bQgWGslpZkEKqR0q3sMyoibdYCqY4A3WQBm7hlJ+2WBJILHwBDxwmTUTCmZ2ylgDQBJN4r7vEyW5sm8nxMn5xYV3zsTf/rTP368f9zv9zmn2TBVPgtmML6dL86G0/Yd6elhJgMi8eKWRBb/XSSTu4kwuYSwUW2m0ekPAHYD8AX/+SdA8xeIuSAyhRhMysWWJwcaTRJHgpT/jAxHlMoQVAEXRUYN8L3ihYgoYZmCUlFZfuXUfGxJsDPBkd5qEohn5NprjHQvwhaPnX5xsK0H0Lqh22Ei3G87Nv+WPZbGmqoQ2WvbGFF1vUbJ9XAXV8Z0BT9Aio/af6YyAIMdkVy5wi2uKJp1EnwN6Q2DNLQiXRxkvrL+Dvr9eIQKxibXcZG/etUvH18QFryyzioCTCkRnIliNwEwkS20pS4Qs8uEVzHxq98l+QUY+vr7EmgVzIh+F1179p3Ea5rhVQfU/uwctFEO/l2KYIwR7mutfhpqqh7BT0534MLHFZneZY3NccyDo8UeIaKC8IjwHQXSJiAROcZr3tvUspJgzUgMKsiHmlEjpMUNqUgjVwir3JDS3H0mXnIx9bJf8DcSHtbaIsJMVq3M5lgnkyUk1+LJNNNh4+3tjfO3NgaQDNTXo+DELBdYXuPxSXGtYqNn8y0K1xFEIa41Xps9eHxdbzMdACqDEvIWkYQYQQQxtfySdF+7KltxSopRQTitnXlQ38dbqhc7o4atiU017JZV9kmpWGfX62lmKsJu4oUzF9pcY+rITG2xtkQwwCeQ4fYaIeqV3Ud1Jk9fjww1qSrQTEVow7TWej5P5vFAtcF++vbTt/s3+lZledqUhF9mCVYgcZ4ns5O99hwjIkf25bLB61EKeeksWgq/Z/DxtRXmO8RpDKKABFLBvjB535G//r8jAulIugKgFCM0oVTzEmDCEuHY4QKHuWqKptH70DfEY6jkDq4e/puGKaKyzj3JLeNboDqgR2Z4uEqJofKSy/fHnf4tPX0rHCoREff6qWEDIma6t1MFUApAtF7O9JxKszFmnRMAfG8uuIseWhveTHvkR7p31FGq4qFWyNQGPIoxVpAKQ4C124OgEbzs3PfqgkojJa8zmLuV387jV2tdt7APmb9f0u8vOfqXD4Ilwuw1JaFZxQnLLzbvNbsuaQItIasrOlV8/vc++kDj5eYL02F0QiKzOYlAb1cyGK5yocNU9XqKoqd1UDCisfvH0iSp6Q9A8PnxeRzHOpd2yVUWUuF7bxumqudaz+dTRIi0EmyFyDDbawGYc24AEnTQRI2VJtmQlXiWqX19SI/pE59xd5iYaqDU+fm2KBwmKpKyfUNNtYTsI4IaXVS7ZPgVFSLdfI97bxY9NNjKXU1FxsQ5BymUt9ttzEFkmSEpG+6/onYE7RWTnTB2O8g9ov45Y2OEr3XW2Z+t8/x12fP7Oq/THhrgOyUKRMch4jDeX7l6sOyc0XWOPzuPA50JFkHlhZYpU/s+tFisVWkl0LVXpivF5PsFRcRUI43CQbyYeRwlOEYWNDtMKowwpPwTXJTkmKRQ5kBFU8DEmlYKl8B4Ro340pr5x48fjPU8z6ycQV6FC1obPDWLFuXBge0OCnmuc5iRs9jdrBgs1q5hm85vgZqJD2QGwiVSjEvQU03FBaiIT5ZnC9j+GoZIZNRJwC6laqFAtTk30KOdueGnLyCoyyE1wCOA8GwTL7gdhavGuRZZ5u5Ow7CICBXfrkonNizfWgNfAHDNLAIYNrTVX4nnnGuxkhMVWsp468eJKMc8KikIClfIojllE9Skz8vuE/AZNDGGzyOSrCW+Ws0AiPY3SCKVdhkOa70REYWmhAhYoZU2iwf956ocuGqXrEiKqzJ9BfKepRJc+qOEHXrO8Wv2X/XDlS7/6jRgUC2wTEBqBH9fNmQNKUWUDsvM3dCXohcedEX9q5b8Ev3rF17X0B2OrnD61evP8uuXvH6u2ndBGQAGHrJOtOf+7vc7zwxODnJUytVNLSPO5xkjt++993Ecb/f73vtciwlsZrI3SKIIz+pyepBOCTO1FUfURnZfOsuamCP7wjksJqf5dV5Mtdiar3lXNFZEEYXKjvlEM5JDsASLGJDWWjX6S1qk9HGdoCsZLag2dongZpIyFx39+St4smZN1LtAaJvKD/aPRXCei+MOZuVr/7XYlUo8wXodkOxxS/4tjb3YjRhjKAel+QStxHkyShsgauK3zp9MiPUayMwS8dVwV1WG4C4Ua7VYTUuRr1TSsJERBdFYVVoRKsJjkQ3FKs7A3MyIDw8bxIt6+VERVr1b4qyhP94/ImKtVfNrInQepuUcjP6ntJCJGjsFIrNU7fpm7r3P87zqEiaviaoM6DYzTNdag4AHrgwQ1behbpsAYiJmxBOG2XMnnCHDm/gfF4wuDWh2BRotBx0JJx5MK0AFBgYAgyrCYAFkLAndfs77SMn0SM/0YAjJyAsK4TETnO3MNLHMiAyasGKTLhYFI6KaGxHpezcrP6+TMzN9+8qmW9EBSnCep6rQYy88hgkJHZWVsF2jlomI3O5772EGZZrAROBFEWN3qXW0GBzrPlFkNNL5Z47qqWpQoEoLUEqAFA9AE8HUR9umnR/K0iEBanTLFVkFKV+aBuTVSZ2mEPBEUuNMY0XYvvqsmuFLRBWR7BFT+jyLJdjtUCY2RUSUJIsL3f4tbvf1a7ocqVjwJYMotK8a2JEo58u65l+POBeD5GKn9jmBqpaUnXh2Bc3sPE8m9WRGRqaNEe7zmAA+Pz4BHPMQzseWkDhE9O3trUL2NyEsfm2zq5ch7SEsPQLS2W6LeDA+dwqcWTqXrAMY96PwmKr/GGrZ6sygULAgiyxqZgxhFPgnBs1hpSj+DyNyjDGGDcfee0smnEZJuIacPWLtbcpeRWmacmFkg8NoCxd3Z4YRGXvvcBcW4sBxHCIKuJm93Q39xgDw9OWjIdsmO3DXzdEC99WaK6mlidWyvlcjpG5Xaqy9tbxU7dXNVvZopSdvC5ASaxPdWlNygUIXBM8JITbztYlBmRCdoEwy1SRR6h2oqbGgYYunawtCOBDuvveY4yv2FQxGkWs919qlLCAohmvm5+dnJxYRkds383wzY/vkcrsig4sLgJmrirCuXWsxY9hrQeDbI3ygBtkYOBJIUY3IGqlCZtl65N5eJXjVcVFj9jy/UaNJQdHCPkgTGUCfAU1oAw7oHVMxA7eAPPCeGD8091z20Ax336b3qWPqmGpigQg1GjRIZsooO1t25KSb5qQEVG+2XCDAzc+mE3vqrAO/RGeJTFXJHJkYIyFgHL8kf5N2cRFeL+4AwqoEuxDYoWOXO5L0PGGNXFbgR2M2FRA1kr0ziQxFkxJqlFvq+qQjbyY0xFgotKR6SAJl/1cxuyInkJKvX91zOJnNKeJNq05ewBFtQvCrYu6K/B1f62qkio1swCXQPY8MZyaWIHIdVWy/IrS8Eq8vv+brbFinVLVFr+Gy2ofcen1B//SjBSESqW1OJygiI9M6/ipjIQyh6wtlvJg6aw1vs5n2wvESW/XoDcDktG4sM1xaA7JbIJWoV4Qo3FqVZVw/hwqIvsvGdnwZ9ZKe6eaBxNuvtKbqUNr/qUTXfbvL3rsFSMp6egzjtbCyrCnJFNW8Yvr5fK61VM2g3R3Osq7KENGK9apXFcL132m3ieo8DoGstYDkNERH/Ktp9+LASSbF67Lag1z0qSUqXhV0MrcLB8Sj3urVhLAWI5bW76oigNyOaNCzL1VIw83MflLX168QQfXA7OmzbIU4fKEUE2QjhE5JAncXiKlFetZABi9Gz3NlxN6P53nyhEPCI3yviAgv/5XI2IsvmGTB8qFTaQZErZHhwWYMgQ0iciRQkZex1imibFDx5pqYDFW7DSkc30nVM9WUePE0BIhUzyGc5NdMl4lwB9WACLB2ycNt2FE+u0Sog6RWL6DAhN0xv+EIuAM34IHcOD5NTHWfG6Lp7svDR5JnzoeGRDLpleLbXivgdWbVI7VSe6ZconUVF5NW2hVVXk3RKILQFUmSqGXZO1CzuxuKvBo+Hh7FN1q5AWOOMcdaa29fe4nInJMSiaQffM2DGNnlSgivtLDS36bwCftdr0vu6riGNq5ry2b7Z/LQRTdUJev4I5ppThF/FQjUhN5bL2psHwBXvAXaOybrH+2BYpGApNb+AiA1UJLpcFCJ7IJreAh01PhVwP+C+nxFoIpO3sy0CwV6FSHAVQPwNOqjJVl2X2sDwiUrY5iKklqhZfpWs6mk6YP8GC1ZS6UsVQuNURczq/lCHJxYBDXJjQnXlWNKA9wdzVNROewc5ZbFnl4ih1oCNoxTmvQbuAaSTZQcmDp5M7MsrEVauC1Km2F7uAlrO1UrUnl4nVJsfWVXpiLqvvd2G2O7y/lcH1tp6NaDAtvL3ksuANNKOU56FWeEjTHn5BfIJS1I50U9z17jUgfDlZNd0TkTZGCb0pYH1IHRiQ5RVyePX8luR3MxSmGqAiQFx6VJa1cEKJE4QPQrsxadf1SKwADcG79aWlVsJQDaLQgCGZmeURl/GZCdz7M0pfdiJrN9o4tCjqZWv5+cdWUTON031S15c/beRKKYcnZT/UvSVN0wERUTHeObCCKO6972ncSoJ5aaGTQ5UfTEDXj89rrl1WSW/yp+FR8C+SVsoaGCRP+fWaeCjjF6g/0G9xtmIj6xHWNBEptlqqQiTEJyx35sOV0XcLpSRP6qKABkuofK1R8je6hMlpnkRtWqVS1eyKlQ+uJiRSb/liBnyoXnIqnLysCdF9BQPqEF3BNxO+bB32Oma+3n81lEDuqqU/yvIxTFTRmAL80R6ZBK4xJ5hWCu3QLbojUFGw8p8SmUQnbNjtJFIWjhzTjV4wCZwfpBUFIxkrp8ze7GfYmYXXoEG2Uir4HcWgN82UKG5CL+s2cnAi0BvmuJ/JOU/7WcLnToS1jHdR6JkEogKRe/9DrxOgXBhQ3VUEBdJP+KwqUEd9UkXSOSQ++4WkTiRf3cpBuopQkE4XtH+J7z6ENZVCWDpjEpkO3iUVNL3PkXeeaVdvCsdh8ypBLw2mVAmg5icdVnYlJSaAYrtt7tUvGLPVjiBqzxSHBMzVSO42qJNUZNBnw5PeDhpGZpx+LzPD8+PqixLBB2Jli4jDHYorxy5OuT65GlmmkhmWPMzHPOwZgfreIA0EE7lJ6dzdBlCM8MbVSd8bqer5bGb/VW27EVaK3/XlNdZ8oFTHcOjT6urkSLOFJn98Cv3g9lKLNmNYDSkmLjLYHzXCLivte5PXZG7rWf55NIHXWtAeztkcE5YSmWWPOKIJnxfHxCaDgha3tm7OUQ8EjmZRUtrS++pGq7xOzaJg8ju0GvwyDbBfOCzhIyMj1FRaVmpK6wIillVQsUcp3GxxOZcYE/l7azBhre/lUdUC1iZl0DosgDcsfxDd+/4b6xgLWxJlSBAd1niA6VgZ3xXHFKLssILl30cHAt9SoNODh3xYvMlNwtq5SAFMhTl1frtTImcFIxQxlEo1q+XCyczmBM0xJA5wIIFdXSiRAdisS5zmottOSeUfW780TOalZezmuLTBAxV5GukWH1OJnkEuXSlilW2WsLB+Kki6uaeq+bwNMgM6K9Bkt+DkDZ/nEvJTIRoQlFTrMKCq9tAtJn+Riz/9j3rdq5RbURCRXQikRf1HJkKn1ZUXkuUUKegoxoX+JH/U0H8Os4q3gnIuSTXTn1l8hTBUN/rVoGWeWwdFwGO897uQ1ltA13iET4Os8cMyPI9pE29hFVEyBxuhdSoXoNGCvgkRSeGza46jo1I6W9Zxca/aj5FtUyAOlYdk3hhvtLng8NdpPUpGX/G2gWY/dUefN51whkMY4TzgZq9MTjYuHxHnXuoBpRRAmegnNMJuCMja9h42hqQZ/G8gVGrxDfZx7Di8jlZV/nt4olqHQPlg5xjQ583a1dk70G/UTQk4DoZSZ9RlwBvL4iCus/1EIprCn72IMwt8xXc/oixyYTJnb79j6Xc4bEt28/zzMzfHtcymDJ8WmvrUkCeqaIeHhJMCWdqRARa/vaS5Frr0ZyxhyTAJHKuB2D11xaMllI+Ch795Tu8XDOySMuBh/LOy5mVd2+wx2iZjqGiCPX3majsdi43rhdVubFRhdR+vLml21X997K8Cvly5xoJyr8rwpkQhNmkAPDYIEwQDEMc2IazBOAnR47LVIidkTu55btkkiERCWwFaYuxKMlpEv464UQB4phdq2J4hZKwbDSC+OLVyqcy4hiVay2Siy6JiF4wpYiPbNjgpsEBJx2SAwAzNEaotFO9rmcTQxXyUJQkp/al32QXS/3DMneGdDIsGsPSyNg/UZ59hGC7ID4GuMoSC2w9o4IGRTGkkjXThEvMIY7uSTLAFGmBcVdqmvG6xrTo4zLtFN2ZhgvBAfSJdWvEvj8ssmv5dNf6vy3SuDXjv5yYv2qeLjSvb42ZEKSaiJjmJBn2TJqla0L1l63+41wczfZE5DH87HWOo4JLY85PpLI0vOKoDpYNZxFhH6KLKoyEkrDJSnRp+smdElxQTKXpCVXbIVd4qDM9Ds+kjlzBT6BJDEE9AvSC1CyTlD04Jg0mlFovBA7uvArWrtoeYZLpvduqv2DbJN6bvKuZftiRBVml0pBb8DgRaVQcau289W/SDq8HjZYTmWmKQXg2Q8oPiiQ18mH7jFYYU0dAGtiVrKO3jo2SAb7VekCZAZpLuGlikHmzfP53Ns5tbbX7uIzs6X2ri4gvx4eJcTEnZi596LtDC2h8ipPyLZM2DDWkZkwm73R1PdWMyV6kZnAnINC3FlfqL1nNkyNYiEqpTTOdhQRK25wM2PnYizfUD3GdA8v2MiKaoGIcEnLzXcV28PmTFXLq/XEHgAnewn/8gCIaycqsgE/AYbCJkIBhwf2xuKPbLiC+kDYy0WHmEFNTPzT08OA7Ek4dJRLIDKGGL8qgohIj1rUiKLhRToutmydH4xU/bxq7ZaJCbdY8E6lR/LkU9V8jedkRri8PIzMbJ2LqS7J1M/zNNMxhpmSn/DvFcv1jGsSpdtKHe+EKFDhKaZk9lqGqv3Lv/tvP06/zfnTT99vxzHnOI45ho1hzBIZWSJojOOs2yoJLz5QQiwyF6l6OiQ3SsS843/hsIRcUq4TIVOyeuzU/YanApq6YqmYiG3CPikGjXQG4MxAjK9gfn5RcZHKKX+1KeUafZNC3rJBxT4fGz4qJbJsCOB11NexIchu5bGHyR9n6v3jx/tai+TO++1NVX07pOgpLPvOtcj7zj5UiCCrqYYRuKgpzHaW4iZkRONhTXtxCCKS3nK8eNI0u0dtpnbuxcHRzFS1L/a6BP17sVaVgV7kdavVTKe2Q4gAZS0b5XEdmUUnu4oM3vPIfHt7u93u85jsezMi8MxnjUIpFPcvMVSKrg6gQKI2atWLzNYlOZt5NRVIQKPAdIlwzxDHuI2uG/meWI0JX1nSqdEFYPs2JZup3g/XcEQn+FeEzy9X2zcwGqzzvc+13Pc6Vz9B6mDQRATZc1VsK6NTYG7TCJZPdYAK4O5rL9KXVIVSoKzzrpMU4GyaJ8QjTEzFtF1wj+Pg8rvcgwGEhwhsjLjcEbjjCfwSomjZpTmnUE3n6oNlZmBQ1pKzjtxNIQkEiUEFBijrXzHV04EU+iheCaJCB9qxt86AK/qLfBkREGiWY4ycoBStO6FrIBBrbWWykNL/ElEVS3C7ZtI7tGI4yjeRkXWY7b1TZY7JTcttluwZdNZiphH9BxEphmWaDZ7njJwdhgRScxn6RVfkqhWyJ3QqO4Ooqnu4FyuU4bYaL/2oGtLARQjhmcNYwSLKa3TehONZggRTp5SQf/Ff/ct/8V/9yzntdox+2BhjjDlvt9vtfn+7v91ut7dvb9++3e+347gdxzzm7TjmNDWTK/phu0BukeyN43qO/VHhpAOz9EmvVUQo9umkRSVStQxGTDUiCA8Kh8bZ3ZBEKXnULuWJwmS9AkQfPld1RiTnmsfuWNArqzb2tbnRr3k9RSZ/NQvGL3p14RCRcw69ngUgCnfO3PGw5/IDqNcoIgCZP5GhYu6bGF94QWQX37TY4pmM31fej85lehm2LTAdQ1vZLdFzrBlFWu9snJ2pahpCOwhl5fUNoaHBj0ahimzK6UVrw/F+97QqKRHTQRdrzigosQeOIw25elFdT3+50UCXJM0+unAaXMUnBEZXkv6o+yAqIiTgmZqKrrUSqbV/a4DjgvpYH3SZkqoq5N1zcycqZheRMoWTfRFrnWsttmqZ2tO3sioS5EXei07wr4AbEe7hbMlWV19YNDCgs7gZc4jqGMapjoMsDFReE+0HLioqMzMtQyAJNTVAmDldJZaItFgjm5lxPdDkZOiVRPYTocqZ0pITUCnGsJccdBLUu7Z2ZBnMqpimRo6OgTssLMhBmgbE1QPIFyNbqohv9D+hDnN4AokQxDse7/jcyDvmhDnygccTz4/ne3ooRAMakFLUEVURExFahQXXk9TxoJLwvVnSqum4nFSbYwf6i7V2CqF2ka7XkseU4FWxMnFovQGAdkXZ9nhoAMfDe+tq3b0o1mxEuidGSmDHGmPyoJIvSVY9ObX41YYpqlYiTEfF4kyFaipEOTloc/rDhxlSz+UqurarynOd+Dwz33nGpKen48qHIcftGJWQ2iip+vH8fHz//n3cbKh9+/52v93evt2P45hz8tSYc87BNTxUhckMADUJDxHbObfT0Y3zAXGFBRfSPyu5gCA9KfIRQpme7CVUbYy+QS8sH5JkoqlEeKy1JC+LUDaFuhLoszXrNvZf9IHNMXwIjuOIkqOpuETe+q0BKFLmExmbA8AjI75//wbIBX9ztrObRlduvrmyqDnsGw1Kvg6k7J5NlFuRSvvTNuIFkvlMB0Pbq/XWkbrXUkSm1iRUdyV+VUVd1WBkgoc/kMNetsNjGBEtGovt7RfpnsEJTDyqHTiyOoQv+X4WBNFuOeiAfk1ultFKFQFdpAIsUEytj8ZILZmgGi0WbUduoImz16apjk7WxWf16pKliZfInbj7Os9znUXO24sZep2elT7k1yjPT31X+k8qPXH5qw6gBjXxdavBgnpfJGW6O3WE6+aYNlzMhmIQ1DIzvgEpTW6Vtq60MTKDb6c7tenhrxoi63+ErZqWImoGGllHznk780RLk2UmMgcyIKm4JoizxIhVIuHhOSS6xkXCxBYhTEKZL6w/CtL6subQ6X9AEpKAwz/w8QPvgHzgU7GZpfzl8YuoR54KzTNHDg1ybGCmZoqAIBAJURudBZsUZJzmLdQOgBy4a6FIpz9Ay5WAjL3ovEY5s1fQYj1CMIJUope1NtDpCVc5kyO92DrKXrHt/YxwbBa/XR9Qh53VrnQP4FWR9pJrkoioQJKz9UxiJADVYeNc+36/w2RI3O/3tbZEizjwZUl6V1ha1p4EEnHmksjcxPAinSv4z3/5kXBAxtTsKX8uU3ZHBTLYFBUxG/MYt+N2u8/juN2O+z/8wz+8fzzX3txpampiWzYyAt0wF3hs8KpsqpYCpyKQkuBkIwHNPilfdCNEuoglcO4lKmrmsYEr36mTlQ/vRffr/cEGP79FylpdjbbdInT6VRWzav6vtVWFfTyWdYygt9vbeT6vs7+qwGbWbl8iKKenK0/8gg7LhR1lSmOCwIvmxO/pRo8hMecoPEfrWOIowcXu4JuUaxaJx9nFDmghuVpbnHdpGSVm8co13DG9kuiO+1TJgYDOoY1+FFRlvGsdDbinMhGZozCZ1wuWaJ0HH1Bt2Kbt8YIJVdW9Kp8sqKqgGnIJMCF3d6Zs0W7yEaXnkRHb93lyrnYReW8aTFagJFYWeUUMXupei3EZFFIVYSpw4Uukt9ZB256UV+S/ShyeiAIhYG5qIcE8tdhcrLq0FT5ERS+lLEYJpCj6uMyqdeikNr8E37gaHvz1ETHn9L1Vi9qz9madqrMaWqo6IBqQnaGmlYdBFAIXaKqIk6UirN6Tm7nCOwQ1X7MTztlgIv7WKxKV1tUPBPyBz5/xHvDAHvAnHomUO4CYW1VyxBjbxjLFUDUx0ZEaWlXVYCYDySClQQQ6TAKNDIj2zhG9tsArESqmDdJk1oNi6ziLLZ6dCFzJaNZ4i/Gu0adXBFNmzfK8eBoakfQRA4eGTKk2wZCB7umiHrJkVrjXiwV0xUFSP6FQyW7WsblsZv/pf/o//c/+s/9Z7lMUYx6Z2Hvtvdbaz/Pce621znOdz/N5ns/Hc53cD9uDeVVE5Fp5zLG3Z6aNQUBVxNCNwQQw+nknMpO0h8djv+szM1Jinfvc6zZMUH5JqKE28bqJlukhAc7Wjfn//Hd/vzUl436/3Y5jmo05CY53R49kIbZDIyFiJqJDx+fzRF2hlnBmPWmpU78KjUrSWf5lJJ8h2S/HPD4/P/7w+9/NwQ4kOS2iIudaXLoQvTSgBmc+213PzL4cONDkPBT8jP5m4Rh57B1sLJOVlWkX3JEA+zXNMG7coIYBNS5+bR3KoJ1LplA/TiLzwja7cGCc95fLSg0wZg0z98lQSY2VHZIwj3Z3VZu3KSpmQ6ptVk9FMqOsUuVCRXiUZF5/rI/MoME7FZXryESjcH15tN5kSGWN0pygct2ozVWGM9h7q+j27Xv3QZtrnc/n8/F47r06ha+bRvXmHrmtEVx+fh3S16/gBjfSWKvIUxHhhLNZCWDgpURVGaQ0xRZd3Fzzbudzsd5uTXumNnnJ1NYsmLuUCZVX3a+W4ZEuJSKrkd4DhpLtGSpZ0mLTZkvU2JijqL1VnejKOOyAQEV2RmQOJH85TAayI2tCFGYj0kUCQuUYxRBHyjSEv7KVl4Y0pX70S+3JvVx5aQIn1gl/Ym0sQy48F3ZgC8SQwLjpwN7wofV6JNuL2EXY5yhoIjgpXhoBRXOngqbWAVDgVGfuguoeZfc5r+yb7INLrVMgaVVJ1aoVXDlXMe4AG+bhV9lTASErBFgSaa+1XrkVvIRzEJpVslzlJ66R0RIp79ctqpJy04pYhP/N3/7xb/7mD/58qLLBMICoCZvXOGWHQEimRIZ77Aj32Mv3iud6/PKXn//tv/m3ovjpd7+JzLMPjx2xt6+1OdG2fXOwd51Ye6Vvd1HJYH/e8/RF0MY9OVNaMJtEKOCBQERoanj8l//l//X27Rtb1ipigjE4OWS347jf5u1+O6pxcdzvxzGOYaZqYw7fcRy3Hx+nqdhQGuZxLWY15hKVKlbeTdZoRkQgI/Zaey8WK+c6CwM5ZoSnpwBj2LlizinAuZaIHMf9jIXMcy006yYpoJS1yrz1jrIpHpkXTwPZAkyZKSxG+eg7+nKVdhRtPljmbtc5adVViDCp5+L91UJt+KKRzKt9/QI3CPVUq7akCzICRLVrEIKSEj3Zi2q5FbW6She2kRHF4hflEDVpJzwdXq3+rO0lPSFxlUTS5V4j7wzKqRKLYxdrM5bttc61SLg817nO5eGI3L7P8wRAc8o5xxyTmG1kfK4H5Ty7vkFX9oMBNy+loMYJSsK9u0pynVLVx6/DiTewOzRgBZYJ+qM5pQNVb8eNej5sC+XlFM6GUOO80k2UxiyKTFUmaJmRzsvWL6NeSY5fvV769kjnUqGSXa5K2tjD32tHOGUq2AMISpNCU9U8IwxqyAxJuAeKgr9VzdNTM8ooAy3jTHcwAzQL8KmPhGQJf5YF/MZ27MQOhJSgEBKekJBIcXazuIRR+D/rYyeFkREaXdGrSCRs0AuiZv+kiW5MmbvSvjZdwcRoelxhRM3AQWXn/AG5oL1OdupQaQyuHtb1ylK4ZC99QPrEli6HuxyvPp4UXpGvI0rMM8wMWnzHyDSBmZpoxDoUip0SYBfQN7J8xvkuo/WIst+XCIbCWGe/jUwk7n/zx9/97d/+4e3t/vZ2zyy9/ldOJOp7Q2WvDeT5XAnstcOD1cVajoh/+Xf/9//m7/4fj+cSLfGoFPGeLYrSzKp3554fj4eb3XKszQKrOSzZQ/8AKIeLQCRpTWY6bKrpTz/99Hw8VXUMu93m2/3tdtyO43h7u3/79nYct/v9PsdQtWGmpoMteFE1E7ExDxuDZqodgwSZa9E029XK7WRvj4jjONiy2745tSQQUTIx2PN3NmyPMSNz78XwVy1HFeDyGqwFploqbAwlJMkMG+RM1AhohPTgcSXOV6LRl30l3Oi2xxVluVyvEpNBoX+q64YaRbCIbabhbqbbfa213dnSVI7dVudfpKVVsyTqZWgNBhOmcN/WefSF77+qZIbgTBBkpgr/GERv9qaq8d5MQ9biJ8Fue8ZeK0rYLvalEUQPgIzjdkTk83k+nyf3o7trt/4G1fk7CDRsxcmSunWN60qF+CxmqmiJuhIeFdE5Rr9ZE3q1944TKUEBszb07khgqgGCAWk6RKSb0pw/EAHULF/HVaLoBmHDKH6TqFGNTATVf6KeM7U6AJCIZmbrPFkWZMJMWwgZlTMiM7x4lEJF02vin6UFbXZTtocOg2muqwCoIzIassgK+qiyEcK/pSh00tq4cubyE+4zoA4wFdTYQXV6yNgFVFjVtnQ5v09FYNCr2GmOTXeIICUWiGsTvuI1OrsvAPKVdaNTcmRN0lJahOyI12BOzfXhakllY6DpEcYCWJkj4BKJQ8+j5hd8jLtW+pIq/eDN4rxRRIiYQSTNZI6a4xA1VfsiCovqLUiH1IrqhfGybRSxFBqZplDsY4hg18PL1GqiA4h5aGTe5sjIn74dVdglEgGV8Jzz+P0ffv93/+rvpNixBZWIoIiQVYQlkSzT/I//w//wp9/+5jyf2/faC5l7r723pK69SgV+Vz2uw2qk2WNnSODHj0/fzlj4y1/eoX+mTI2IFGIgSA9RGWPMOemvfdjIxL/+1//t+Tifz8fb252yzMx5VXXO43y+8y2QGnB/uz+fp9D4NxyQ2+3++flRUx2dDI4xfO8+/PlAg4ChqZZkSeV3rxQkCjsOZxjUTuuuyjnAVndWu9U8dnamg5796f5UuPvFwqxV1BUw4d2X0WMx8QuyiFhJKiARgzoq0KlAqBonCa9zq5qQNf+ZQr5MMcuMv5cHWFUz/HFOjBLB37732kxOM3zvtRa9BCiDXKIIXrRKVhFASaATwmZt8/n5RHd9h405hzYxr5Xjsgvtyv+1tI8budfqJFP3hVwgXA5CCTLx996e3iOo3G+D+8QuZgTVvOvhslNo5fnOxh8NUwXRUgulgqeqUiJC/dxcmkia9aSChKXoCQYp7kGgvNXAaeHLrSGqAIUNm2OMOfZ2EajKEEjXohSQSxUV4vkKocSgpqjasIwzUyMgOS5wPREO1/KJ5w39kiwwXUYC6dUoZhu0hLwVfQIUgJmimaWQXMeDmeUqpkdXAMJjqa7hq3MmOFZY/rhWUrEavZ74tFQ5kftyFovK6KXfVxU5nW0pyEXqbs9FDJMX166GRWnEo1Az43pCDamLimzfdnn/ijJD6dHg3q6igVC2uZEZqRzH1zpbUHD8Tgqvw0WM3KZoltQ1H8MRpavGJCZiVtmEqd3uh7CdUzhD6d9C5KpXM3tKuhCmFIAzM3uFEvjOhMDh7IwxJyx4LTwjRSQybuP4X/0v/xf/8X/yHyWyy/1IgEpj7r7XSo+1zufzfDwfz8fjl1/e117Pj3Ptfe71fDzlNn27DWMpsLeP2wBkEB8HqUgpiQwsDzM8Pj8+Pz5tTMd5f7v9/b/707e3b0pl746jAow5Pj8/f/PTT3TRI8REze05j7VXZD7Pk+I0fPbuvvbOaiyrmRZ4Tcm52vkCpLeQDtt0BFQuMii7miaj0rkG6IHmC3afMRvqcXfKABJBvmgqQIYXSYGct6s7WeFDhcksU6mnL0tExPY6eOeYqkrteDUbcyDTPWo2IpN91HSPSBXJUBGs7dL7i3uHuDsLrIjce61z0cmd6T0EkS1qxkUWUf7y3QOQBsQ6T8IV0kWENLVK5fSFJgkHvlQjHK3C5b6ZaZkpDxh0Mqg63PfQMcfsEqGmE2qbl6+W9NROkOvMO8vo3qVfz+2LRLgw242AFkqhqhmZEXsTngp/BqRkO5k9sADlA2/JueQxbEp36OonZzM3ABlzcAwFjVR3ZK5pmEGObGCApgQQJHvQ5BknqvGYUGpnI5yzAqJiokZxUyAdKYjxSmaV4zpKeKj7eYzyXxVE80vY5B95ThVao0iVNJGhcaaZwhFFFBHwcUiVcKGZPUqJL8j+tU9qUhUFZ16DK1e4l5ofluvn+tIkMov7gsoYsmtAVn887XlI8AEo9U8imyrAuB8otwLOnXoCUyYtIyqlu86eRkF+1U8BUPmliviwIdBEEaVFNMOr+QThkaZdWyTRSd64L9o5ZNf1RoKpBDWtKLJW9yGLayaoAQa+EK45DR3DxhznOqMzUDNzgXiqeJRYCBJpCjtsDENuX6epSsIK9zEMyTHkdutnnLTjU7UA6D/+8fn45Zcf33/zXVQoj06yx7nXeZ7P5/P5PNfa61yP8/l8PM/z3Hv7WpL2i+/Pz8ftuIc/I5ICM2POAdubUumpmbfbTcfIvRMNpG7svWLH+TzNzH0zpvfJgf48AJ7SrVzr1Vatp6CF+vbyqxB8AY+8gN4014BPZVPlb0qowYyK9tUJFCHOxegc9QCrHRF0ttgbgLeAbv3ObK3g7bUHBECqmbuPSWGiltgS4V9VRQoxG8X7KW51UI2S6M31X9/b6yBoC8goKiV7ubUsWZogVU1NhCTA7pODTehrojOL/sL3z1jJizS0OBjy6nNI3cMb+8HcSGLS81xVZtW+S2gJLSAJ1lO2r8A6o2aGsItw9X6r4VFdaGmHCWaL7hHrDI/zPGvlgD0h+jtQexh7ezkfmO0aM+qIpABPLEElhYIMKP3QzSK8+i0X2gEu1EIsuNNYJQ8IYALQI3RkJAUcUyNVAulRqQeQ8xjvOzU6mBeCHw2oX7bA3Lz8TDgK0F9XgylV+pCJADxrnNg45+HIrUUqcpWtqAGsl5lUR7USaaKQTzSwjiqyUBMuVHrJrg+4c0REkw7v5MPJsBevju/oml2ksA8A+dK8UlXncBAH4+qEkE7hRdsWuJpor4K0qpmSVhE05IYruKKbTn3JEJWSEAjOmGikUFNHVDxSv0w2FNTSunLoz1/zCmx+MMxEq45FZqbayOAUezUzG5KqPlRFri6RIhMUU0PwINqnc92baGogNREQQ90niEi8Xq+SU/Is0brc14nHd7OXN29WxsTbt+PtPomfVMC7IJZEVEXI3khu34s97LX+zb/77/7P/6f/y8fH5+PxCQQJYyqydqjo8nUcs04v36qanlTfdQ/VMsJFPU0D0sMvPWYhsqfKWbAxhofvtVqzvawm6G+uqkjscGSOMV4nxAVC8vZGJOGFYe6ukEyhDEBTTWonVKJKFof2Jgcu6Z4mzISUthofX+TuHnEkpIo/gVgNAQi7j4nce1+5DrPR7Tu2uzv3XXg8z+elTlxrKiIz114Ug3Lnw6Bs/CVJrZkgqs6Plh6qfKV2FoB49fmYVPNYFQisWSGvaK7s2aCi0pXwqYFTY6wLrI1rpAHbl/BGdq3B5apic1Lgq15wnSubCQApYxy69fJH9tp5tWeYnFF8TURUxpjGgklqoqIgG0JVphmhVrLbmWmmKoZiMyMjx7TarQLBWGuVq4QozUvyGvRjTWNGCbKhjRIK1GMPeZlRpABIWmFCsXbsTBviEZ7edmAAVKAGwwvozz4D6t+CL5EInUZ/sQnzJi1HNxUSGZoxMoeGycYeltSy50mS3aTvQ67InUiQZmM9FsgoopU30YhLGymWOt8BjEFRU/YHWGbWVEAmi4eqwpRBL7SIblLwVWVepBKxpLxEDNq9umNmsbxfUFNH1RdcUGjaa5ip45yItioGIqiO/doneLEuaiCwj5Y+92txoW818ktKmt3LQv/evtP/pCi5cKAa0FRR5E6ItnErkyyCUR4emSqpNkuEWWBfJCfQycoVAZW9dIgIZ05qPsAgQ9UgEi2Qygtmi76OmUCKKaAws0OGpIjEGPbTb76v7XvbcdxEUH1agQ6LDFOjIBdHDxHCssNa8XjvraqcrQ8niaAueB4HYR+yfuuYzVRTApDQQXyDSXsCwyzzV4lF9VcyLxWgmjopZL+mT7gaRDUyuAAKvstodBvd3TJVQQpGxx02MLKWK3/bXtu0pk+ZE/jeYwyyRwTCpuI1xEQwp0Tj/HLMrOjHL29nHMzCuJuSOGzIgPSRjm5eXflT5ezFCg2IXj1RVTGbhVyZihPBY6YvNgYb19xYKgKItR139odwdDauAJKAmNbSlUsmESkq3K9ZTdc8z+W+eU+ulHHtlZcaY7VoU7X0TasV3JszI0uXuzrG5LbUcxERE12+5xzsQkezy3ieMa63La4Soi8RAVM6QjOYkLBOVJCZe5004HFpQ1I1EclaOKFIcTQnDRCqEGhtQQ14qKcCsaAT2AAGBrPT6/RGoT0X/hOXLYwgDRLlHIKJWUVcimZa5nA5XMwxApZhgESYCAImsmJJlh4Di9tsDTjqQqM77kw32AHOVoR22RmFeyRKL7yjWTKdLFUG2vpdSYPIKwCKJHLo8FalQMPrcsl/4pVz1MpFnYxSRHU+UfuShhTPlWvFw0WF4AmyCl9IRpM9q8jvkYFL0aU/bdSSl/fqtcQ/AZdELuvnCsV9KLHkrq8AKNYjUNBWFxdEarhbygFSJKUE8IR9e4USGCS+xzM+qRNV9KpGzHjTO2mQSvj4ltlejnAIiq3IgT6WgJG1d+NFBCACEgiFjDHFxhhjjMlH7NvtS8OwPh+WkRmpIjZnRQ0VcfHh4XHMI5FqMnKISgOwEeEpmpFEivgwOQ885mRt2p162Xvdb/csXVugpGc3igUUPJBUtdVjSrnhWi2ZmdXzRjAljJRRj1BVLy6QiKQYz4keoJHKzkFiKOsWZOS5FmtLbgqOyHVSkuH5fD7XKrq9bydZkyD+5cPVH6IqqtVNLavFclgs2ISDMnVbiuWSjGKmjb9WiCfdIy+6iojMMSLKmoaHmbLD+KVLb7Cr2YOsM8ybjwtAJDm+R3WQQqiuIy3C964YXn0XXKG2SLH9ZkWEwx5XLsNql9fMbRnh/Oaq2AGPvM3p7ns7VCj3ZmZrrXkcEEjKmONaq67q7uVcphKhRU4pM3Nkj1NwQ0CqlyNkn1OGzyNhzLQAwc6tjeZnJiUFC+xTXWt5pgsCGyVfZah2rqAsIVuIGNzD6DMgHZ4voVAB2ryHBoe40t/qHYRESjrbLBwNzdTLUa+mSRIi5fwlHW7zVYAOjGoWsO5gvn5FLnSXH1CRTVo0rfiCDANn8j704CLIukolxthbsWKmdNVa9Lrm42d9BfyN0Ow69etWeX3kFw0cYiBZmWEtqAJQKkmvE+a6s/UiBbt1BO8Xqqut38zDGE1Wz695fp8AV6HyKiZe0goJIK+Za0YjVc0QvtMk94NexoVnq6l1mLju20te7cvbqvonW3mB705VuwjkjQpR0C6mb0Z8/VkQCBYBk24EmRQVByWRWGtHOkSgYmoB98a7uKLQLbcV7pR3aD480bSIeD7PbB4ha4V+WlUV8pjhrY8IooiZpJVkchyvsTuJ7vr2va0Ss/lvJKQW7wrVK46L69lSLdGNfCJFtD6tTViRQlXnRZTYez+fzz/9+U/TRoJkzdy+u7FZqvSEtmhACPB4Fk0Z8yj4+6ppXplUPXMt9kUN30m+aPVzzmwvbpYCPOb5SLUm4IpD9f9m62+XLEmWJDFMzcw9TmZX99zBzpC7C5ElCEAE5EOA7w0+BD9l/1BGCFBAwQpmB7s796Or8oS7mfGHmvk5dcGanr7d1ZlZcSI87ENNTZW9pxRTkeowlfOQomYseHlLFQrBckfWFtjzvgUS4Yt8ZGCtNexVRGepRuI8ODYx8raKwQTXXXbpj3V0JiSJ9rNpYyKZ4Ao0MMYkh01Vuas8zPi6k8YmImtvAaFC8lmSa/nMdtk6HNJVZ2Wm3kRr7IFfDEi5JQ61LpUaVZNChVPnCCQ0ZbBASxmApnKpp15VWiIwrJeWS1XhL+gficJ8WxuOF0qCRjiaDgUT0eRfKqlwGsOYbuyh4pFDC71Cm5mIwJroqb33jBZj4tAVmWRC8HdYUqM5+LzIYHbpWNmFsEip5zde1IO17Zte4dmFRnI3vZ6i9A4bhDQAOXx80QY+ukhpQKs6L3LHSSlqER+GP2uFvGo2+afXf8/uONA/lE+lHJ9Jialu4FxzZUOW55HtLyAnyr9CP2obr3LUW5ZJlcrb2qhad/eCFEmphJkhtd3XPWbd1VNCnZ4ja6DZCFVWrfCCE7UflhzYtzW33xsZnMvPzCN7BQAUInYApPcBopJzjjPjYDvYTRt7fNp/p2pvXWWxcYh9J2Bmj8djrUUUhCeQvYFnhRK+lhFhlZmEQ9HH4yE8OEwyWmqGGbHdqbCJBIWXohBw45JEZoLajoQ4eoRQI1YV5TyrIfVaNMq6eyKKzBUrIn58/77u+457THr5yuHIkfLkvocNsXIs5xUCYGTKon4yL2TVxXym/UKpQHVIa2rN7snQX5avc/haelJVRvua1nHMaCqAEwLqk0maKWt5UjaSue3rOa8pbTHPARjBldh+zVmz3Nc7BgiyZXyqIdPa3eUZfh3fOo4yx8Bp/5kdRQSwMUjPP8WfKCTkejz4xh7PUQBjDm6wg76tmSYiKggMmytvUW0VHow2KFZTOplra7gC4OwKlCtLjDECOaReUDkhrJpulS4QVGgSKezxsdMRDhvn9QoESvlaXsGqo3w3QUejSgWkCKkQU0KgFZ1w9MJQsumhmSheuXZmq9q3K25hE4QQkVJ5Vt17zzkZYVlkMstZC9qUgBdeoxtTrRRWSfFUpB2kwBIHBdDWIK19lCoqqaPw3+rBCmlsUOIgQhAcD8U8N0tYqKPTJ/B6EyR7Gsvr4+l/3fIGcTrm5es3K7gyfNRX9J1kZ8yQfZqP/s4XkHTuI1r+sGuOPMW9CtyDI5Mdzms25hdJChtEZINd9XN7eCPnj5Xas7VXx6GnGWkNnFfmPd+YCUh/wDzdN3hLaz78VsH1UiZPB1DAKzqPFt56Zr9pavawvTeBRF+bpUbdz0jG6L03S1ROa/FG/unMR92LmiwVjg3wKB6UPyNSJTPYGTAOujuziKYeI08OQlUJtnX7+XqKfK11xw7aQL51n5n1pyfAP+h6PCJiziuR1KPveiMBua4BTBGNcN9FShEtI0wApE3wLbEhHs7qqvjZ7s0+5+vDIyxcV8goWocIlcmkHipAuDxLUa7KuE2RqwyiUqB3d5ZVei3rGaWnUwRjjjHGWuuAfsxAvn3MIUeqqKO/kg3PN3RUKSGqAuGp4IMmyy4jvGc8qsqxQSaM+QBAYo5RrIsjORcxzEA1y3pHwqxcoShFZ61xINSERV7XIyICLudIS1Fyhr294P1LUE7xQvpQYnjDcMgUaLZ/OBLhKZaZcK/Rrvuy63IR2GH1AGX8C6nxbNen9UfmqTKz0oBU1IIUuwgcFVqEi4wEUsURUfCupXhm8vCEZ/hWMSgr+jSxiC2Qiskk+Hvtzp1AUDwd4iCZiZcpKCOzmapacqEu3gG7NNXtm8M08quIRHEXRhvV5SlnLUOdhBKrEhVlmcM/UaIEJMq8vtTipJYGO7mBXuqK0tdosNgOvMbPU3Pit5jf+Ew1Ggd34yZFHlAJiWbRiJoDZOF29mPEy1OLdVytt7H7Cv6vAQYJD+9gEWaCHapCBjsnrdLmKik92nidlZoqs3KSs3p9wC9+poZ2+eEYNOSEuURBmu8pkLnz3BwREVnbPWL7QuB6zErwWrG4UEcI6AOB3MsjnQsBkWGt/2M2gfz6+rrve8xxzXl2AkSEWsvcbBLBGBcAenyPMUm2iD663C1Xq2zHM3lmBlXKzXlycpfSel6zTHB1ns0owyyn7pkAZ6Hsa2s9SvjKxN4s1dWsLm/OOcda+7ou8j5Zzkd4FSEIEZlzQpCRHjWWLLS94qCqiJqhdClSstfFcMCKmgoQY+ELKlYzRaqTZkaDQpKZpP/m4W5LbWXTeAAiKXbNCWA3ezUzjWVml8Y1oq/RN1KTYXfOyYQhQlVRz8wxBpW9OYVloAAz7ryO0jI373mUi0NVryDffWYUjUy12lYLd52T/3zJXHurCDMTOzoRsTGYdbK3giEoKC8xhrG+uCMKGXujn7TWk1bJKozCoiKjAk13VFWdR01+AwFJaHBErCrh3A0miElS3ylh+XbKqT+7JzjlJOh02CUaVSL8dT2qYoIhKeGiISlDUnOOmbK5nXkaZz53giRSCjgQ8lnVGByG2fO+5yxntV5lOLeAjSa6nuieT0rLqYMeZ7M1wopyjKsqjJdRAZ3mjcnfIc2rHdv5E1BrVR28pGX8KLOSimO4LIlIV2iqBIktrFUVkRRoitNt8cP/jHe8hT95/X7fJhQo2+awXNYpbPotd7y1T53FgZNSXtEVlfZfTUSC+p7V+JEWKmVRZOeEQM4Uu/60rsalU0xfSf07Y/L5uE3+yb7k831v19fXkee72ApQtOAlpIKi6KhKl8l41ceSCAwbz+dz+x5m0TplAExtXjM87nt9Pb/23vxmNR06xhjDjMeI9SbxqL2TkDe/kvG61ugKCelSuXsXFfGEqhBFD2FUBsqyFZVprLUOe9xaQ14ga85ZrPw66qpUYAn3UmVSy8Sck/03moPY8TT65oBZ1URTER4h1PrWejUq+vQhlSr4OcxgYuKF7r2QBVmstbLFg5pNWm89YXE1PQ+ax9kK8SD7PglqvVIgIrPCMJGf63GpqJp64+9EhFseRjgsZZ9QF6MEOzNoJlY+ajow2IdlpoeXhxQnB8N8O5C0BOfg51SNQJ7FVQFkzjMtKpQixMZoRWE5r7S2kOpphO99A3Jdl/s2GAwZGK00xzomIrhUz6c4RCTLGiWh9DGAqkAlJFOQmrCmCQbEETsQJdTTOUCA7OFsV47od7xzAKODQhR6iAIJNhQqoYbBAUUqRTCNCysEhyBpImunijJ1UOKnYcDCqVhbDBsAVGSOOcbIQmxbKqFwsQYuOp41bzJtGGiamhCR0jLsoptBRyv01yogyyiFQtkSVnyrR9vdR9+K5LoQwDWFStYpJxbW0DgzQMoyRfm0ZgBoHLwQj1cmkLe/d4/wlideVXxmihTfAgEJSv0Ws/4VN1+v2OvnSv2tP5Fmcl1l2bv3r/AjqWhqSpYFtjBrZ2YgDdJuFyelvI5Q5eBO2Vngj4ClbnZn0AjPW+57v3o5t+aoHYDwTim8CsV8COnO65J8qT+R0Yi2BfNwIB/XQ9VEgtowZmrD1tdK2vBGZiZXiAu50BpncgeFBWFEPB4fNkasZWps+VU1z/6XVgLmFWzfj+sBkWHmEdVYk20v0OqJ+VIxlQLE99goopq53tE9Akxodg3n0uHuX89nMUqZsXVUDmSadAfMt3uyohewyi04y4WKsowyNugNx8iWNZZI9x0t6cxfpV4gaK3G+vicZ2gmMlkpS7bvMepVqMKe3JhGolTNokhUkUkmD9VJxxg1mBUhn6e+H0K/XxGZc2RmBu8t2UpZi2kRacVJyUxl68GqNDQ0GIXWXgIxI+FTd+7rujJTVPdeyFQdpLUxeXAxhX86BJKFMlX9bqYQjxgtcDDMQCQq05UBuXfZABjzooGOaVwOQKOvgZFcQpSasoWEgP4dIVM8wxMu6QioQVi7pcC4KQJYre/VKLii/AF53utSvP7Tq0mo+AGTVNZnGQmjPiQTvHrGJfRFKoMkEWaRQKak1MixavjyMNp76xgE66VXJVmwdHXfQYH1LVNCDeCKmNRnWPjmi4joaw5Bff/CRWvzgBUs2+pTxpJXmnUlWVPaziX151RQ4w4XlC8bOVHhoVwPyKpvC7bufHJS7E/x76+iYdfGp9juWWAPFKT3tN++RTqQ5k8j4Lf4ytwSpULV2UtUxFHkTgZw1Rff4Hxufnl/hNfl8cf/dHpOaqzCL893dM/089W9XWdWDsguF3i3cwyLiDHG3isW15RiRHruvgstnMCMVdrCtMgunmK2KgNfMFN7fDzwxLAhRbusX4ABWyluxASkIolho6lB2ReMjtFdwgtMjStXZIOHH/ysTnY2c4bPtvuXrvH5piLOmVcydFFEES+Zrdq3eDwe17yI3mTm3ltVIuDb+a/o1df6aUN9bzQ/J/rXkhW1B7vX2iKvOoxPwayUMuesroUF+Fmd4zNjy0Hcv5jpp6ETabIjhg3uYFKgQsvRTKxmdQJgXmaqFEsQEUqkoUbNagEMqBhb48ykP5eNEeWfI9krLAmEu3TvyNNiZuDqxRiZqVrPl5nAnVuNl9R8Pgn4UO5OtWmZnHtVfEl2J6h1okRVCcrZTwLDJs9na0L0nj+NZVizVrVKOFGGJGi0RY6UVgRXFVDvryGJ9NyiWnLWKSl2niAKe+x1u/9VJGpu6KnvKvC2t1mWfg9EFB47ZYoFsEVmRJo9QrYIInPYSI+acvkJJHyZOCXuRXlVM7vzRLqKMplxRvCFb0C4QOERDbpahESkUdE/rchFzTJtOrPJYdry+sivqq10ySIX1TcKRaBQrEZeV9bovYMYHyl7MpGs7aeaeqIprVniQm93+YV7/1TA15jjle/O11cjQbIbWkFPGDg68mcdifNnZWfuolhKCsRFPdJVZGewxiDQG+woeEIiX3hhvBqUOhLvZbu8/uNbCui4f4CoV4p46xzk7R9QwZ+XXVuKJUxG5RlA6CCUpQFvNoYlkEkvciZe65SfO3dGFLOJhNesFQQbNmUCwMIY4+PjY++NvQkHN6pA02ZxjznlFLyqun1nJnwLynfaTM8Mj3MpPnpPsXYn9ShTFH6WwlXSsqfKLJ+z9CCD+D6KTJVFdERKIjJ4en37t2/flvsffvsbSImRPZ83lZkzM5wxBWV9AWStQcR934xrhNFpNQHkdT1EaIvbL2MR1eu8U0ApIpkdVHQ8Rmbu7ZF/NWyLKt2lnjDrb1qfqyn/vvbOyDHKEJEvIIrvqGcmXzCRwFsIY8xBpD4zRAf192kcxP6sjh7vYcYYo3rVlwSAMciKiNFqrbtlrndoUOICvL28BBNLSbzGYkBi7y0VQRi+EkTzumai2QNlgGnxlpHvrw1f6qgWNkUkvF6KwbEx3+9E4dQQiapGNeGZha6ISiB1jNit3gaRF2zNKKinCfipQm3c/y03iL2sIuHIAQnAxkzURm5KTbT4wCwzthMop0BFhvQ0kQUa0H41tGKfc2pDbCxyVXWMUUfhDA/a70mafCmcbgGJHGYb6R6DjNIeyhUzhDyzrB9SdTWy9xTeMJgTYXmJrzD3Cs3yHnr7f8+39ImPt26r43lF87fy/62C7uo43zLBgU8O8fKn4IvXDzgFaf78nVV5MqT+VFTmufBSdY0jAvu6HiFq8Ppp52eeY9tfXRU726mfru8t9L8F//ObP91eefu2TCq9CERJUhWQi82xYdXdee5/ZnOlU1lZ10gioqBYaYr6sGGskLjW1H4VDDRdQxQjwJ27fbR5GqgS4DTrKSLuJY9BfewxBmN5DzD5KcjBBWFoHkKe1ar8k3a1hX+eW53MzQLfrfYj3PDaH5+P+15o8vuc8/fff//jP/7j88cXhdsigtG2jgETPgdm2rPHyMi8rnlaLn6+bMCqILL6YwChQTfrsFB1SVM1SJJfMKrk1+IQJJSrdjYAzGsSsw2JEPYiyaX8ANevjHtCo0buyvKKnoy8A8XPiVTVYYNExMLmVclAr/2kUBbvSIgiC9Gtas+saFmA2KBb1D7LTGzukOX2p+Qc9//12w5PLwTj/DcUUzmC029V1fAIJ4Ye5AWANmS0riTMxVFPJFGmgcwS34cEUlMCkVYzL9UeopDHUUKYGu4YFbdPLSdQgZ3Q/3MfUO/P+6vZcaho3SamMva9L7kg6iFhtgzTsCWm1jq5h1fYEwn3wRADoQxBL1NUbZUJDx8y2N9kd5C8hpp7d2QTVTUq/CUEHNadlXQRHUPqCamwPw2PMQeH+vy0IppZXlFO0e2GTXsPoD5/Udsy4m0P/gSIqs17J661fSAK4rmB2kiomyvvN/x1j99Rkbcw/1Zbi7RoneCvK+5XMhH8VVytf2oiELh2XQkonRn6MHeJffH2l/dyL1TkUak7f3z+9GfXe1X8pJSWtz0nR1DrbXjxHk+6ze4a+odmoaLsONt01wgbEgZ+3nd4jEEEoJ4d2WF8gtqQKUOoCNbapHMGEilU0CSUMcbY7lp0g4T7ifARBOWDrG0Rua6LSm0QRAacvSxJ/em70gz6UKH0A7htq5Ev6crXKX816X0TDslS1IRgDoCyumXg+/rx9c///M/0apPWH1j38r3vr+e9bm5Q95kVFsgA3AveYTu1FmTIsHK15YJrZnKVTAQ9Yk0AcGdxRnXuWnlTAzDnbBuGSowiEimtZloVKruBvXYgErAxMkHUPl+v2KvtkF7mO4e695MVmY/HIzLFg/FYVVNSVbOVlBRZxNDgRx4o2pJnUu1VRMRGZw8JkRHtx2kVYb26/K5QcTZMMzEkdzGzlSPoTAwgUbe3JOFYI4WatXKdjDFV5fl8isoQA7gknJwOZ8TIDMASkNObA+DcfugmT4A0NcAjdoAidO+t90kA3RP89NdfRY3aA+qTyDXgVgnP67oSDuhGuMCFqz2RdK0JmBkzHuieUVKJyhAjwnePCh4sGbmCoaTAe4sS08Mok4zMAiLX3nPMRIqd6olUHo1Yey0bgxt0cwx3n2NSVoi6rO6hJqbWhtqv99x9S/uyNiDVkYq95HupnplkqR6RuFp6q3v2slzvyriqxVex2zn33H35ObTjFSFYI5cgNV7f8R7q+0rfH2bWt1c0fOm9vHOE3FM4e68Zag0wXlf/lnTOa3iSWeINyu4i4gR+lIBCvlLR/59fdVDljRMtIqfG9L24GCgqGcL4S6yWgkU1FgrOgYOx9jw+YZJIzGsWD1IlIrlXXIoOLZfDnZoxD+qg1MNUVY5w73V3HqvLju5RBOKg35aoCimI6PEDFaEIw5QPr7xuXz877hAlNUWqUkthoZ2ZphbpIqCafGSse+mHDR02jGQH9+uXX37Ze4f43vvjlw8BacTaurYMVRWwxhgi9CFQVeUINNy1AlWFYDJEyxBUpJXX1T1CYow559TwYnOcZ5o17+YEOHMNszGmD0/kpdcL1ezXJBsK11rKxRnwMGFbh1pCRoIUfR3RWgY23e6sCLS8XIvylwWz1FBdtNXlgAgPd7NerCMVFT04O/hShA2rZ18lYwKirQXCtT4gDaaqhcIRhKFkvGYzzhMp5d/JHr22dxKgFpAp50vCAxQhSCIm7FJvbErHoggMfIfeTeEZ5VlsEjo6xX5XHshEBqKti0/hGAodKAlAHbDhEU8I00mSMaGSQ5HpyHJ/jgyEqF6iwfmGtA6GmgF3lWtR1A4bEtmzqfJ9xE9dcALl9MJJk7D9NxuE27wKtzqXc15e5BCoSWzv7UdzD7JFqUahYpFBZV0kXqekoSJI0sIN0g4HWWeK72Q3AeB2dHpa008Cpa/Zm9kVjnlm+tjz+18l/1vWfkVz2sEe4LLHAL0OU11LC0l0bO0/KFWB9Fr+UhIZM+FQpNdQEQWLNYmnOsUeK/yUbk7wR01wq36rJkYKgcn3jFP3Ls+38x/Jlq03vHUChGW7qcBMaf6+gcT2nRlUW/S9zSrw8dGMMUg4smGV8ARfzy/f+/PzI3aV2EwDkubp2nmFN5wjO5GymUtPMVHRFuLB4+O678UglRTiKUF8jYihdTB4PyDFnCFcWXeIeCbrVr6LBB0or9boRETfqZ7QipD0EuvO5/38+vF1/7oeHx8M2f13XI9LRK7rMcYA0t0/Pj/4aqz7tmFzUCkvRGVOxs3x9fySbBmMUZVyQViCWFSWLroOEoGspJNh1ktkg8XEWyJnHQ0Zs9R1gZJxVpHtzjEso6F7Ai8Il3NOIkhATTVKU0FoIYAxLJybBzsiHw8Dd7kz7+0mqvTqMqvdIPHifEHv533JxbUGjgHmdWWEKitx2WsdPSvjuhmSajMZyZ2D+3nXLki3v9XVZd73PcYYNjhmGGaqet/3HGOMsTeXkMCZU2b5dCKTxEh2AGzARbNha4CnBNzDMhUTMYUQQ8zcbVNUWfFFRUAXmm8o0KnyEqBpMKF/5b/y/QzqsiG9TTW5iJBIT081Rwwl1VOFjiWmmS4oVXSpBRm+D2CzTHJFi3rzWNUyMGOOquwN9JbHc2/isAcripYYGzYcZSuakTSeHmOc6MCDqKqeZcmWmQVcQkBO2Frv6EsFqWyOV2cl6XumSumABjqyTmx4LbiRJejhnORXm/n68Z3halp6CuxXEJdeBuZvRIWLnjifqzzfiBOsT8ndeQYNmCdzGKJ29hMpTiZLNnTeby9e2/L9s34Goyqmnobm7YvzdTHn++Xtv50fmTjjEmYTWs9kEpxRNUEetCojHTtThw0xVk3Yvtdac0xQYDl7no+kexTtqLSIkJpaajZHZJjmlEeSyNvSrxJSaYuGb+f912oZCw1gcR0ZsZPyC4mMzSJDIlxLHT3EA0gbesZR3G0sUUv3OSrGSQ3zUwCCYISMs5U8xrCaVeLV5F1z8gIej+tsTrnHMLPPD3bGfOxjlJ5+AfSapxpVqW1KIE1Nr0c0W4D3h14AIZEzuwZI05EZBOVVleCVipqpR6gaD//em0GwAaqqAM6iLLOOZwIyaKIACXH0mhVhIhaKHOax3CbeuPYGkvafKGJo2UAJMY4sPENVzYZwug6hHZCK8Qs4IzljeU6DGUYCsSMRGGNo4V0hInMMAnbuPsdQs73WHGPnhoBzGunFcj5fj86gqqKSkb5dBXPOwZdaBAmHaJyPWzBNvmIExIappY7p8UTFbj0deev8SItRoit9Oe9lTzizHQU4jApHCjQSmSo99iVxIdWc8xZJZEyz8DTR2K6mYHtmyEgV3Xt/zEnMtBFJV1WeV9/b5kSmu5MRjNcAPP2o7OL1wSsU05u37B1J5laIUOpWyOWK2srme1K6si/8omsWCEcxDdSIZpwXVbvEjQDdNXimESiVezbHkIzd2ts5zKKQEHkLgIf9nwAXA+tFauCpMoXIuTB0QVCjKAbEF1z0FmnffnYCPRyiSDX7RHYrGQKJEg/PqGHmGWP3T8rXKvNP8R0NBjXvKA9Ulc0S/ytuaicGQferdaHC2lx64lKEX/LzBQDudQtgc7CAYMW1c9/rFggXL8fk5J+pLfnUxjXNFMi9NyJExcQyc87x9fV8ZbiqO8TdodglE3TasERv8EayWMEwY33K+xPMEkFqu2amcDO9W0Y0u1+z5Ng4qkVPjrR5zHXzICKaweDFbIQx5ijUh/LpBzoHALpsmg0gbZg4HVHummBDWsGmKYoMPLSjooZznj+66PsRpKADXXXxTwxPQK45pLdtsiy1a8RCUk3unHO4h4jc655jqmnt+kX0J+tKh/OVRAJ2Xqg+TgToq6pL2DDqDnrcPYBVkRAx1RK7pVQDV02z1pOUCzERThrgGMZJewkyF+tEAHk8HnvvYWOtW1KIEZlpFxhZw0iUyBjPipmuvQcSAtrLnBcywk1Nmm5LzjF5rhnw7aK6PbZvylOUYwA1B6AdiCr017417XxEEPBi2rxqKxUgXg4BVZmdgrODfqETfM6Kop8ekKH69JJhJpaGVIRKqqQU6b7eZhOgOFPFBGG5FcFNED5p3q+gifOcolKE2jHQ2f4MJqXVI8gR0lLXAqUdWPf53qlGM2uOjxI5xlSrnbjI5GynJL/N3MNU9lGASrSvAeNyDQNxLDlfUbEGqBwMCCKLRHyeNSPnKRDPPCD1xMXsLIcDhlYmztJbL1BeRN23DuO3vNqE870FcZ5fgkZj6n3Jml7EuawCNjqr8mt6w+4V8gtuevvBr3h57sarsnjlnz5bP/+qw1Zp7VxnXcZrESGbGFMNQjRHUGpnc9gIWtKrrrUjYu/NkWxzaeSXX77xjBEn7NEuFV1QK0htbZiARelTdoFGFqCqhqmiKCgZ4ZASqWe1KPTh48yFm2I911Q5u6+vsgORXYJJD+SRAd9bek5QTx/IyPCFmjlntu50NyiqqhYV3fjsHo8HSMqsZSWjOISKhsRRH1ORYaYfj712bztLbVT0F4haNBVKX6C7MA+Jvho4pZ1hHolTnEj3GvWDTsLFyKIgqLa8Ur8Wye3bQlwiI1zVbJiK7EKhiuYkgmte/b1VHUptPAwSbNAiWtzkUiWH2EQ1PNRsx1K17fsQQ923qpmoqgTYzCkfiKmexVNVtTFepqpB+Tcxw33fpsYxABPkWmu7lyVk61oDWGv1PmOMMfZa9/0cSA0gMoZpHcR+2SIytWQPdJiYZigkdwQkkQty0RZGqnir9/lt9ivvLfoJKCUMBnGIQhNhSEUgtihkpGgGaKSd/RcgktLW8pnIUEVGycNKZfHc7kAd8XqxC1yDbxcoMsa42JjXYeHQJpL7garKpe2uNYp3LMWRK17ddodBkuM7jyB1ofWLOHGiQ32GyCxeOfKcOd6aXhsGJxlSRblkcx8Lza7moNj3GZHClbMSRTw5oErdQ+tXjVMSVx1d/8p9Ia8UqKYGq7aR8qX9E5mhoxYS+CwTkBfrtIM/UBtz2hueCrgqp5lUo02QIxSl66Kv09IRO/t6K5STBEUiRwNNLzW6n6CgczO66DsNRHVJUVv6LJrV3VknD1Uxo7OtzpLrYjbg1qiIeFh0IieMLsVHTO9I4TWX5ciRC73FXodItEhck/rp5auUAisRiFpz7TDTkSWryAmAfEaRXuvlwiBa+jEFo1u6qN2x4s3zd6RbxsxsbfrSy5TUvfcuB8esAVBmZqiKwPA2QLgeD98+pglIeMfHx4fImXILHYZ5AWg4hv+nbeJ0phFoNQU+RK0tS/a/wapcMuacvp3uhkl4PTMz5xhdhqSISPfWCq1wLComKkJHSqF0PnKXTNMgDz6LrvqWy6Fmtr1WQ2gE6/6205Nlx41M7BSRtUm1GukUQsd1XQQk0KoMnARkIDzdV0TsMkLUjb3utdaiPREAd68HJBJeHmF7rTHG83mPaUiYjft+miqbB21PN74sPQzAnOO6rl9//TaQJdzosVUNWQNWkwKeaRfoCV4BPV+7vM+K5x3033JAvcUc//Y/nBxQidqgbSUPAaWYBQpHDBS9tDptEWrW2wGwE8haCIuj9i5yzbneFHfDIyMdpEXDCqAu04mhY5ecC+NuvUJ9AsnVFAKja6/HeLj7nFOAOeeLtDB0TKt1jrI9eHtfo+oIAMXPzSqqk/VCzVy6Lm3shm/1qZOZffif9t5N3wQEQh0XeZuQtttRARVEdqSBk/rv58WTyPQMiJ5liO63Ttbpp1ukm9f38oWOMwIg2M8XumyoW+KHrUlW2cBuqZLJ+6YxP6SA6VJEuNVZ+aDr+/Oro3/lIOmf+IYolezH6Quly+dhDQCxPQ90d5T91jll5VkU8/7s7R4Bsj5bWYz0fEXSaYB9J4NY7z8JEtVD1GQHmel7kzaZvUlUdQY9cQf/dAquRTXBxT9p4mYdoMK9GNalIc3IaCIxV6JU+qlKC2NEUzSYYXjAql7hT+iAKKqfn5/uwcGvmZra/JzbfbSjjrtn7dCplupqDrNTPIuQBCkRkZKRIdCjphCZXCAUkaDsS5akgfR5I04pKq+nXFuTJTYXTTTW2jWpvXTiWtKlVkIaJInK05kvZ2A+RxNPB9cwK5RFtstYZJiZiYlSIUgrfQZF6/beJQ1JrzQI9toQxHZuMBC8kpKvl3MGRGTvNWyo2YNjkioImNJyrRXhnx+fYw6KStkYz+eT1DM5/lSZv/3224+vrzlGRJip2gjfQ6mEBfK2BiJES8hLpy7ZMLVrZt4Q2BRomIln0AkAoKD0acTzrJR1ipCO1eivPLGhX9rKATyhxVPLPggnLldsVEEtYxOoAYVHWJJQfv1e9zTKgufe6/H4sGFdKpTKx/FFExXJs12tP+l0dx3Jri2zq2cgUdDBOYH1EVTnvLbvcgtKgs5l71VxuAkhbBFEVVD6Fvyj68LqtHbizCrro1pmScCThlBhwzIQksSUWXpXxXe0veXtQ4kig6IoEfn5+csYs75GyQv0om3Wnl3H0LeI+zZR6CARUfO0COEQo9Kts9ikr0d92Mg2zTk/9MT47l+YGIoWVYVdvfyiWWN5vDoVqZKh+5Z6WnhbZBDI+Wj0uoKo+3L3UgzsaRAE1DoWlG26qu61VHXvdYZ4hLO4cCsotZ/oFX+gFqwKkB02YGbjmhdbddZOEWmKgonF0DCO0mfZq8FlRI0q2wHqzleOS4aP80EPwVFJHArnea8lWBbXJ0Fq2/c0RCavs10/LaI8cT4+P9e9Bmk6ImYDAo0gOGY2oqWK1IreztjEoTH/cBsDoHxvinu9SiJImKR7N5WsEtQ4T+II7XScqipW1onCfg1VDLISIGTUrKo4yUkEEen0FKeSEEXTAqQASWHXdfGxIyI0jUTEtfd932xNSNdBO6tJK0WiSEQJwZAxzHTMbo/YXiuKf1w3R6n1XTqDUJW1llrtdrDXqbKpXhVZ62a0SUqfdtZl5iOusNael/7y+QmR+3lzo0rFBh+8R4hoptfbmIAhQcOdFIiZmUYixtQNOmAcTf/aKe+DcpLBCdrn9X5VZNm/k0CLQkMI/UR4Skobn7CHk9IRS6fkDjy80Mug52rRPolz1URX2mMP58BnRu2L8f3cXuvjRM1EeMctI8ccR6GpQe7wcMuhXUq4h0uipCW9gP5IF9em61FpS8m9y+yxh9SK9c+4BqqaSxVLeKY2gp8Nb5QTxZ/++Jc//fn3v/yn/3TfT4imY15De6dSFMdOj9fAwUSdP5w2HSL64/tXb/KmQNQKgGIgoO4FAFU28oy/IgA5baqWkL1dO5ZJj3rBCp66py+U5pj21Lw5DzM43yuHQue42JncOSQ5+iWUVBVA9SrRi1qn4WSizZCzeJYB0LpHqjRODY+IGDI93LfPayIhqs6gqbUWUETCWu4l+Vv757KaL2Y6w6UVOuREYFXo9Tf4BaxVWa2rmpa+SG1OA0DG3jJlkOu5t5MTcIZhLEcZ7eLl+X5cLmp/pLGUhELN0lOAnUT5kAhCo9I74QXTRYmDYk4RRICVgbg+rsfRL0qn8RlCNEmsErUxisMUydUczeiRWwPZNcYo5iXr6w4TZ4IFEXhE1qSkmokjiSwFhUlKySxnbB7tRjySijkQCT9yLNzNdg51EohdGtfP+zmv+fXjOedgQCC/i7m5GVl8HcxMh9iYg9yQejSoncFEY57VfWGvXSGtFP+VtQhJj5SNNBuqXSYCw4Z31oRKREx72XxSvmSt22yM8miRaZNEgzr5kDknHwoyr8flHnMaEiPSI8XEvMzlKkxAMzPSQ0KwnRas677HfPgpg1ENpnS99Z4D5K9Xcwg/MNpJWzsVTKRQhSFERJymIUOdOd10xxqpZNUjRVR8uanu7dw23Htb5705R0bROlVkrW2qnEettYcZDKqaazMbsBQtvdYGjkRETHn6s4TaxxhDe2VURc4CtHDOoAqRx5hrr0o2AjYpaop4pUQVk3PfGMKS+JtEeZyxExKRmgRVdyECkb0d0/R6/Pv/8Kf/4vf9599v6oYnIpYjo/dmQcfmEmk4uqTJa+BDy4wcc/6H//gfffvf/d1/Ru4wl5LYX5dCE6raUnmrCUxVqXQ8f3w9IbLd03OOCyLuW4EdmxAv4zhqNOIEdbWjJ9uj6snBDZ9u9lt/UURm2ydwTuN7RQSHhHn2PFqd5vAMslSYqmY8iigZMbijKfLx8cHC6usrHJ6Rz/tZjhy8elNsosND1eZF+Qf42mZ6kjPjJ9uFTGz3Hr6RrWim7aEloKOcb99+5M/20MnnItqwpBwEEKCQNSDA5uqAgGkmuuEg3s0YoWeh9NU99d0R1cEPqAmURUWkCjd1bcx54p1AinuaYWaf+vHj6yu22xjsI9WUqjsXqfHu1KgIOvEpjNrD2aVYJlrQuFTipd8oNNegmnFRkWwMjcPwviokkM5mi0bZZPF6RFIl6XnfrHo8fK+VPSasUa1peFR/D5iNj8eHR3x8PFT1t19/4xegri/5yMgsj7Zz6Va7X/GES5oZaquITbUioVelBw4mjS7EJO2oEHPjYEB13PdNO+I5ho1BW56+TuMl3fdTVdUGK3RelKrRYkJNEcrRJmvQ5Su5IM1nCoikBMK4Ba7YcK26vgqyFE+JFJjJfXt+tvslg8Ir+h9cmIH9r3KAALCGegAkMkCjz04homX3SMStFEA9qWrKdglKEcTtm4fTKTuVgubmebiGAMi28RTOD7jNEBFlW2GqphIwoZsalfycank0FIO411CdsgERmXnz4M45TxpXlczYvrlXWeBuBCg31KM2IUtPankykkwSKt3GSahZskZRAn04b02oSnj4TlF8PW8RJRZUG4Z8awpOU0kEevsRUpCUCJHd/nIJz3vtTEWmmFSrVCW6oEVCVVPgVdrIFhVBhmNe44///KdmT8Mz2uFJVHWHs4ASaOQWyPaaxNKE8bUHQtlUBVolppD44MbG5uZARJrpXm42AIcY+eD8Vu0pIpHMbF75iT48qnyQa6/H48rGkfi+sXozoya+3mtRbOvQWihbtt1x9oYqtSsNYKV7Bp5VsoP4QkpJcHNtx+7ns3kmvEgt1kbm0MGRTHiRQ6RHOqIKlPwDS4P6mN0bZVGGG74ou10BW+fEMMsuTLOegbOjiAgpNYKKuP36QoA5JiB3bHJRATweD98bItytQKbC5pzkAlRAzyxxaZHt+5rX9s2xs5qpMsgWHsjATWoQibl19DORSY7fdr/v57BBP3otX9yRVNfv8TKyGiBmsmtezCfk/kZL4Z+OTWoK6HtH2ai8dScMDkAqvWOiJHpYoPAqI2KMCSdZXwTBQw3OUUSH2VqLn5STbanJTRI6pq5UZqZk1aMqUdY3qRSgzMwisLFpUILAotdai+iW2eCx5KLGjx8/tm52pS/FchbjUZqOmRIikQpw/Cga4hgoBEakzB+PLEH9z08dwF8F/T45rx2BgxHxHReoUfo5q4UXGqCQbcSu7XQPIlFHRUigNDMB/cClUZ6OXb3MyT3Mo3kionstKbVOvqWZiQjPUGZssVZykEtEzGzO0ichmJNdH/GpCwenLA0yypDArPCts6DL1627KJGq+lHVOfHs/ruIU6L79JJVidN5ZkmsYXrvG4nSCk/nMxYZSXMOltGMgLyNCeYtJktx9pu8d4GQojMLaSYA2qQwa8BcTx1FP4ysGpP5Yq9b1ZBJGl3WrBSJSIVHaOra4YHYacZsBUAzoCLp3GVlLXW2GUR1FA6Tsrbfsc0swn/5/DQbaD6MR0jx3MvgoWfYibdiBS1Glhzt+lF9EBWJjI/Howcm2HtzdzY81CSawiEND2XmfdeQoKVgiDJTlV7GGPOaTNKczkX9PM/a98Zeu8ENn4M2LJlJzLovWJWsHgHlpjn2lIIxMxPJrGOjgE9068ODOsdIE1GdWtvIrHvGGBXEI0R1701AdV7XHFNVSGofc2TmBTrI5zUnaaC+KyVITb9P45j1ykAyk4Kdmfn8evayTmTm3v7Caeuphdm47/vIP7weVoSpfjw+rPtQ3oePj4/uvXLMUfOVRETlDDYrPa1B7mImdCo9VYJk1qyNRQyPBqNHBM8YxqB4dW39808Zsyx/7/tmv5Ld0MjbLz5x4tjk7GcWvpodxeaYa6/rujKLkOYeiVSh2wErLEEm2QfCEsQMECNK3eA8mwBvlNuQey1ASoNBpKEBgUCTUkWcDwu2eHJsnjJt7g2EcBb5CvM//TpdK+Sn/5jngvivZ3KgEKQJIJx9QySSfymsZ4ChqvBU4+7y8PCasaDxClORsl9CYowhTYTS0itg611Nrpk6ZNhojrCJcGpFSqjVVDNz+2bOjMwqaWtnmDst4uFok+iSl0pEegPRJxlK40OSUlPQPOPlfghVmHXtD9S/ikph5gJEcuuscLRuSQGEZ8IH19M5X8iSkM1IKsJHuQsRJcFeG/lCezKowkSMuV4M386xEDIjjHLITGYJ0A4gOuYwpPJVqTsHSQ9T9cg//+n3//Sf/nj/eNYFHpGWitLdDDWdkId0jhmZa61//J//MSL+9b/+VyL40x//lMAw++XbL9e8+LbyJWzsBBy2n5kn09WwIaIZsSOBNIJ7qpXXRBh5GdaJGEBxzRkRc45EKeM7R0N72ygOzH3fa2+h3MooKLkoLsyJ6Q+9WItwFJRBRdkK6JklHqBaMHHdCHYnqtwnqso4VE16RaN4ByU3gtP1oMekAnpvodpBfup7L3gWKS4iMtB2V1WOJqO5h0cJplZjoWVfz4CeiUwOSOd1AbK3Izd1C+ilzN7Xl4fndU1qrldTIiDjS0Rs2MfjUcI3jYkzBYrqoLyK0LCXWS45D+ARlNLjNFFREUuui4qI+NpjGDWIwL5JhOgu5ZSzqK7JxSJRuiz2kciEyKVcg5W9vfOQquiOTfyQ82cyqvlAPfYw42yp3/oanhE8yCxpsgME7NhWgwRu8AohSr5V5BqxOZImGrhv8ox9B5W06xo8uNbmu3DLlrHmjWWjTgkkQQpcIENDM6Rgq/RU1YChLB1EGojDK7KfNNA/+6df71+chpI2I9Ya6SqcS2f2mjFY8DIxRhEaAxwuuYiGh1aPARHh1juflqntvanq16mdm9xYewEjMhGt5MwIuwlJR2HK3sr+FJV7W0fUN3NKCP0dW7a3WpE8N0NLprHLfCQCoUVCQPdEIq+1NhMjkJYojV2UZ1jSbNrMNraplWaAHMZFgA0qmoeIuiFappj1ZLT7X/eIiL0W57e1Htu9l/JI8OeQhGYqLZYnQgirPkGgRnY9OO/RLnf9M5Gx9/314+t+3rwThTMQF5IGd4HGwCtUjenrXjbs63kDoD7lGPZ8PoG81/727dsYds1J9lvvzpB2zWeUlRJQ70w0WMQHamaje5Ki576uBWManyrpLjCQph0RdAxhBXpClQ1jvU9y1F60Ah6vcPZqkZMpcO8950xg7XVSHzGLhvhrpqWpCVJ7mNFoqkFaJ/OWoN1rMwHVWRJG4PJB7Y+VRSInonEmDqoyxrRhmfG8F29RLVhlcnzKD7HWTcoKyXj6SsCiUvSXb7/+et/3B+iRAoq07L0/Pj52bP7wps9WEBQRB+AuUkuarLdsjL2WA2tv9i6cj1U1DVm7ndlFRVX6Urd7eMyrdC+ygZ1jmhjh9L255jzpnCegEDrTo/8o/JijHgrfAhJAIfzJtbibEaW6RnpuJgQFD4YLgGJdl6UKgERsJ+gHIEXAQUuBWqYRMYbJRlQf0C2ee7HRt6+1ouTqMyPudTMuzWvSumcc+KmuEhBo1kuomeFOgFJ5gBKiavDV7+iry+jDzLj/6gC6BZBGil49wZn7cJ3XitsHd5+qyVU8ahBFmppnqJpv7knWIhRn+km8I8rBmey9Ocbai+cMAPc4AIwx1lqCAvUE0npbMmgc0bPYrHAPQda6loqqEiyu3nnOOF4SKHdsalBXagVQkklNcnnbZsh6wHVbullvyEL6LmZnoEQgooFCqpnH2gCgkFAERYNFyL2pQ8WF8vpNEQHSSYIMimzDMyLpeUDQFoidZYWB7ouRSA3R0thCRCjF8ooTzX/guSPuw7ea6TBNJAW+N5+vFuUuyQotrCNIuqh7QAiXNUJkYhf+vrY/73utso5a2//0pz8z/Hn4Ncbj4/q4HoynKGM1/hHF3WSqI4zO88s8OscUKd3AbFwCKL72Qcz4hFQkUawBzovoQpEdJTPT9157qYiIoS1L99r16UTiSIJnqkoG3N1UQWoQhPV+24iAVXN4PP1JgK3bg5RevmBD8YJb60O8GoXwXqyMWHszVDRfrNTu2FhGUK435phj2FrbLFUepO6Tt2ZG4U9KYQe7h+378/NTRBNFGKsQIAKFjhqK1nhTkBS1l9avg6iNvXcGXzHO2De4WSg9YUiIiS+XpjyzEASS+0MJqGqsRZsalmvRdgJnPhft7JZsENvhi4+44GUFnyDfMn4BY70eCmaU8Q71iOol5BgOyIyhtnmLetmNJLETxlXFt3ubFZ+MuPeiLePerir3vYCSAixDTZREdrSkq7YDNoDn80tFf3yREpKDwHv2mAJIKFxSTAU7ERKpW8yJUct2zzQIA5oBTUQoVqi8ahocykH9zgF/8jT4nQloDVZUhWRVHDpULEQ1BdJiRur0E44DlHAV/IRPvrE9Uud1FNY5mrFQiZ0qgO4CGXP4LlYcK6yKKcszwvSIymQmglr3Z+OXUD6Bs6y6Sgp1zld+rY+Hnsb1xfV/6qRYYUiAlNrfYZYVaKbTAjMjjsNI9uor0bBIzgD4prVqRJeSCe4bCxflI7IJ5py6WccEpp1uZazWT848lVR0F4THEIRvXlVmEo6ofg39glaPUC2upyeSkGeUuoF4ZHVIIqLSDAps31MGGkPEkTJLmA33nYl77ee9r2tWgxj+Pb5fP645TAAdajpExBMiLGO5oLMNajYEUktWmUwzpueSkzs5IRLuakPMyCfx4t2LKNV9uW85ruu611r37b7vtagFbarbHSLUeKiDyjtMP1EBErW5I2lqiQDEGGvYg6o6iU8Fl2HOERHvVVXEi8+GjvvapR7xKEY6OZgkoKo7IhPhniKM4BHx9fVlNiLcxlDR5/MZkXMOhnVTXXvLSxKxhGvI8Sv3DhR3s9NQxe2IFKjXlcjevnch+KoqJojayoRAR31kVSmmqaqKYFg10JFlvVDenMCra99zTCC//fKN8AjLvhJRkL4TKOGDtTdFHjnfy4hURaZvpzhrNfJBnA3rvjlBgchz3SwMeff2XmSWmNq9FvuzOA6jteK7s9FjoJcJ6mDUS8SuixFTVUlMKRIdcD+ffKbd4BYRgDBszUxNBfrx+FhrARjTkDkYltkKsRwChZpq6FywQ2RGwiNkSJqgplAv2OdUuu+F/1srUAGl/15fGQCl8xwYVC5JIFPFJF2QegJJGWmMSDfTiDNAh0BoLgHkmAT9lWlYUF5xZ9jVtzjca3HVt4sAN4B0X2ZGdmmS8FPDgEdwIah0srNnXOQXQ1SwAYGHX2PWCouckoWhNo5E3U/hNV8rYFp+SPVIkJUsqoQ7Ug8F9WiWnVDRSEp2PsMej+KAc9G8FbCzGUGZ+XpgWcnH1DJem6bsAyq3OVRkx67JJ48UhMxOtDBLtEbA2VTKU6hFRlmFSXJKkdjbi1wYTGl1CjnjshqgxbBZMS6L7OXbuTKz144M0qsTeH490dRbMlQ5M/z68VRZYw6lMgynelozOl65yoxI8lKo3RhRkmGZvYw2Bj8dq73EJuhHn/u1bmtgULuTFy5ZJrOsEKMAB/LB3YEqhximIwtPigjfgVlwQbbKE+Gdl+AV+qBJ/ay38qKerXHBDUDb7VbNK9Vcytt5MNXw3Ht///793//jPzEeqcp1XR8fn1S4jLD7udw3p0ocg6LaxDQzaljucHeHJatkZO6dSHAxkyXq4/GIiFi3b6dUQzXx/baikOmkNvT2chniwhSXsAjaD6UJcxILSsBEFmUfq2pykmj5bGpg0JSzU5AypxO7894ejbWyFXX2WtrokKrutWgPwCEqpwgU/CG4xFaDsER1ctW6QAR7795OkN4gSLPSBSEWxwuOVk8RlaEDSIFu3zwCaqpZKbMGwnm+Xnk+GZdpVhLpQ4VmVtmrI6EsuUNgskFhdEukDAmEzcnNEsAOo6dh0pAqVF/F/vnVNJ6TI+pr6MXnYOclYh5wUnBiZ26VLepcEQAEolAYEqDpATIj995mQ5DP533N677vawLAVN17O3CIQPd9h8cck9jOGIPlaVEv5kzkvCaAa15zTiC5RiAQD1fTLDefFzjLOydsbxOHO8OJgoczjGvtfFWL15QTGTZaD7buTgnfHFIQSSwFshOUEBvlOCPi3i7PJDFyianEdlR5FCIjMgVpYh3kifNWi7D3KhasO8kV0XtqHPNEsseXzNwR0VTtSoEim3h7F2iAZM2pWeNGVfCZsQOVnaeImEggoRnhSEjN3SOcVrcBpKmwsEW/CgE1VedR9qDljqrea4X74/H48eOHmfoKSK7l3Mm97ErmTjJPElXridqw59fzed/UOGNXJaYKjbKdUq5FA0HRXvSGMCdD7MF5b3m1ek2P2M+nNo1dREjv2ZtbEWqme7spy16TgJlm0OAvWLATasuEaj/NXrtda2XCqCxECfGoe84JoZoKjlSK1OZiV3BM3RytE7vwPLaqsvd2dxuaAWIO13Xxep7Pr7UWR508vV4m9cTKNtUU9t6Z1gp6MLN1r7a4qmkERPZayOa/5Vv06ODFulFNYwcHWmb608dUXetmg+UeTbVqgk4BKZKZX+HuXFmH703RpwplGZnBoW5UvmgSBF0zica0nNSJYyKy7qWtKqKq/FffmysIwpHSW+DQ3mujhIN7kl9QGtemUTuPGDb33l5mRCLAGOP5fJ5eMLln35pRqnVCpLmnvNg5ZkpqFOlAICNbZbAvTl5YoQop4Tyz7O59eYa+h/e3yP5Kogfuf3Wmb11Cg01EN1TOUUw3SdGIcKq8Sc91RaBmvvfAWHux5DB62NDGOvwwauQYtqnMMUTUzIbZdlfTdS9I+T5XwRtx30nGBcOxXY97PZXtLSBrezgOaR/gwQ3xMaaKQiGia69T0RNE6ouXpKZSvrFBKsaolF9S/yq6d70MVfULmkYqVRBFuvtaK9wH/WdKzP/15gCoJc8ePLCUrYZDOpK2qQgPepHqzsJ9jaO1xg89fz7+BY5Ac9eSsZCzAe7ZsQ1PFmYZEiphOjODF3yGzDvocFs3h32FiJjOqGVKdhXnWyqf8JOp6pxXZIyMFXHfN2EQFfv+/S+sUq1svjmvq7Up7nBExP2811oqp0Ovm1rj4IYm0CMB9s3WkrGsJ6LXcUmzqdjtvu71fN5j2DmccrZGUWVaRGBvTjw8vaa+TTKWhlT5T+G+SoecB7lGnshuupNYGuMIHSsL3yMdCyLhfPGFFMaMNBuQDIRA7vvOiDHG33z7be/tOxjieVyZMOSoJnCGobJ3iOT2bQZ6oomWBKG7V0HtmRHMED++vkohuajbp4aV1k+tkR47oehce5JineKGlVDE01oOZ3XFb+H95ABJVdhAvMrSppH3bkcRhUVE1ah9cwSA+VKzU5nX1NaVi94OezwuPugCpkRUbe8F8umhSVU79732GKPuz96JfD5vqy1YBWCccq/qrswGyQInM5GOaMNMLTJ976EDAJ0qRNkbZHLLDJT8T98++OpyL7e2J1IiHYaUSEnR5FYwEnNOV4WYw0/AT5Q0hEBaJa7nMCfudFb4OW0AoDKMJKIm0oV2pCBpUsZSWFUjfJrCmQ0gqRFBvI+AlYmOMegCOq9LAFH1DMlkHHd3Dc0eFFO1uJTzatFGE0kQlryuSXOYg1xqxScOVdTMw2OxpyMRH/d9czm543ByckhoAi3rIJ1q3V8QUBacL73CWpiHNB//oDfbd2fQ+vK9NvJsjqDBhR4gN4zUHBVGhxMu0LMK4YtXsr0NJhKhKP1SHNAB7ltENWksDJFSIM4qlSPzqPqduCeaoeFDxQWCVOHOi75qv8oodWL01Ldgf173iru1EXFdF+ddBKNYYn98fCYXBm3YpSxi1tosbCNirZVdjVnySTmAj8dHL+OQIS4Q8X6g9ZggItCU0Bcx7HRUEWVasrczefXEuZpFnAI8kZE2RpaYlV+DDlODuLD32kuWRUw593rU5C5a9URbdSA8Suqq4KAMTyiFzDhpC14SkjhhHUgOJ1Q0UIu3EFzX9ec//XnMER6ERn///fe993VdEelxc4eLtyUyVeTrxw9REVnsSXnxHL9luXFQMFEguVf50PF8EcDJnkKx9WQ5zyWD0gWpgoOd92vNm3raVG+kosnH46Et6WFDw+tujzneIxJaoZbnTUU8YkzytfjeFw9Hpeo2CNbaj8dFGohZiAibhjKNyBIYpjlwZhKcjgg60jCdmBUhdc6598YX7vuOjKGDAkH38/l4PEJjzMmCwAU2zDDC/XpcfAv2Yrtm9rgITViMJPwrutfiahE/AIlJo+4jh/glb5BsuVJxx46gQwL29ghDwJejOnrC+Nl+AK8KtGt/njKiGucXf0e0zYHL1gSR0NpgNRXwjFaV54X6C/U/VHT7YlTxcOu6jGJw7nsvEZGJYWoZ2V8ABtM5r/v5DAR7q4TMMbZvQrTcMeYjz8QY48eP74/HBwf90qGb8ICItIQ3aMN2zVnwOXpampGJiBxmHW95vvUtFr/NBgTold26Yb039nqpIWU7xtc7a1jk4QYDN0u6EsyesyWnJnp6uMpC7DnQ94dUUU+mSZFKIaSE1aot444WT/8I9SfapgM9RahaFOeKQOQVtT/A+SoE5P6GCpVN0RJXOcTOnFNpW9aZImoMUug24yCPwI+vrz/+8Y/Xdc05Ho9vEUHN2LqjlABjOyAmCnr+XXNWLCsaJW9xUviB/6nlPIVCYLzF972u6xJgU0uCwc+3u4N4fyYVuCNCRZ0yzr0cUE+ha4xhRp7fyYKZGY4xm7XdCeTAAqSWkwsXrdpWeaaq4+C5vu/N+7C9TYzZRVQENABrbd+bx4NSEx+fH77d1wZkznld1/N+rns/v56n8XR/wdxsLLpbYr9VzEj32qEFgRE62wAQ6lCFwOqgsqi2IVKC0iNTG9spwoWKHK064l2CswVGF1dLc4vMyHCuB/EnvygbgsJMygKepFtx38xhrOijiVIcIHM8LiLXdaHnM+fzVo0yjA90h9vjwUMBISKUwwYf3JhjsOGbc47p4WPOMSw87nUT7mSYhYiZRdxmel1lBdqTFZQ+FXmAiUwJj3kZROa4THX5RuYcQ8IH+Z0srnZsNRMFNzcRqqrIkNISFlJFmKEB5/q+oOxJOlbhFeEO2PMG+7C7lLIEAICy0aJag1AMKwJRfCthE6OqaSbpaWaIHHOkZ7nhkgnUXJ3kbgw0exWTpcdamyD+8B1IBKh7zmFylh4y3GPt7ds3N1ykkGjmc1NlXwjF2hu1xwAPZyh3UvalZrwn/bGdOZELKIfd6DaTcfKl40+KOnFJfoMKOMkTxj7nf6C7GZGE8IKGa5KbtWP1lnyLNI4DvVXTHRWkpEaH0RYitBnszI1SB5fz/Swge6dawJ24zEQIWXghKMJYiklG6NGOBh8vxHNzTKJyrDBSANaQUQsW3SFmsoxlpGZuP3fSTO87tOiwgMjX1/N6XHsvbnvxATF5eCRkEz0fs7RZ3Dfb230vUvR26fhbSYwRKz/gQ4K6EZGx13IPekDe5StLvxTtUS08PDLnGCgJs1q9NrMx5l7LxYEaNXd7gexCQfpXRGR6083LF0XkJX5QpNgsQJEY0RimqlTjIEdS6g7r3s5Y7O5jzuImQUzH4/H49dff5hyiOsdkXeg7bDhHylRF9nCFyiDuM87y3dlJrARA5n4t6CV+7p+iRnRWXL4EJE2HSLlNxJH/7O/KxsrylCCoZm2YecTjkr33jZt2Z2wZCI4zVnqGQFwdLS5rZpnUtCjKkBpBvBQBa4VOe0KpraARMfWlI2wYrWpFRBtClIFMREgixxiWFpGmsvYeYzzv24YZarKSyI+Pj+t60MnrY1yZSfkg95KtVTMThbONS0rUiMjaG5nX46Gqj48SFrtUMjHn2EtGxxYUMkXQk0s5NRdBJCKlB1OSpm0DLGR/5pmEvP3Vv/Jkgi5ctJPBOyIElD5fBSmmkkZLyL7vZVHp2CUQnEG5AkI58iwlOCUTQApNkDGMummqZpoqSpauuz8ejzOUA1xExhyMpAK55mTr1L087wuIk7C61VDyc4cMIq2UeBQh5aTUWg4vEpl5jMGyz3lmUdKlzuZbHi1yaOWU2u9ohXEKnkChQWyU4EAWJU4yA1lbzmDXFdmfpaqnfCGwRyWYU/Y0UdbztfNVijFwdzNkSP1W72QAqLZdhMvQ/HgEkrK7DYaDem8bOuyjVU09SXgZASk3cFKGkkR79wMZA+mbIPUwNYADdo+IG/Ljx4+Pj0clDCQSqladPAWEBQKlt4lHjDHWvdz3Wvu65pyXu5vpdV0VIiOC8nbKk5berH/0jhIyqFz3eDy0oxULlBpzcH/KRTp8Z+XnM7zkK1Z3lSTLRl9JxS2d+mHGXFWJomcGvNMcSp9xgogKXICgJlvyeSnPIpeb/vW/+ld/+4e/ndckL+Dx8dHQs1BZ4ZoXvgnFD86NPUxEqclwT8Ko0iGCQ5/lxFKE+onS24cNeYVA6XWVEdzAAjAwssXYW+mrBmPSC/miIruQ+ogA+fhAIkeMYYOpiF4L2aCTQFTFbK5VKooJG8MkeESZxgSm59U+pJ1Ecoqvqg8bHo7M0UJk7ICrrTQLgIpAfBxx7kZmVnWfZJaxSTWzzBhzks0VEV/PryNPybcMIqqvqYPvncA1L2SWyqyYoPaN0YONIShJMhUDjqCa6FBVSU0oZEgmlTpob4QMB5j97GeE5w3ofQ0D8P5qy+vLOrVDBXFE5VSEE7cqV5EpcPcB9fAhZARKlnprrRRQspWsCfdQsZB6N9SUzRH1P4nKEamXMvBSkuLX3kMGR3PIl/Ds19fXdV3SjMzXiQn2HKmi210jBNBh4cHCM0+cq/TWQPYr0gEk4/e9YMarbqB4gZUWKzcWegvUvk9J+LKomTrOiSQBkzjpIbafAJ3dnlTLdI5qTwKkf/8whdhONGKBghpePp2JzivZozDeppP0ENWTR5nTAtUhVH371rmggDbmBhGyIKLORjUP/BDZJsyq5rF9bY5kf/z4LqJjzOd9X9eVCe55xvED6G6O0aoBIlHRtRYZ03MMs4FM0os5ZuxhkKgpR3C+ne+niopmsGlwV1PSIm2MLuCLyVNUWbmAZJ4Ij/u+q8moZIwEV7RyzEFX+oaUeirTVawX3b6nSols77I6jUkIy8fIjNxFbeiMkukeIcGb+dtvv/32h78hlYV0oOAuXvhaiwq5VzciEcGBUD3qQlTEXtp8mHNChMJKpBBQolIq4h9cUlSEswlUmtbohStVnXNIK+mjMEyq4JimEXfiR+DgAQeh9W1mj8fDI45qrKikl0gG/zbnhMC3s1XijdwkglNkXodxgFwCPhT0f6lkEjSDFJ0+PGyIjMHD0zVZzfborpyZ87rWonap1CvWkLWqzCE2xp3PjPzl85uarLVsWPrZKkgRkKrHboatZ5SGHar3ElFR9xDT1otKqGX5fokkpJY6khCtqcAs0xOFEhalj5+WBcuJ753x8gAE/SurCOyOId/+CqSWaIxnCewdXLrCzWNO3FX+q0l4ltpaJgTDxpxzzHGgVW55UMWTSBFrB+bh6rAS7lt3ofkq+tw3EUYGvqGDy+tr3ewzREp5Tc32XvQyY28QQfMx4cssdK0E2O0WaN9NQ8VjvEE0BEDe8sar16oUUK1T90xCceYqplRFJbbTY4dNt4drqjaend1/NLKMk9HknIa3fC7dBLA/O+uXSVGO/p5oPyleaPQ7z0uOiujFaMrGu42U2UCjfUTJUAmFSUgkSu6gFwWCjGlQrzEiOLFHnSu7Y3H14bxa13U9rougCAAp/lfRi7KakIompQlKy8AxMoPyjapCfIIC95XXeX8ikHk/1/b9uC7W+LKW0FJGi2Vrw4JfLDyPVe5oy5klMsL3zrV2EfNEBKJjSMQ1r8Gf4LXFKiKV+Rh5I/nQIyjQV4t157RL82TyPPhMpVm8aXp0l6D3855jcnugR08y1ETFaemsun0T8hYVE6vzD8yyzyVVvxCe+izFVq+T5xEKYZYCsPfKoAyiAgnVyJxqCYwxrLlYBaRVo5RAQoMdf+xdiI4WplTtb6dqvp82VPyk4WJL7+1jDipHJCHHHv94uClNNjHn/Pr6ItHZo749IuKOzBzDVC0zuI6Umt7mMMFzG/DcwwYBA5XCuKOUBM3jpshNNd+qGbE86f6I9vbIBEe7c15zTrJXu3dPE41eMJJO0kLdMzMV8e1IDNZstR+rWpIyJ69CJIEddWAEYw4f4svfV3975JtnknhK/hNJTsSvAggG7M4EOXoayR+mZoQmeWp2xBBjvHC/TQy1nSfVt2aqkDlnIhoJX+vz89M3mXYyxiWC+17D7LquyJq9CIlDaiWokHy9bYzpbRrjGc/n8+Pjs7RNShkC27dVvNgf80FdUtaJX1/Pz89PKQU7MRiL3DPN5VtdL2Jp9vD3taroFio4CJZK1GpYdgnoO3ynB5/33vu6Jpor0u87a2cfOrKbdDKyI7POX/9RcfzXWL691WjVNnbrIKKpFbYI2HF4XrXemQp0pO69MIYjoaQstypVoKaMpEWLJEJUuUVUsLbPMUQsMppfy60eb1kLzDEhuO97v+3NJ3Kv/fx6Xo/Ji6WHLU5j0cTTyI4sDUKstR7XI5FecvP0Vq2iUiCiwhV83skxhvvzKhGIQILEpIxDJdTMdN9jTCI8pjrncI8xqVxUQXnHJidEa8OWaRNCVBegVOe5Xf344vydCMneiyrWqsLJVLYEcSli1muXmdSaiMww0znHXp6A+za7ns+nqKy1wk7Dh/Ay4Tg4TGhkmpc/D0capchUQJCWfTdDDfdj916kz9K/yFtmTtnRzknYlhxrRr4quqmrzdBed4jJSkURbbLISoIuvqq6cvfLWGv/kaGm7nE9rtKfKMcVLVTGZNo1zH7//vvjeiBxzSuRBTJLisrX1xd1yeb8ZsNqIaQLmtKUFsJ0KRjNoZDn/bQxpKgMPBXlZct7y0QbHnNe5O8n4OHE+kHSGqduEdd12Rj3fR8v4BIitHHfz0GWUSKRRPYGatjIzJ9M5sjMgA7dsRkCCFOYqoco1KtYrNq2KtxTAeKE+jz/wlh/vliKP0oRCAjoGN2QbGYj/AikDsMtGT3t6jCV3S0SVtaUtZaWt2fuvYs+TJAhQSQkfM8x11rcv6iw2KLeWloRJVGC7kIZU9SUJDw+mGG2FpqNHdzCjZ2Px6Mkt4hgVnMQCLzSMgSl2iF87SGoW9q/iFKg0gBAHBxZ6vmSKuBJi/KvPxH8VXGTdVDphbBsbdUiM/IneOcV9yuUSHEkGNGyIXvO4SGspENIJq4QlhE12JDWY3k/F9UgVrdJyQEpTK07IdKmtMCiHnFmZnCInJk1z+SSJjIXeUTbw50iAZl5zSmJH3s9v+7H9VAtLI0VuhaGK4lyTgeSgton/YvIdnoKGWNudQNGpX4/Bc7OVQhvxL3utW7WJbC6M2f5NnrEIiIZ2L5FoaLUD1DTvTfbWQB7bTMlDmKmmiUkSY2X/tMzEGee2Xe+S/2IHVDVqZPbF40wdI8uqortPqxIz9v3nHPvpaZjTjXjY1LRzkl0lm81iwYAVUsoH4DpIDNCiiOPRDqC7hd12eGMzoRbpaU9ZU6eVQLlnCuQRNdDhUJjUpI4bdScPBXENry7E2UPSnjP7PjJlKAxnSlHi4a6Bzd7wtkegs2NiHz75dvai1WJQkVlyiTB9Ndff/XY3JUTEtvaL+GaM4rjBFX1CBMNZuLMx/XgWeKxF3kFUlYhU3DND4ebKd0qlFqZfYqcsLe7aAmpfTweP76eEc4mhjWNqq11A1W1uG8kBrvYIL6pqNOpAlPaj2ZuM0O4QXJFrIhVyC2QgAfcwKXkN7CHHwDy9lt5ZrsK3v1MBDeVDEq+BgOEOBAOUghKkLLoK+VHxfDBw5cJkXAnBFLTWlRt4r4BlDlRD2ySFRANcPZGwoax51prMfeCKIeoCCdOLPBBfQVvwmmSFDiM51vVIsM9zPS8ZuypDwDzSpHS21iVHfu547UQKadhqE9cvxfBV09MLfY6oRNtUpoJUYQnK9DtPsckU54FVF1eFPIuTZ/QVjGrwFYIvKAkJOuXkkmSKVZO2ahPVxTLrDEGEXtolohRRojWGj0teSuDZaa+/EwSyTFgesrZ+aK3QGaGcwg8xqAuHrK2IdmyZO2gxtfzicSYY++tdiGT2nZaaD7TqUAQ1BgQuG/uuEZ5lqHHLbX/ER4OP/dcWwjWW3X96+uLhPQxR09CMoJThN7PILlZ6LAn0a36eV/OGlRNwTMzsfdCN5LZzCJfzp4piwZTNjVDZJiBJd2xCGah8YbJiEp4UI3ZTImMfa0vfr3vTS10CDAk+umYDUop5EvK8OzEWXWGKOPD96jQnPq6u3Q4mdfF0yKAHuGWxCCDfi8p52HeJahwGcJZVodnIncEo1tWKrV3+IWPm1vuokIDCFKHCZ4YDCWVyOY9Cz2rSiUTeV0PftSolTrOzFVt6NY5aiyhvfjNiJ/b2Xv1CrmuvUyVGwkEFda+OX43N0ak7AsmkubukakmY8yBVvutMqJU7fbaZZen6lGTuDGMrtRrrY/HBws4s7HXGvw2Vel40ygNH7ME2AFkKjiGH0LkswNRvuYBr0LvDfxpJOLVFMTbV4o2ixQpSEWmyZAMbR+drlIKExYBel/kxE99UcGwiJRl/VCy8Gn8WzwWSGTsTefS4sMBUNGQlML+UkQDoCP3IQuxb4xMM3adMozMVTl/qLRTJl96lKunC+Tw9d55TH22cPA0hrMAMoM9afHs+8Wvc0cN1VrIyIzsyv51r9lRuZPOD6S+1Rd8Yyty1QPX5iqU+mZBUZUqgMictSVffEEWdziycQwHVNFJWsPTd4kNBIdMwBtxIKv6CQ2N9BOX3QMGaCkFhXtlC9T9cgqwiIT7Ii2zJ10AGNfmnO6bSFHmG/QP9lSkuqqIltUCICiTRUYKBpRaBAuZY+y9wZCroi8kIR+Ph4jsvRlMmQ8qkdRzpMxnjDkkzYyIh9oYpHszpTE5SbRfaRNkX0QAlnSFBWtanpF4U+8xyA00rnE2SkuVGK2ZEPfdqCGoamRMRYTZMSuOPsaCLOVXvvqc4fd5Phm6Tqget7viIij/EwfpANRgodFT7DJP9hBaUVU11+FIVOBZCqOvQqi2mqBjgMxvUBSAEFOmVuUXWRZp1hsIMsY4pZ6qZBrh1mK4AqeQJP5R+aqcMoSwlUrq7OmLytouUVMZqU68I6HAdPCOgvqdCd5S7ipXTRLBnon6FgBo7CUF0YWNS8/OpoqAN1b2fY8xPBybgij3qdX4MSNC1e61Pj4+mF8TGKYa1Bk2Uw4MhftgKSnntRHF9hjzcTv9/th9njjOJ38WwV7FbAW7DkrdMFbO4AczaABQiGdG2NDmkgGEEAqu5QrSlp/hArLiInIM40sL8hym1Ra4lf9O7JiPa90LELMR7mbD/ebze+7nvOb93Nc1M2GjZms2xogQkvArsWvUzJ3sqMwqZnVehbLx1QhhRxw9omhjP0jioBAH0GKh1j+xegSCKiKHKAmk4DDHgRzT9tr9BlYWZ5xgUBZAtJCxqs5QCTWTj4NFUIKGmuVDmXtvU0RirX3Qob33x+MREWQZzznLGKeTs9TKV20DKeS0XpUHMnvAA3ASqJKZ219/ShFgQtkg91ZbtUr8BCI1v2ZQZzieqlv24fmMYR+Pi968EOzXphKvk4V5ldJMDB6p1BBtIXFi9CJK+Y1z9qSW6FW5GCnCtgPAfd/uO3Iah3bsCHvKwtyporuZa/3h+AQrTJ/i2iOIk7AGkvPVWbMBARJBuLzwNDb0heyX6RhA2SsWdn3ItIJ795nEVTkzSNJsORc8lZ2aZs8barG5p1u9V1XhT9WylmA0y2oKOHE04B7uz8f1wNnzIN9cxT3I32PB5C+8NFRNSHGm7x4gqfd9X+wYIummoCJjzDFeixR7PxstUH5A7loQwWCJJrRdy1qxpnAk+WM0R+M9JhRDfWl/jRwqE6vVilUc7wcBIB4bsDmrHe8IyphqHsHg48/KQGutCH9cD5I9O+wKxWUjNlGsj89PERFqj4czBJCtwDO591LVtXbDmyFahjAi0FM1ZCbosZgiaoIwqRZflMhKkcb671WpH4SnK9mCaPrM9IPv6T2Q7E4V6sgoQXOoWuylqYISbUdlD4kI9pbccqugWOJpWUWWyBjTPYYN30G+baUKVU4FqEgFgfvOiDFmZMx5gT4VNu51U4qWr9vX1/O33+YzfAjExr3XNa8dq7TkonxOVPW+by6McGk703kXGM60Im/Jy0jPD6XG6YcHWXe2yjq+XozZkFf3UMWLZf+LyEmO/AFVUPA76nWVSJTif7WrQr+3EhXg4SDMu9fOUeVtx97UNiTx+4szuhMdsqL/gaESKL1wBmlIv4hsEI6LQA/BitDJkJJeyged74MckUqQOK+cFDWiYLdhY+eKSBvDTL99+/Z8fn3/vdRJh9GkpRKknIdS7T/rGXjkXtt7x0IgFAbYvqvs4HuBokBlpu/9vG+SFEXIbS9lm0y6JHIa2SVzr4bwmA0bYnI9Lh48CtOKIiJibxlYC4DYsAReKF0Tls5hOT+TSb5aOilwj//KmUQCw0aJ6WZ3b70AyCcrwv5I9TgWoNZN+0TJeb9Qb3o9ngLE2ziI6YqUa1UVHvxMj0zARKAmqpkxr5kJAW2fszkfIq+ppiZtP6TZfdtJMzU1aJ12M51zsitiCG4SQfH0IDCz6HtHWgfbEfHWSZPUYUDbFrFsVYtwKzWkiF6YtWHupKVZuPt2G8avIZxYjyAJWdaDrtjY6ibkXxHiu5/PtbeqkYsYmdM0E3FYKu5DBI1uHaIngAhqBQbXd6IPDbt9EQyW3qizWKLKGUgVTrd9B3aKpxBjGycS0Q8gOlipwFgO4fXXK73VW4UA0uEsFRUikDhfLQX5vKocRSq8kg/Ve0LfUKEqJOtMe3V25UBSYnj8Z+rzsHHjAzM1U7vzjlrKl+d9Txvu3vM0iIjvXeVznoiTDMC0QlVVzqMK53Ev0KT8irHpxeiRWnSXwss5U8145dSuCOTUiV1NnYDXibUkGUTgu5ziCynDi03Pj/o2QeBosMKt8jXsdiGaLb639zY/9lqFonqoyTXnfa+vrx9mQ6Uo3nvvRHEKu9Kpz5F11s6uQMM3iURud0JpcehGZ1E5E4kdNXXo58wdlLpNVH2EFH7TJ7uQtTFs7eXuP358X2sX7uk+57yfN09NsY0LOQGxaXq+i2CHq8iYF3V9Kb9VbY0pwz8po/zI23eED/t0dTOb8xIRut3uvdkynqZHqNXV2moc0JPPygjK5P3+BNmCWGofAwiKQSgdQVip0dC635MXvaqPRDR6w4U+AQ3I0D1H/b9KzX3zPAWWzOhASUroeYHR+Z0fkKHfhr3FHRQZRMSUTdUeOpV7SWOse9HrePuWMtUiqG1dPIlp0+FEEumxAaGtC/3LGAr5eb++fpDxwuBAOFe6kzIbANhdoc+uNMbGdplTCzYlhHOjdg+L4cqbKj38IMovKrwesyESKsJZ+tTrrCBEL7GSy8DdgsWddpX7eT+ua5CrQpleVYGsewNn6Ifrup5fpGntx+Naa5+hS3BPELgum3M+n8VSMzPKMQ3QleFVyKOJ0gLF9k1ciq+3h4cih2CdDiA7slcW6f/tHrl/J19/74PLvAEJZCDLcnOnUt+BWjehcGhIeoanb7QKVOPAzV4vWq77Woslnm+PCF+eNFYtNYgFjgcjyXHKADUgAQmPH+uLEn0cuI2Zw8b9vIWuYciIfN63iNzPJz8Qq/u1bpHGK6INIz0SpQxxRvwsWvtdeEFkp6PqxuYg0jhIYvaOT3/69O1BQUdk+lZ5CwVHuoQ2BsVHJKiUrxeSoXbzbkQHxBAIlYp5gWZ2XZeomrmWy4KaadU7NTPLQqqpLtAzD24FZzG4D8/h9SIe0RhpiIgnZWgR/FmiKT0C+8rfp9lsTTr5iUxB4nk/IyLc196/fH5KoQf0sK3BRojXG1jUgJiz9nGM7/Mw2vNShszF5W2pikhUVLsj1/WAYn/t+mmZ7lvaWuCgAQxkTk2hyHXfc07WAfSqqzzhjiRu3vLBLSQnArra0uGk4IFEJqz3vRtHRUZVtdm/ZSpIrL0iN28sI1ctzSRhR0S49qrW2xtex56dgad3/wHiydEaOwwgQMHbfOTVv2YmaNfOMT4ys+x46TBTGnOJDM4qrFwCERE2Bsu78LShWfpgjp0iFPvrDXnhhjw8fN03y2q0AUunzIa7kSgfG4rrBf/T2r7Wuq5r5z7bZxGRSAJ81Elw3xE6x6B2mUeMYRQm83CuodkwkQFkSmpqB5DaRWADdN/PbAeYR+nSA6jhzfbt7sNGe5JXsudLJOUhUnDMdU3e9YhQUzaNpLDYsEH4T1V2VBAUwQsZEHNJqMLSLXLk+Ji3HCBP+0RU/siaCcdfSROznGvRtwp5iQi4wJgGDEPFRFKT8t+isLHt2nq5jcAAwmOY+qohnnSBnLxbnr/++ivDzbdfv6nqiHJ715Zupyzf3hsTpiaq1xWqxt3uj48PU7s5jjf79u0bzXr+N3//99GiekB+fn5ExrwuTtsZYR8PGmVkZlluZqbZQOL7j+97rTnnWkvNqB2U3Y4NG7WomT0eyEQbN5rY0QMipYtMCbzGfQWwq8h2h+pJ+6QCE/NlYgG6G+joW2G3N2yz8zcnjWMMgrrr3omIzNGtiUesve87SoEVUBUXZOZ+sXWPLYERcJC3Nycj9t46R1LRjDu0gkiKl2Y3KNlAClxj704qcV7g0noiHV3VVHU/N1ntxQ6IulPaZlg1T85q81tAKVvv/np+fUmJ9e9Mvuf6NubhfkBtTt333aXWRTnCOcef/vino6MgHULBklyErQaXhjj5NFOIEDTgUzsVIl+xaKmJKJ2W2t3NDIhFelJIBFyBfHnOkLXsHomiP3AvfYzhrZ/DepaAm7fAxjk1gzy3rBKk3gQu9FLCrOnURBQjQrQGD+7BNUuJwpI5Es4yCIKZGVeT2VW4N9mff74wUFJZYe11aEdSx1g5s6j+oFW+x1AV9fC1qVxdykp7LdB7ebzEFVgGVdIyJdGZ70U6371EyToVv5nz6Hq/BGZ230vEI8ma1ZO5eSMjw8BxrtekRF9rqjZsLwYNA0RVKDpC2R8zg5RANwDGsRpNqdiw+3kPCnoPa/50rL0VkogxZ3qY2TUv0kZZYYx0GpDGoOWeFA4rNEkXZFYfCjh0ZCRcOkTEyfrvyH49GEo6t0gcgCK2vPUHxIL4jA0pGXOYbZiqmU6zmeOBMWNMYE59ZIpjlntySG3CoorYTkxjXGhnnPAseCSzsfgis9/r1l4CYiUV1CgXUqyw6s3Eve8uvfwQXZIxLJhBEyLb97ARUbZBKiK8RZl8daVrCpHaGifuDIi8WIDSdaWgUO+TSWtK2YgKRGTYWO738yt6C7FACUhIDaZU7b7Xdc21aNMR5VUEDl2a7xGRkWaaqqzuGY+k4eXMRvi6t8gIj7Cfcgc135KrA40bvKlBNN8XbY+3t/O96sRUKAFveZFqCj6KfEPMGNShzUWpCSEhY84/JDzGHGitR3IquG5tqmutU/8C6XtLqcQQOy5CkrY/9soKJUQHk29qIjKokCyC+3lnJg0JIqvJ89LdZFNIEFzYdjRwFXunNqE2DzMtnNw+CqEikx52Be9Gck0BRSCBu5PVmr3rwNyHIuHi8G3O6K5e0EwRXWsLkMot6CmCMSZJCL3dLeSzASVB06gj9f2ryWZoZlOobHzzMF+FU6OUzEiu3QjA1K6mkelemqPcrUkPK2H2rF6oCKaDiwdAjjF7JpdACS+rqj83AYDIEBvI2mW7rooS7ZVdUCKFd7jhoSnnYFttC+8edSRBob2dzaKquvscQ1TX8ihNbyqQF5cya25BycvKKNE5eFjpWEjxERAe17zIFmWFwQDFO1N6Ng1TazNQ11qmFghubm/3vVaBigPP59PU5mNm5EiEwrpn1wRDfiDTqyELKHytWI5lWKbTaBSPJiDW2A+FW2QxAg7wXKBEL28HgI1qhjd2haHE9KGheWcsj63PH/uXpf478gdy5fpxi8MSsVIKIaopHDWKPPY4NThNdvZWVe44sJNESz+xyWBQEJGzN7/XYiwI1FZWpesagpGOGKYqKpuaP1mC9Qmse0lRQjOBjFh7P++b5pxmIzJNyh9DmtlCstMZEbNnErwrQneiRIO3LKW9+VTdg++9InPMiZb0Yuj//OXT955zFj5oqJjY0C2rIA+P9Ih4XNTCK96eqKgYj5fZMOsx8hE0bkELfrA6ThLnI+T5YKceAMLDpinZBlmvcuFCfMnL31itNYRf4AahHikrtFq2bMmtFsUhcKSP6+EREvF4XMz03J0xM1I2aRHMu29GncVaPcmkpEftS3KLVU1J1Nux7vvO5oAmV2qA7/f3tffEIGEYwHaf9upH4+g0cLuqdidqZZQcVsbRyJCSnq4bacOkVlioGmYoCcySl0E5baHp/yWFnJmqlukVJlvTmwrbay8Ov8hpcfcxBzunJvnU0zGluD8xQyEHGcVKKMk5LqwxxL9kE1FpPEkBALXwYlG9g4WD+3myzMfUN67WJ7Gz5p84IDNDuW80H4+3Mrq75ddQFoVq3qa2fUmWWpx7cPSb3aSq6I5dfYnpdf1y30+qQoH1H0AI2jMj3FKHjchECQIy7+bp87jUdhyZ+Gpo+/rGDobpvbaZefp933PO7Tv7zMw5uc91iC2+3R7mwWUjIQnerEDLyJRINhYFSgddguP+epYKAnfSQEk2Bu9i1SRIYinae0qqAf4yBH4Hr5GvVgBv0f+teEU4tmMnoNAAAr6xQMdEGDzW922/C56ZCyMUzzX3ZSvwwy1SAr4djr05fy8bYUaLcH/G4mr/999/ZzNIhx10C8aalNhZUFFWtSbmpBxKe1O0lbyHj3LlPjGuHl6pgLnX7E4USG5URhM5pGx92A63WUpTF7L0NiSp6tz8S8bc7FGHgM9HzlvE18zDcztdT+/7/u233zJiXHoABAL3DI5bNDLuuxZZpSLd9jI/qV8Cyci9F0tI2hX5jjlbq7JwZB4pqatiIiZVueZv/eD7X4hcM4jXnMxUlTT2zgnnG6PAa/QGExF/vGbiTASvBNNaKGJmRBLOeG3Oed83hM3Ti1nE7EqSOUNPcgkA6VH1AZuMZgpwzODkuPM+Z6bp+Ph80AGAleB1Xb9//91UrscngyDbEm6dJVJ7po9G1Yowb6ZtkPIe4ziVl26/RM4SQoWhTCol1dGqWy95kmJ1WFptObc80Nt5jOC+t5rOcYnInPO+nypKBmSpVBqNVJGZu8lUbayYDHPbnUsKQbo0lxvKlLHOf0XP+uDvq5c1NCrGh1qtMUgJPp4wFxFsxvigxxg1zBOB72wD0fPOEjcPicxgi76br+GFWb2IRpR0Rj0dfT6fw3ztFZEqEgVtxjn8Hr5rggwVhnsH1rxmBjH36Jig7lH6HMyGr/FNZqaa3r/fFT1U5pxOfnSpRMTX15eqPh4fc45oA0zGhDlmZO69T3BmZTjnKLBMlKnBwyV1FKkOgMqqSLdTMh0Z0EsRW1dOiIWsTQn6DQnkhlzAAi68oToHC2rE/43SgXRQrBZoJgyQgZ3I516PHLl3LlOX4WJ32JfK953fhyzxtfftIikhAnneDhzbRBZ6EJH1Y0vpxMoz6sR0MEoiHmvdKObkT9PITFKmTmFefID7+eThqIlp26Q8n08ByPcCOZ2ZCbgNLY3AICBLfQ8kCgV6U1bgIw9ndReHsxEtksw4oMVUbniEKKTo028bQ0V+MZtzWJuRRYSqEhzEwq+//mpm69533nwHS4GHOs8NMZvZWmtQe0BLHYxv5lpLRE11r8U9cghEuA7z6pQZYZGQ4rp01qxwxkhVLydR3jkmBR4IlaDV4ogCc8othRskwEWbs32KV6wTSRSuUpP/jFjx/f5+zYvCOA1n1a/g3naSMmh8mzu46B3xFoBfGaKYlyV7QLeQSagPkDGHbx9zPB6PQT5f5jUvDhuqJS4CQP2C4GCZ3U7FCV7S6mnMrCgydjELzrQTZ8QqpQqXBWugawhB7V3zqBK4Y0orBGnMsZeHxhjjXjcg9317OM+nCOa8+Im2O5ovTPsMDkLWfZP1oFb4YXBQaZoRDIQE7DifOOa9ch5mcStanrrRUe+vPJmD6UdEKERKiIZHjvLRmbmdEBBB+ZLhhIDd84sjB/A1VDUIe+t67ATcienVb3Z+PiVQP6uX+jrfF2karpG7WS91EjLiQSAPZ8yxV6muPu9nZs4xIuNxPTi3WGtBZI5BEnZm/Pjxg/PhtRbPsKoOoypXiMjei72siNgY4b59DzOkZuKas6SDiUiZnI37YkTV+7HXvkuuecfCfCCjKZsAh1psG1ihnefITr3/Hsj9v0oMgXAEEGKpK0QiSP0Mfd734wv6u+bvdn9BPEFLucVCHsFvRZYHUNe82cNmPd3fCfNoa5H3AvxVh3W9UF6AWlBDQcyvgMN/7wKsWXPCjR/9yq9rXvO6jh8peQWZOVqWT3B6WEGR8SvasJEXfbuVdbEBiHvAyvxPVeYc91pUE15rSVNH2Lc/v24VWb5//8vvakbGCHFb3w7IHBYdOARQkWvO6yIjBaQwAamDoSezxGwxr+nbAxkRCutaQ3r5QM4Z4AesYUZLRNRX9w460TAWiaKC89Cyin5+HIotddZGkE8dvVEtmrEjk/uaY05uFbvHl39BMDrlSwfQyBAZfBvXfeOI8h+RamlbyEiyIat1YGXgvu67VqXQI4MoNdHH40OQe2/OFUGsplkfABAh1gtoUvUjkJ0R6sQytTTFIOqFA0Q0sKNKUTYu5d9XxX0h231ItdeUan+OlPwaDrA+FMgYZtP+/u/+HgBJkwZDJjXsUP0KhIgNU4sHQZVkS13ruozbanKQTDWrdgcA0QaWL52PMqgy+7odeV5MjnBHP4LsEwXkHJM83eu6ChIskSvMOSnK3c1n1QtMHlTp7fhdiWm3hhg/7EGQ1r16Mzxpd1pL8w3J0qGF2laEVFEKNGWAzFFbH0DtxixVTb5qP3Gtu6GFUNo4A9e8RGTQp3ZeHKrf9/14PIAaCXAqcF0XM4SIPL+ea91VzGVGhAcNxwZV64eYSEggCmGIlNSQrABCkv7Dtt+A6hgpnjIAthgOmGIojCTO7F4gS+iNf1V372g7FIQgEp7YvUkQWwSIj4fFnyPUHHDBhu9wrGVbsDJ37NgIZMlh5bt0BYPF/cyKLGepKl5yiQRqWIy8PWKAy+goTBOCDDrWqpwtz36TMlEFjjsrgBpPQTMCZhnh4aAvefOOyd+QZhCiz/y7VEDHRnQTUt2hljBZSZwzGbDbnfMStYz4/ffv12O+Ku5y8YwxLCPuWIPzqC5YAHlc4+v51C6LGPVobieS22OtNcelaqo3IL5D1Tz3nNc1r4WVyJ2bBX+91TW6wNnFlZYTyiaAM+1lERzTN034Cp2jt2i9SBAETC1AaCWU1sGJLLhSogXWo9J5iqqxtRJ5PB4Z+by/1KyCSc98w2PvRU1pPtmIsHLFKsAlvSyrupVkoGTtX9Eqdqx7GQ2iI+67lKjd/evHl/Nnrv0zypUZGIPrObV/h2pDaxTC6jKqRKsDLJUhKkeoSKpIKgB7Gyd2UcI6CZkBVSLswpmKprd66OHGSIiZjTn/83/1n3/79o3mktNmkEFMpfEEBKbG5XZ011IeW3d+fnz0GxSiaqbcU6nNADQQxKW7tmzknSEjgGVcZK3ZSQ3wwqw2ArjOmVCqVTO4a1dFtX9DOpkUx4GjmGopALCLEnIQ9kkwsJEI5QSe1Vyx4Na4Rt7gcp0OuMNMh5mWDw+nKZtdNRCtH7OBsDGF0ibFwXhJvdbTlIKwRPK+h4jc95PXtvfKxLdfvumwdd9EFB7XFZEfHx9zXpx8EOQk1HYK3zEG80REEFKec4jIWos030HMwfobPIKrmqI6THb6MDPkUE7bfV76Q4jisNw7ohCvYcALzzrdOehCXctigXR4wDe8RnWURhCkUMleHZJmaZYjZUg+g3/YYz7W14alioYfCRQeOQl3o5QIJ4T6k4Et80L9Mx2CTtrvhfJOGEcB9OjfCqeCgkKPqB/7HunY4x+jYA76w51jumEWmZwsMQC1AD/V298qo/5F7Og1TomAarLm0B5C7rXXFtXrcQ0zEj+eaxExiIzt0KrOOJoLkRpWyymuElYq4ZEz3VvqRwXMtAH3/fHxUUPCcA8nFONFQKAPPZIa93wI0Wci2XCh3nqpZfrwECVyUpuKIm17WWNKiIqZ0c1HVdzPCkM0RaqaWEJ/kqIigaIAqNnz+YxIkUgNbfMfkufIxiNoNscoty+FQFuLrSalrN/33iBIKJoICOaYhW3x1CWKlYi4ruvH9x/I0jtDszaLtK+n3XwVnyyuqypMPaubUvmJXnUzE0cUMfM0Cum7BGRYflfbyLtUSbNqJlHNvSn7ISJcK/UIv+9/+S//5d///d//+PrKCBmWAOkuUdz6EFEK4Jja8lVmmYVLsR4WvvKqmsC8DEhNW2tx9ANFevJPJ9ZP4qmIktmYmQbC5c48t7GzhPxERt2tLQsJzsBF5PF4sJbKM12IcN/cLCsZOBHquV5zimm4m+ku4blhovRcY+/OXVE1NTHOqD1j3ff1uB6Ph9mgUpyqfH09a8QlIqJfX19cQhZcNF4Od0eoiI0x5+CfeF0X+4BMEL+97xuygfz27Rsgz/vp2+c1SbviQRhmc173/QTk+XwyIEVb0T2fz+u6KNMCwIbR7GTOecdNYLD2aUQG22fSNURlo3zP2ZiitkuXiI8hzx+/y999UzEXAQZggAXSeL6qbz+hP99036SdICUARn8g6QBJag84r8+MoFumuEpcGg/gEjxFIpio5lAR3WuRepPFA1SiZjxJx4A1ixQ0WD6zZq/ZXW3uEfChoIdLU8FAKIadBMCmjG8WOTDM4e5ho/Zc6idnCmTMcTJHAmtvzpTc909A/ov+3wPSbigi0+RMU2MofexA5WyWskgMm0gJ5GVWsLuUYK8qCdbDzNZ9q+qYlnc655ZmGWHDAFGRde8xxnVNE4OEHKMrj9a3EZGiKICie2Z7O8FMMz3X3tPXUqasKNSfJFMzk8uckWxCSxYxkRQskkPxTJgN8jRYGGK7KrV/VdUE60wpSmPgrDRn7mrRWNgOsrDYlKhUZq1LLXIndAyq/dQ0G+Lh930PM/ILnveiqvu6FxFYou7EClj3AYiQ++vrl18+eVWPx6NDfYiMBKTRfJRHSiJSzRKpb/rYmSmAmBE4Zta3AYi4Owce/DDMgjiSDH2QVDSlHLho2wCKTY4prfZA/vscQ80g+nU/n19fj49HRJqSP52Rq/14Nzb4YVVVqg3h7N3WXmxbWfFEK8hG72zWMbCeXBMapSVAkRfcdJzdXZbJYxLWUN+lZjP5OwA9KZkpqZrJ8SnPLefDvP9MUfRgQD/9x/XQvZ7c61QA+Pz8vO8bEMqC1eT5uT5/+XT3b7/84l4qpL0EINd1QWA+fG8IfvnlF8aQjFDktOERuhZrfzN7PB7rXqLahBTPTEqEfvvll6+vLyS2L4F8fH6I6BwTWgNkkLHCu73uTGFDoLLZwUuBebK3r+dTVa/rItkMItOM3qUKHewVs7bjUqGJEErIIhPiubfulXul6UW8GDA7AwBAWX83YJ0n9PPF6C97eQg40hHs9A8eAUka3nOgkCpp2BpLMWyMSyWQm+2qIDDn4DpRZtLPkkiyiJqd3YAkbJhA+Fa1MQc/gaqZailNdiHGbz4IpCpsmLuzfnvtdYhS6Yb1PmONqlJCgFdIIB7ttFzuxHgTdMsXUF4NAevI95FpC3iBAk/9mDgfJKbUS5KmBXqwViSwqNdj3s/FuQIQvHqzya/a25mFKH2VCTXlmKi0Z1XhkZFzzu8/fuztgFzXtfeO5ZwYX9e1lyNBe97mKkb14xn8QOgilx9ut1UsIBG5fSNqBqCmUR5V1Vy/CjqC6xkihdEXyR2SGR6lFUz5Qw+6rwwRva4rkb59r8X3LaJ9XyPYPZAoQt1l6XKOiPywUbtyEWZKml1zRmStfd/3t2/nIOEMk2yM3Pu+b3AfSks3ifj1dhfVMWy3ajHcRWke8NLHLy5NVVLKrm6MaWYrForyD064+UoQgeFP4FvGGyUqpycG6vmgeUMMK8/n8+v79+fzvq5r7RUtqrP2zgxa8HEZNXtlTM5opE43zEYi4TUD05PTeBboZxEukIigoKz0L4Y2ay08S03qYAOcX3N/TVUzt7zJiXv4GON6PAjcmJl9/rI24Q4BZM6hql/P55wDEPfdPCgc7FRqtXd0Oc8Js1DYo+Vdc+9lWpAsnX9MrKCFqueEBGLrOQZT0eBSXu1FQ1XcC/TjWvgYY85r70VRBynrMVeUp83e+37eIrKiXIWpKQuuI5ite6295xwi+PXXX5/P5/N+Pq5HVt+sKvLx8XD3AWi2qJrHRkamc2GGkXVnmepqqkEzNbzyJDjxg7eWWUlX9k7Aqf0LCGJ1WG6F0ASxJOLeLiKSbCcykUa2mohoiqlYwBQp8NCUJi6RUiumbNACQCgVGkEadSbURKmviBoqVnmENNFsr/ntTj0RtdHTAlFRm7Yowt6HFAITS3fRukiWvVnsRuUPzMy2n0akI8WU7ntN6XnNnKlkdwanrysUIBAo/RUI9SczBCEZ0+xJYwer0S5XE67Htdfa657DtJUAIiLhSKjKHHNtjl1y+d7bfW/C4hxboUCYU1bLx+PBCms1tEJFHTYBpSbW3QxHkfFCtOTM+Hgg9t7edb0OLfG+cCtHZSWpUNimEMadU/oBeOTHGDp0XrMKTOfkoKXkC5v+YHW59mZIr1i2dpBEmyV1F+FwsK5P4JoDqnsvEe1BTKpKCGJHSNzrJgNv730/n/O6rH3W2nFEuIyyy1CXs/FCukuHUoSJoZgLAimwCK8PlUlrWGuI0t2JdQjE1JCgM5oJ1xe08h+cKGhG6igrLv4755BZNU/XcaqRse7148f3+MPfrL1//PjBeYlv9zLJkvt5Q8pWpVRxKjlJUdcgkPSaeCHLQqNqNc5gso+KiprpfS9r00rUYDdPiGHlyJspArYda91m4+MhUV0s9nM/rotYYAkyOyEpXensJ9ydFMyiJ9HkICXC5zWJ7Q61YYNxltSMvlTh7VprQ3KMIZ0AEhkrTgJjaoTIGIYaFhdrXFX3Wmpmw0JYuLDyqG2DUhTprEk92pKBY4ckxWxUq5swBtfzqYxCVhWueZnpNR8e9e1VVgZkoAsCVA/FynH3nY8MYZQWyDCXHRDVidjKZWAFPGDZz6jLO/zVL+n/YVZwAF4VkvIv9O+flhfQJkDwttcoVjVFQmqLnJMWGWbbnX9Cny6DwFQdHhmiFAd1+ieYmdnRdKw3jadEVJ/PZ0mKVgguJiJ3oDLT1MYcFBa2XkUhosu5EycHtW4OQDBsNEZd42ZCBiIvOfXkekiyY6o7WZ9UyuMmy7mUdElEkPNebFEI3LcUCJMqGHOMYZE+riHENANZcmMGBgVPkdIElZT0yPB971vqREKQgcigRe2u16Yog5xJ3veKzN//8ntEQVclqxIZ6STw4E3hJzwF+Hf/07+7ruv7799FZFdzNoMiMBEo/k2Gx957XtPU9rrX2gA+Pj7+3f/07x7X48f3r+fzKyPFtApqsnc4O42Ikj8qRYf7vj8/P/70pz9y9HXNq4CjtxlW1cvcuIZcc/p2wspevug4UkJcoHl8fETG19fX4/GojB7Hw7xkOPmh0CDk0AERRJloNnR+2tjXOlUmjsAyjxyRPYocVLHJ0qDWn1toxMl2KyUM9F4x/+txgCA6lIDvzch+3/e91j/+4//88fHx+fl5zWv7tjGk8/Ham5vSN4UCK1ZX96NC3rNyAMDnqKVXJGuvDHLWuP27qcLSrR7GMIHsvdV06KDvJyGNzBQoJN19rc3HasOYgK55BVs3gqmo90VU5pgAqY4QLk+ZIiHD+MQruUq98ntvFgfIkv9SFVXbe5nZnGP7WdyN7R4erQ5bIhzaUWXtFVUpdj4D9stimpIk0iyGWu9QVZExbJJMJDTRZP4zO8/8uh5smsl6F4G7+94kOrq7qg0bSNxrQawlijMyfW0IhqpA1WssZplU7oZBPWBiU6EwU8SOx+Pze0CM+h0nXXOyhNP9vaFD/K+HFJRRLpIc1Go3DZslSCKhQoAWAUmVUA3RVEWaippAAh6RiupeK4B71PJUAywV7A7Pt/JkbX3pkGnDTI1RhsULEXN3H3OSyZaAqY0BL7FA/qiXtRZ9FRrur5dgjkFQNcJX5DUvadVJFvYVaqSTYx3Zn1JnAaY9R2eXvXfy7I4x7x3fn891Lw///fvvfIf39r3XP//xjxlBeFGV3qlkSKaYRsR63uS63M/n3k4PrH/6X/4XSFhtXQKC+z7ceURUZS1vPBM6Z/nmqopz6MYXoF7+rJo3X91AIOXf/tt/+z/8f/6HjOSuqZr5dk5fWdDhfENywC4qChUaszD8/Y//3/9RaJTY0o+1g4YDPaGycmYgw/fXj6/vv//+7dsva6/tu+YWqJJqr02U/DEedzMuPLwWf8LJUn0+7+ua29O3f3w8xpj3ujlzPio6cbj5Ktd1HY+wghtUM2Jy5lyDw2qr3bfIyJTTyuhbKcTBaTbz1fMIHZPfrBlpY+y1pLZhBVIC8SlZ4gpe2ajxwvpoBDNt4Pfff//LX/5SHjWR1+PKLOWDF+eiGxQpHkENo9nptSNe0SZIvdMS4znOBCqixDaNyv6q97N0355ft6qN8Rba3K/rysi1FmVw0DJQ/DBUhBaViNi+WVwb2+0CSJXcgX271cQu1roTyJrfqKpEJHc/I5LZgsRxDtQAMc3n8+Ztt15TYLRhwKlN6QMsc9IeXqFSDYDZ8PC9Oc94rRqQ9SAyMiknVyUMWGG1Q98BrLC7dubak4pBa6vAd1PD9/3MMcfePmVmVtlHM/aiHg4zkeG+CQvZHIEtAoUNxVp3KFfZRof0OuF9JFTAR3vayppbH+C7k4NUjfuSjz5f3v6CgKQYzEQMqvCS/hdFeT1xI5kIaMw5fbesFZCEiUtzLOr9oaLLDnef16ypCLfiuE1TvQnLE7p6cqDK/AGA9W+OYRHN4GydRcK4DdZQM90ACm4ncxJvdxdu0mTzDvrVEGS2nIJIo2mJQrwSkinAP/y//uG//3//977347ruve57idQeMpu300exiuec3IaaFlfMmgBD5FEAHWqm6dSM5lSNSrkFlbKSJTbEm0C43zOmDQjKFDP8sFlYKic/EwSJDbeh379/f3x8fH39UDOhk7iHUNa08ysJ13XjemgiUpStjLRhHuGFhL7Wc1hhRXi5ngGI4MBQFH/4wx/C91/+/Gfhy0TdJNRWaqPhWgOHzBJRLO88meUPU+/8ve6MeHx8+N6t/175a90rkWNYN5qSJSJdepP8hw6hVHMqlDkrsqAbxSNvFZmBlHIldWe+JTeMJ16KA6GicgQkuuYoxJ9ZBFy+TfCqBIhdSKaZ/fL5C+Xt2PlxfWC7cwoiAyV2zbRXaFDhP6+eL4NpqYBWVNFNRgpL6QTYNKCFwSndzIbp4+OD9PavHz+Mmj8UKjd7XFdNgAWEuanUQjw9I/fR3BeKdAWbAy23+gAEEWY25+RHYObgzW9sSjicByQy7rWk45qqnY6QbAiWSomMvdFjAxbelESco9j97r5jzeuy2spkplcYiFP5fo5rFMTWwo4cXxVKRI5sUKOsJqME6LR4n5MQQrhH5DB7qQ95DEmEYAxOMkkrzjt3SAz1UETPxMYwB5dsIIEstfEokjxMMQRGlZmDY7CIU4gBhhw1/gm8um4pX4HKzykqAU91ki6ssH8BJVki1exrfzfVCRNJHQyMnP121Zj1umZKtgAWQU81ueyKOLau6e7shEBAVu09KJ9F83diRkQwrlfvFkUwYNAlXHDmAREhIJSk9erRMJqbFtFLK+iNZelMmUFALHqRhPnB3RPx9fWdpcWYBsHH50OK9QwzHcMi06mfSuu7lMigPUX2ytK85l4OcY/1+fmpZnOapKjKjqi0J0VQokTFKW/HGCJEve0v33+/fzyfzztRe0k9qyiU89XuqJjqf/Vf/pf/1X/9X3///buafn39CMgYQ5DXnPe96QNVxKpe6CfZw0R12D/947//9uuv/+Jf/Iu17jkvFu/zmoWnR9z3U0THHEKl+Mwxxl5r7fX//L//P7JH6PnWabAE9u1m4+vH18fnR3/y11CXIokAfvz4kYnH4+IB+PHjx/Pr6y9/+cs154d9JkNr5vbNN58jooh6jpFxrEOtkb1sv7YunOskS6lolR5GM83A2pk5ggUOP8relCyu/SoUBJRMybwkljtdkLbTi2oC91qZudYN+XXOIU1dh8DmEIAsgI+Pj6ORxzzUMvdyP79KxC0Smdvd4cq5dDpflgDpI4VaqIozE/crJs3jHGN8fn7+7R/+VoeWUlvkGDZI7ROsvYGcc4aXS52MYaa73Q7u+7ZhJIOOaQqhETwLdsr0SotSuDtI7iC6a3rf97BhphElIs2lBxHYGETwVWWRiJk55ggya834jJJa+uFqNuf8+npScJTxnqrjBNMO7kcKopolJNP38qiVmpocFEI/7H7KfZfDMBJsI1Q1M1jViejA+NP373POa14MbXttJIaqiEoEDqBvpjNHaprZnYvk/QQyfa8IU6hKt9cM8gEkJKG1Vo53GIZpIE9oVlgPkaW/mDKHgCIQGOkZYhCkBiRSknJvii7QuN0XkVPpQhNsqwMhwTNf3hrUYa7ZYSbK4EGAUcwHYuuUOSPwqgLqhvbGDUM8qVpsA/n411pjTEqHkv9HqRDOhNmTGoW7+IZx9Mc6OCMiaympEKAeCNNBqfTCMovYl6UUlKkmmfIv/rO//e0Pf/ib33777W/+5uPjgwC0mX18fFD117fP65pzzjmohM4pCCCZOcdkU7Tv/Q//8A//8T/8h//2//Tf/vY3v7pvBGg1ysy0N8kqg+0CByO7BAhVRec1/+mf/um/++/+z+jon0D4RgepLkETgGV44N/8m3/zf/xv/g9//PMfBeAy2jUvFaGYLYC1Fh8kizW2FGMYjTz/9M///L/9u7/73/3v/4s//fFPowQw2hidiVqoNxXptW2rokB6+P/t//J/JcE8M8O9t7Vrf01FkbRnERVwNR9ymDMJ+kb8+BrDPj8/2CX4dnYMezMOylp7zCHAdt+LUi5+pkS8I1y24KSAHP9sxEyEO4nZJBUeQqrBUDEOKhrpfZ5L7pSzogi+OUbWILcQyI2TsyFfpONX+6ld5/IkE0eLtVg+VwEbKaqPxyMB9z3mUFN1NVeRop+bfrrv533vvTIwhl2PmZmmxqUBagpZASMRJZJTQZl5mUtb7iXXaMMYztZe5HS6e2bsXWMeFdVZ5ly84A5DOa9LX+VzhmSsJSKcz388Hu7bd5XY7k6C6d57zhnLOUJgNXBgRh7svV21VEuR0XZvGvsW02vOvZW6W+KSqh6x1r7mFa29IRB2MMzrxbvIiCDR1saYz2fsvYIeVsNE6qBGxNfX1/N+ioi7x9fXt1+/7b0+Pz9FlKwz7m6o6ePxeDwe6XGve681bEBkVNUpYi+ysBFmycwhw5FDVfVWC5sqY7CYrcqkwreANXzNA6KENGvrpKwNmCEEOjEcY9MKuLLIRLgiKa3LAQGVlE0FuYmDRLiN6ds1L8TWIYGMDFXZe5uMMUZKEiskH/nj8/PocJH1r71ST3iFOIMH90F2K5g7Bwzsr7PRp7XvLrlAPjWpICK6t49h3aDnGIMvGBvbaLZPFXoQAGoKCpNFHoToAEos7DjgUuNObIrCPQTx/+Pq75okSZIkQYxFRNU8Iqum5/bu7fAAEOFf3P//EwCIAOwd7vBwWNrBTFdFuKmKMB5Y1CIXOT3dWVmREe7mZqIiLPwB+P/p//x//J/+p//p77++zE20YoBjzqq610IR3pPva17rhAZHDLAU/eZiLCR//fHrv/zX/1Lkbg0qLCSYsu6Lq9b3txI5IGdd2JDLvxVW7b3nHGttd6ifSnYwqVBvUNNmD5oesWu7+/df3+qLv76+Pj8/9vcmuXIPHyJxC69jlr65m18vgrzXase6nTrC1b9rKZ9r+7Qw92tQI3/4++vbw6851Xxd1+XRKvmd4tfHnHPtPce871vQs7XGTXXW1duGmILyK434/Pz8++vvzJrD+nOUF41GXe2ae0vUJVjDn24LudfpyWenyU9335lZGREQqgOjQR4eGgUI7ab15FIDtN5R/BbUfJZtXHvpnn+mbVblriYu46Q/mo0hFazp7p1jGlHtjagGE/T2zJEKAIAAmfv9johrTrEbKO5hu3uamV3X0J25144xQkECGqBDMv+fx1NmlgDu9/u6rofGqo4i3EYMjYzv+03w4/Xh7gTl3KB3SnLtzRbrDJDmrtgGc5/zWmtJLfgbwi7WkHy8DRjF2msrVkRYHACzUKc455WVe+0In9dVzLW29pL1k/xuAkzVL8rvs8kdoLrVzBSHtUrM6re7X+J9GXL3LTEiNpiJOWdWrvctourHx8f3+/26XmK9V1pVZZWbV1ZmjjHcAw4WB85wD7ZURCROoxtMlHtuYlkAMRxlahHyuICoby3guPoATfKBobmN56AwmUYATljB6uk+hDHX8UCWT57BhhgROvQYMcQ23LWLm5CpYxmGlt0CItXgsLhq6TZi0U+2VLE0DOpjZnEjSe61Y0QvZRtx6wdYwVgGzJg8xBuHK9Tumlcz6mAaCGQSeIwiuid9oJQGcsgjEoDJNu7wQPqCyOv02dIcjqMZHJZVmsSHqGOVksgr7MI7IVFX3tYtHwJ55e9ux6toFiOU2Pf5+Xm9Oh+Ddex/KfOmigNY9cqUzWIM711Ik4tAntXIs6BR0TvnG6Bp6YwjITISZCcQtICjvpINOYtw4Bv5el0R/n7fZjavKSZJjF4tVlIvskDln2nFRzJGx5Zl1XNdiN9XBWD+rLhzb7Xl4ptHdH+9d4ok877fWWWZShxTgznG+Nd//UcV7/tee88xvu77fr91p2lf2jXuN9zJ+z5sL5BqRwGHLJt7n5wjprmve3mLHnrPjQaxWJ3Zwqc5bRJBf9LtJqKNqDbbOtU0Z/QOoPEHq99YTBbnH9GXqJLrXjTKWtIdRaudYw7ptM2sjrJXvp/KR6/s4JQYoV5RZ5guu/UZBjeDu15zkdfrpXNIlaGW7OTses3cOcbYe+UGQT3X3ZvnBgBi7SU7lhmx1tI1ycxhbbSp6yTug7pMcmrJsdaWd/R9r9erN3wC31j06P56zNFp8iqZB8PVfCNv67O32OycXs45vekVbW/VCwBH7tQMigMZm0vpYmNGJgFomGCVYP3uSMz33lIFWefcUWlOeD6+dg80Mx+yN6jD5M3DKYT8z1FhNiOwb6vyIheDnlnwZyvX7f9zz6FJPkE4IXCd2gEE0lD2IwSr4wWEQ4zX7GnHRcB21TS3AJeamH6Gx4h9Z3iEmKmac0la36/N52M96B56lUcQMYbLe/KRh3x/cberjCjAOjDUwoCMOZ8pUid2R7J5A8faTHrzx/s510XXn/d2nDy1QOSNMtMioVQi+9/ADM2khgoEy0yWv1hrhWGErVJmmImrkFlyRoQrxoQaZlvxKTVOdUWYY7zf32stD3O3da+P12vOud636vt932ttcx/A3vv1uszs/b20zm1BXBUw8EPyaTVQuG/JntGkTPwsNbrW6GLOOd/3ffJqPFcBmNes4s6l6M0//vgli645Qocr2j+AMqzyCPdI417vSo6hEG2uNgF1M7uuKUtFzT1rrfv9nmN6HFPiap6KOCEwzDEyK2vDrDKVJVAa/A8vQKCf1Abh+P76+9evP/beX9/fMj+IoSf0J4NeB6k+fSajBq1Eh9V3rJ8ml1VI611xH+rtOsHzJT2hyEtDOgBhmDya6vbbM2N2tMiBeKpRJ9H2DeMw1gR5WHuP50NeIIRoV8vrkvq5WgQ/vctDytSUg0O45AktKC1sgOLRwcHwOMWyqVckrCmYo++W93cjtBqjxV5d7Xyp1TGPn8/ae2jR4uYRR6DOe92v6/IIgK1tLMJwzYvkWmsLPHGfc8pTncXZrOXemry/Mytv3rpbdHvQWOQIr6rcm8d6QLJr2Y0IZVLnlJXq/xTkoqZcq2ySqcgaKDMuzJwnDdvMLLzIa061Ed9fX9d1ZfO1tOgqorJK+aMCclXf5nUN9SDwUIcqhFEhjeVcuVmOXWEOei1eEffBJdC1vvu6RB9k7JWAGY6D+9kDd/37bSVwNslqFMzgxibh6Ca2uAqPFVcBKiVexIgwdEvX/YV71caJUWUzrL28WJSFyFOUqTcbrrX7Na+2SzzmYrqBNF26cj/Jvbe8D2iS7BvQStEH5raT3mnH6eF5nNR2PSFW5LOJUAU9X2DNQ+hngr2BtpOSIdWx9MbNs6kfD1szc9iuVA6cu7Fk9wqq44BV5iptU6qZ1+2+RVOUuVG8GulMwl0tW0Qoir27SD/b4ed0g2gqEMphz9q/0fkzZIF7bfRHYKy61452XHBl0Hv5GNMj7vsWOEF65laOxaPueb+/NUjNOUkUK8vu+75el3yYiz/zhBYquhfVtCmSMhjCVaCBrAnjAnyF4LsBY4R9fohSbTCgu6rcKX/2j89PD8fGGEPnR98XuiBZMXqxT8qyAlUpPyVWbRL7cX7uCyUuOovmsnQG29HjtM8Ph+dINPRQqA3U6Sac5zf85GFMSNN+vtNpZVnyOHuEHdWb7b0xpKRNPLdTJUiLMG2r3JzxyKd1Qhh6162X1AfhMe5WBKP+UDd8d9RHvczirh0eCtdKgSrLdmYqHaOqykQGbdzVoBj0npIAj8gqIcBVJUmgRDzPsXr8FLtA9yipdfFeY0x232MiVq61iox0eQJqNw66R1jKlnnn3hWRVa/Xy56YTDMWQUjYDGLnNsgv+jxfXUyMhft+j9GGjwov0Z0p0FXUqeiMsBQ42UNnjyNk0WUsARow0H4/Gr3EHcsCrJhEK2wTYQ7zvat1W1P3vePRcP24fwq8wfkN/RwM6H2AVlu6uQUBFWB0fUA6h0Z3HyLPmpUhDpYSsolPcYd5rIxUXcoAuPXNUCXCgKrDYez0oh/AGENw3nov1WIBD+IMCBzLzNo15hCXQwgdwam8XCN+XJAouoVHxLHYNDNveji7wvZgV4Dr4JMW5mx9dQvaU/GFpAtCYSdK6rtgzmtjiw14lgdNmjQzp5UmlRhS3+y1iz1yybDMfZjZbm64pRS8Le/sZD53JyDE/KyR1ZmWAWHjYar4gTtMEZs8Zai3Rmczx/4nD/mk+XXNrPJOwLh6w2Qwc3EoQcTJfFfV6O8IVFdoevjlbu6WBWDtrQo9beYu9uYDOAQBdQ/S6bhEMKdvUJF6pje96ojYewkCHh5r73mNutsZXyg28CwbC0CMAez3+y71ceXuCDZ1DzB/BLAG9RkrFx/en7r+hkcauTL0EHc26xTsmZnmjkee8vQc2o2jYONAQv+taeiDAf04VEH+9ez1RWmfoSSWbv0OzEjIiCmL5YS734q+4iHnuZuEte2c4+aygTg4mIJ50esQ3TJ987RrS6cBCyd8va7M0gI8K2frXTwrjdiZkumKZjGmIiucaM4Fd8oJhieKvZFKMneOOQWi7v2DTYVFaVFEaHOgmXtvFJuNStAzYkTTc+vshBuBoJZn930Xidym+LAOumkBM8+4RDzYGIwQhHgfNyGzZseNiMpklUWoAepnPxwCqlVRR3hZVSkeuYrXNXLnUN0/FFcNlUVnmGsFp0KVOu5e8699m88fsEfcnZ5KTabQB+e2A4b1L0pV2qdFbwXOHrhbIZaFD53QYHM3q5sDc/Ohbg7lPQrAvGdbVUC4h8e93w3pqowerZb17W3nlOrjS4+Qaq469wdEMrNdWTdfHybDA4grYqbaoW9Tz7/ywOnCeHa/xxThOfNUADvQRlLP8++6AP1cuJ79DUDTus/3aF2lm7wCTamTRTmZ6Kr0DtZQSfdwukV3XmZeUjyijT+lMnsKhP666q9HqP8luPfSpYW5PqFu685rPd2ljGiOIzR/5A16GkntdUr+hX4s2XQprnml199f//x4fSqZwNCy1XPZtSNp8xxROMKdOqIK+95ZmS3HNTevgz5pqfuY/AAwQ+4qqzpBoac2M9xlxCafSLXnCtcsrdM9YvwkXn1/f6c8MvWRjHj8D8iSmEufwSm4ZjAazY034V3opaAy03/jqby964rOKEWH+bQJ4JNrpu+uGxW0hyH3TJtdxPsQIQAbvbexviflz6qhKiNCPenP4cGzsDUjceZIkSEAs/ZqNhvqTA/1yM2Its9ja1wa/OlH6ZRAtAJ2pIne80R6hIYAvZh83LcEhekMNniF5tS+/CAAcYoqy6wAb8EKeuAAMMaQ1EuXZ+99AlVaEgHYziz59Qp7dhc/sFs3mtCCGL4JA2KM0EElmD48d2r+rsr58fFQRSQ+ryqixpiF/H6v1hF0+1S8XlWlW+sgLyjWvkXV6+a0ss9vsffe3+/OdNPFNwfcqvocMGJgFJOb8Rq5018B15yxgcHaxK6f0h9AOPzJA/it3APwghf86S96F4GEPH8BayYoYWR4Z1en8oys+Ws9P0J6PHdjmisbSPsGneLW7GZ3n5eOcXf5Vfd40/eKALvT4tnRzFhXPZj4Qmr2DzrZt4KdAOjSVZPZwGnGtGreAkP7VXeUnbtkyQR7lXSmTcIcp6PDGYHtAYvPD1ehEMLYnRTkt9MnmvUp2H7K7g+o8yg/O6VedUdrDNgdY1zzijHDY+19vV6VmeeoiTHiKG6snTVndRKGCfDlA/7p98oA6SBscX8O4mBnGiXv9z0ilPc95+w3Y1ZZK5dWdhHD3CqRe7m7huXjxGKywdk7CXp6vduFSVds554Nj9LM61Dp6/wt2QvrAK5iK3p6Iz1O5B57pZwlaBHawcxxr6VGwVkDo+cJQ1a+7/v1ekkAe5nhr7+eB0MbEQOKMKes0GDInfd9s/jx+jBYNsxNHChIMtrT6Jxzo80DzD0a96eIXGdX/INrUKD2uXtbl84HGLSmL/fWlz1cqfzpMWuBK7D3Hvr57iNCYEShCSf0gFQgRYC7H6XfskJNCbQNyepP9YDwEAx1WGRVEOY+zLVvE2h+Xdfr9WrRwxmZhOaDlJ11/yNoqnLHOg1s1/cq8ZrC0CZxup487j1jjJ0Jg4jUAOacagJKqXB7R0zRyfS8ZeaODWDdt4VD04yZu9/3bZmSYpj7zpxzhPvRH2DEkEC9qqoSnViQkvq1XblA+yqA9700vwWDzqymNddqc2LJ/aq41tpr6SPmgU/b4ljaHGbLwwxBo1sggGFhERbuVsxx2TpUn/Mxiqb/lHicBeYz2fZvnvngrH+FEenYEHKIBur6b1mXtIDdknAz2zPU3J37R9GuOVTlRe+wMRzrXLr/poJrSGeBSGzEcDdNf5o9Zw/emjBaRSZulrqMJoySyR0x+p03CIDzxJK0p/iqStejdzsnk74Ov7GPfjtG+wV3UdXKBc8et38odW1qKalcrdO5djItmXJ6ERJFVDLVA2tdpulHeuie8RPhXl4Gm2OYdyS6IopUv7zxq8KB9Xu4Oq3bmVt0zusYUINP3YJrreHRAHeqDTH3wQD3ztxjzty1bGlhiIbyBvgUPs/j9cg+686UYCab4mP4g0oqTiZ36hXmbvTmij4neHIUJA/3kF07gTDD9/f3upe3xAwGE8CoD3KO8c4cMTvFgVz3cncP86NoU6uhRcVpPvCMfN9f3+5+vS6p1jU2CegyimLQrppFojol8TBPSFlYnyBLgnm2xFo/KHPhzCLNsj8luY/v3KmnYN2Ln9oSU7avI0bmd7iH+9pLYLcdrR8P6CRzQDto2ON2pzpo51mgrL1UHNxEndKj0A0K6RHrvoslDcpAyOrgJMuC2iSddc7+TR0tR1J373OpSp7SOmtJXtesUrq47b2vOQlmptiMmSmLEVUMcbsF7l3XlC/WiOCc0nnMOatq7/UMHGNOEGuvvqur3Bra2rk93YCIGDHER9iZ9mo1nzTDqAKPc8aI67p0/kk7beg9gWI77XhO6NfeWwf43jtGrL3X3VnKHx9KLY3BIjVYHXsdG06Yg7XSh62dVlnYNu19/z3ilQAK8ABGy6na6ZOnmeD5Q/hxgVbiGIBq64gk8pwE0DrB3WmF1i+ayGMeWhOp10Apk1XHgJvRihQUQ2DE0LpmjPF+v8W3va5Lf0j+uD4VKXvn07K2L/y97obezpwIYO39er3UdZ6S4ea2793gg0cbFbOLqSpw1w5FoK0VMcLdTtLp82nt3GatUXhK/xkA+nHVWVDdsolfoZmw7rUbf9kwc6VM5M7i1irioUKrVhRNV3XE2Ll6TqxOyqxi7iVAXG2v0nTnmFl13+/v93uvJXcwXTwpySE8In+s3KAd13GJraI5lQcAcK8VERbeGw6SxZViYPJZV3qIcZ9NGdzdnMqACGbhYaMJbLpcKTEaYQJYHSRmN/6dT6uSDGBe11pr77zXfd8rwtVR5m4SSLZXM9daX1/fr9dLcgE2zyEy9/mMREoRZSAUcvB+f+voFcodp4XP6k9YIjIPj4ox4n3fmdmxbyQlcS+WPV4Lea8F8mROJclwz4Q9PuTd1De75mGtUEDw6jggal//szcmtOoQs+dp1mHaxJB8XS81sGNMd3EDlZZerPKIOcZey81ivCQciwjFxbzXd+lgGGEweMgBrrLGGJfkVJ3m18mpLM6OqBNJxiOi0MnAeyc1oMSw3+hPa6/wEa/RKj9zi9AQoAjoEWPvvZZ5eGbl2lW1ao853Hytuzv6AzftXQS+v/Nk5IQAAQAASURBVL9jRFiQqMrX60MiUMjN4uylBaxl7r0yRoC1d7qX8CUuigdVWUXunQbTAgPSP57IMD0T0mBWj1PQldctN2K4eaEUbSRlHQ/IwcP9FQq09h4jIhxgk9IihkVrICneltN4EBvXbI+yKmM55zVvsScOAguYMmF+7/Z0Bgge8t82AWpYE0WwwEISiZ8DgKahLHeXdiuSuWvQqhgmbqgRdAX0qMntmF/xAJJFs86ANj9EY3ZekXrqOqkjT58t26Truq55VTE6ll0RDfG6roj4+voyRZh6kFxrqeSj/AD+lLIjxtDz8+z31PeotXx2d3LAB6AZor/yYXlDa/Cf9vqnqeZp8c3c47rEJOkUeK00YGZwFsaUwE1Li7Iwh6lJMbPhY850NzNIduQenSXJYcfEsBmfmc9etJqmBnucD8PPy7Xik9zb9wY14UGfQK8WeaqYcAoK5dNdFbFz506MfgzyQPMermuq+7b447el764XLZ5csfTo3fetAmdu2DD0XCwodq0lxzc3q5PsesCfkZXv923wz8+P7+83OOeYxdqZ4a7d3YMZrrXe77cy4PZJsvWzkyEohkZzFkl1oEpUH3NWdwbu3kWZbLsInD3WOOnwZzqhtGDChGAoFg4JIisVXON9/zdZrzTDPe0/KChMnhy6naSc6iEPzJYr9xerstRRkFE+FsWIyJ2V2ao0tIBfGzqIePIbztksSfeIli5rKtWsC3pl7bWexzXCq0RI83//jy8jbErulB1iHEOriNblVN33rSwG9YJ3ysl8cbVuVFdm3ffr9WHuI8ZG9smdDW2MiMx6r/cHX64I7l6EWVWZm65P6g4kRG/zmLkrs977NnCMqc4Dhtd1SeamjEaoV2u6B2Xl9mKNMVi1q9xTwMOIkZkKjJzNC6rc7Vcni0ZrUonpvz9eHwTdzD087P33dxUGCJWhzQ0rhBXS5IItEMSNIygo3r3dR9qSItHBXmEHC/rtFx8kh8cwTi1/Lwq1+8Uh5CAs6TRWutFAZLWEP4LcQk6HO2msRmNr833fSqOqE6/cTj3mgtJUOTU4NDrhXYeER6y1HtPtGOH140MCWlbJ93WM+b7fBHw6DLkV7NlGQNcMzY9n0m8URDO7/pFSVVVR7NuzA2x5cPNS2MqdvkRqE1UEf7s/AFnnVyonz7WeIukWHo7ce8mkYL2uV+/NugoZO/O54Hpa4ixBfN1LX5OZThe7/NkmiYcgM+2sLTCkDufEekV5lozdhlPQD5s3qX6nvr+///76+9evX+pKRHp5ZPGCMHbunbtF+VXuBtrVJCt4RLFTcczNEebHvkJXAwwcjUWXVRsxqvMsESMImPsff/659i3ep6gUOhs8Qs3B/b7b7CG36N6vzw8d5WH90ZNU3PT7/e0ec0w/1j16mqLlwU2cpwL85jWGlGvuFp8fn2uv49vcWK0SgvfOZ/9UJ+BajH8DfUTJXISAXKeo7Vzbu+YJb/Fw3RzR3sKCEAvPDGpG8n3ff+AP3cQaRmR1V8wGPNGB6XptOHQvqIM5N5vg7IjRjyQf//YWRa+9JEqPEXEytlREdu5gNBnU4igYILUdK//t3/6rwT4/Pl8fr6r63lujw1q5976ul5qPPnJMm49+nSHAHSaXb12E4rdOjr2WYIdn9QUAmaKQ6emUTdDX9/ccc4xorxQz+aNQnLqsGM7N+/2e15SjQ1Zq9xNDLiYQiatc2L27eSD82DXigNsGjDGfXscPbcLM1dCMEQ24VdljYgbGGF9fX2MqXdJlkDMogX7vEUWHsF58mm0mDJssRKKAcLPC0/7z8D7NTkYMu/Dbb+g/8OMFzUL+php7UlAsM6OpROZ6S8JSDEmGn11/ly1hmdiZ7/sdZv7hIsP8thToHsh6aPEuo2ZoEoK2l9TS2DWQZj8hDQS5Vdb8vPbesPYE1V+5rhkCd3tFcGxY1MueZoz9PKCf1IZi/GDBPINef6L6qdEv9QFo+eAqp1cW9b7RHQ024UNcndMal/Q7uoeC0a/J2pKoSIm0+sDLMrPPX5/rXmS5G5oFhDGmTErRXihTHZM42mjs5RBbgMM26fiXc+AbTLbsBLjWtg6KCY2hq461L2BtWUMe/BfAGK8+ws8DIHD/PA+leHTNCr1mMcAgO6ZiOeK8XzxiBbUo7p7WxwZT3Erbaxvw8fGpO8HMXq/X+/3+++vvJ/WTpxOfcyp/+OP1kZU7dyjdTEnRaJAdaOPizI1jyxMemZWWHr4zWSVR6ENV0P3sP5wl48Nka+ccQXBpcKUKRISHYbcDnT6789fdLJ9LoZHLzU09cta5qaQFqfN0d9UW0UCO4hAg0/s8GjxrwyDVgl6wwXVDapAR8s9mhJr62d6FHV5SFU3FKCLM5Y6pvnvEUBV+7/W6PjJz577wijGwM9m7/ff7Pca47/W6Lt1SVclS5pe5D3e3sjGGqEqaltwtfFALXq0Wexii3E1E7nw+ymKF+d5LbC2l0sqUYkTIxUC9qeYSAS2CevS5fH39rSfmj4+P/pR12BAwUzqNuiKnkw852+TbsjPN2gGwSMLXOtivywiyPS7dOgrJ5ni9XmvvAYP5SOaQ34gpGFJ2P8aDmtgwwmsjIt5JeJx2vw7W745AAz5i/j2/YR/C2CcKuDEmwIkgNpB00h2R5u07RTpIUQNdDd5ZKOSuJ4iCx//Ewsl92gerkpEWCj8NxTOM6yauqkejz3bMsBjjYQ2PCMXHhzvRgO/O7fTrunAAeq3h/ERFtsMDVGPVc5xf7Xlyqlx/zOqHumKKY+rtuadFYH/Nc0g8PFFWpeTPrHLi5IHs3DIYKLD3dY/jrpZaJGAakO0Yy1SWXzbnEBuEhMZqSACSsuDuVeTespiu4s/w9xukgCMYbEcz4SS6Ffz4/ZKsSvPILC2+1DWr+lyXF6l0HXWyVWT0WHQ6HSHRVVlazuvTPB+56XO3ttpv9zGhqDqQ3LRV9h88ipQkTbY8LI4x73yfNwl3/+uvv9z99Xq5Ow6bBeQY8fH5udYSgpy7ipmVReq/I2LIXtL94ZJKyU3xOorW3DI95yX6jLsXy9idBKplK+cI1GlnzyExRsBQVuxIZ9O4ZjbdTbprkoBYv6JkG8nr9Zpzvl4vNUPc9WwEdGCoYsLkJ9IZAEU6PJG5C2S0Z2Ijmd1e0/rQ7X7BTlZa32xnX1VarZla49CRUNd1gfBw6dHmdb1erzorvr12kdc173tf1/zwDwB//PFLN0GdjZzb0B2yz+sWUdLNPj4+qqid6lAYNanjRrWiqspSaQSiC/aNRMghSnc1gKxGSynslFpAYN3vj48PIb0dOacFPutedzS8fKvuE8y9tZ05aJuZR2V6TAEJ75Rbnwo+n49VGPh636oSNBdmAHDtHREojN87d7EtC4fQDktKsYZC0V0hcziL+9PuP5Wsz4AHqD7/0bZJuH/rAAzm7R4aC/JxRJlYnHZgSpCtlDslu2u6QAOhb6/Xa4TRyCwcSqjBNlcv+hpVKXcfHr0oa48yL+69trldR39k3cI2FAvDvdZoZn1H/0S7C/SEKAH6fd9uOoeKz1P51PiGp3v1p8KHQzICIKPXqiqjeVszNgHcWsv3U17ZGh+eJR4JveVjsnh6wEf5XK2DkvZVE4b3r7Nxdns06Pe6K2vvDv47YcniCNbh3VCVSH/9ObH6w3sg0oMLNCzUI5Grsdope6LuAIVFwSwCWXXNER7m3RD5ARDPYWOmiSlBdSzhUMgcfhtF+/15jyBb0rGWRLm7VkOidkSbnTH3JqCNSO97gL33x+fHVa+///6rT22dQoa9N8F73WMM91dV5X2fTMCeCXUPjMe3vVsm1lEgXtf4/tpx+j7dQ4Ln9CmzG/300DDFM+MegrDyDBRGS8tMJM7BI4c48llQobmYWrw3SKXnpUdxeVL9uHeQZNJOxgHPBw4JqcYomSWw7WyzcoyZyg7qTr8f0jpJmbq6w+Up8uyeNZ2BpHby8jrWFVcX5OHmzPfuvt58jPG6PlbbsZh7aAemei33anWBmmD0udzrhmFwKBb7dDApjlNmGUxroRLkaL3WkVfoGEM+cWRdr5e5IYVMekSMObjWdU1WCdWc84rwg9h8KJRpjnHiC5W+l+5OYN03eq9bUoxGuMldzf34QNDdd+c2z7VWZr7wau+N4TKdfu+3qonGykGkECtQsnSEjw2UVXL5MBid5qQH5od/rX39+iMzM55GLw9p5xTq57bqBuxJh+/lHFDP2dDiDRCQUhv9WhwxvG+jQntJWrENTrqjzMqPjw9Wupl8V/qmRIvpdRNpkhP26oS1SQ49Itjh8ntvU4PMdhBSP6jsXLXPopSMMTTE3vdSzqeag65r7avx4Dl2bmVQ9ia9+kJWL1d7Z2vPUUHQeB7LVtach+JwPMjTYp/JAOaee4/XS5NjNunlQUjkYxFQsB7k1NEHmZ5kNZ77CfQAYFi5CZg1op1Vw8YY8bIPhXLggEhdpO2BAc9n3t1Cb7eBTqDVKK6T+zccg4mOFVPkQG+YizH88RtspItVzf8REGVjDKUs/RwSDUxJWM4xxtfXV7gLBnwGeRFSAD/4AyUvqMzv91tE4dfHa68Nszn9169f1kGvrRp1R5WTqUJz3+/csqvCt6pYFYjyckqCpwiRIWOJOlRZUf9yp48OBNXCoEV/R5GOXpAJ1ek/rO7oPUYoVbxPP/T1IdvOoYoxegZ9Bkqg5dDrXpm5MyN3ZbqHtHiVJTVSuFfls7SHZBagsdSCtGxYwwcwxkhWPJZzz8HDigjm4wyB3LtK5SxN+fIHxhQp4HEmJ5PgHHPOCw4M3O9bT6IOm9frA+C0ee5MM7PMfCIEMhXcZO3YAZAcMXXd6njBanFoeLZ0MHDviuG79l5b06f227l2eJSXG1C1K9ka4wHiP/13bdu+M/fOEWNeU9ugMUpFv0pbV4jqFidN7SzaYGZ7586cIY4Qmfm+7znGwHi2RHKKFgUA4M6tgcZsrPd9vV5Dn2UBr/nqt1UwlAsnhyEJeME2c5HbksgHehQEpKaeP1BPn86PQTRR+sOCySiU/RflEtGaAPkWlFXBPAxVXrDC6THsqX8SClcmm3CiQumK/RuIp3Q+4kwRVSSI19mtsRsIEDtXO9O+XmSpMy52ps99rzlomHacJBJlZuoU/MgXVQSztsHxtGkPD8+dTDWhylQ6uPCDk2gx8HOrNmTC6pPsPCJFccS7GX9aRYOPcZ52145Oh1BLrPS8YeMwqIaKdpFZ9X6///jzj6AQpCbkCQzVZruydIu7W5NZ28Dyv/EVOBXhBxY6A1HDr+YmL885xpwjXfOECeI8YBezGDHCI5mUsP4Ar0cXe3CwPgx6qy/6jbgZ5wzqk9LtaAl7LWR1Fg9mjYNDlAZzssac32t/vF4xYq/t7jbNTOZNtBOKaYb7XvOaSmbOvfV44wyDQocEFvUMxJ6lhS0IptvYIMJi720wDTJDZL6dZjCbho7z7b6AJ/fE+sqEh4YO+fF12yj5C+tUB1aV1WkurK+GUJcqfnx+Po1GsQx+Ig57pU2I7f5zsHVFKArxz70MhuluTY/RrelndAasLNXDS1IgNkFuxfllaIgxnUn+8fq411vLZLV37jIBNDV34E8ct+Ixmit48C59N7MG1nRIaJvYzWnm3h2SI7wlojOKxxjqa8La7cfdhKjstV+v6xpz7RURa+8IJ2qM11q3Lq/IBfrgmrNQ9npd5n7fK3P/8ceviPH0Sjsrhkvo+36/m6dUNa6rZ1OyWPf9xjEizMxrTgIK2BFZZp+90X3fYwzqEAXmdYXbcD+STW6476xwY2WUzRlV/Hx9/rO2gxF38fv1GTfWOQAGMBQl85u1Z5naeSSa7M8NS3giCgEMRxCWyMJOLFGJALM0p9m2gCMr0n2nLwwG9w4Lg1l41XZ3Hl7B19fXMJtzyOsvi/d9qxZHvNzl3GDuAVjmzkw3k1mCEvve93cVH9DTDmuep2i2WeC6retmuFmy5phrLXO7xnXOAInZ2l/h6UPRPLkTMCnMDqbi2K3o8bchRAmDwcoOW9SOLNpgx9UEZmMMnuoGEMd1XatSYRvuQ+19R8cdSwxRj2DKn9trrcy91xJndIzOIFOxTh1aI0QDVweamT5UL7Vk02wOM1/7PsCA1rHFp/gJ7ojYax9sA+co5d7Znjzgzr1lv4W612rhBOw8xlFFwW46sp8j5xmVusCdLaa7y4lBZ6T8hfQCdEuY+Vq3Gj3lRgncDo/4GN9f39d1rXW32KISTS+y6zKZJayloPA0M4/I+65Kc/MITa4uIt6x2ZCzjcHWXvf7/vj4uF4Xtm4DPk2CRvuquteS44JQIzGCm7TgtvfGwDGKI4E5puTKJNe9YsTaK0ZYakm4FRKgCuVur9eHvvn1eslasjuUKnrb2oTbfa85RwG1FZQk/YTf9y2sd4yLVcNfidy1994mYmvBh0vLYzBppuY1d24ef2HNJN/f3/O6iLzmdV0T4Ot6/cc//+PX52e3dB4Aj3F1hQvyFEnMR9gDOcrxLDzCKPpy5u3wGfO93nkrq8fHGPpurkzc4hiRuSv3bocmjmvA7H5vnAQ3Kca7YhiuawI2xsA5WdXU3+/79XrB8fH6kGzl/vuuqs/Pz7XENSqRkgG8rsvC7u977SXJpJ7rvbbSdagtBZvjH+5m+Pr6en18VG7FGp4h7Dzv8omS86BbVo1KKrUisYZ/jAhyqwy1pzjKrBr8SmCzZrpdCTTRH6Hm9OQBPMiPuoeG/gsyO9bWwQFPdFTrszYImlUdCL4MFuaSLOhT3HuBNGAUHPbeN2xEcwZNkhAx2cOjKvde931f87IItWJqXXZuQWAmklYMtx6iezNc9PDRTyx4vOP7SWAVO86XpJ3fiz7h/gN3PX2x4BfdCurvSSXJiIqjdMAOompOhfUmB6dYk2frXPTDt8nc7HcRUqjbg340se8JG+naK8th0Y9yywrNzH1eF2B7L5uTp69XFX2a6wL1g/bexcyVbmZyG9Wnbq047U2sGY4YEIe20pBUlRoTNW4adfxw7HTGnLbU95Fu8OFInNMFhjiUAAH7DZqYMdtfr9gkdzsfKIRBs4wuIq+qv6733kvF5Z//8df39/eY08zGnGYopmthzqYPnDECI8bX15foHwDkYKlebMRgVaveSD2EScbJUwLw8frQhmnv/QBXei+CzdS7aINd6a9X6AxuA7UG83ozXMgevACC0WGTrH1EXqRo/nNM/c3MrPLXhZ31L//yLztTLgiV3NyMs3gS3Bboe0K8MvRZCfPrmrUrZvzbv/3beM33+x4j3HzESGwa3Z1WYQqR7XhCo969VAKQkYOZzZgA91pZNcdwc3Ovtaoqgtc1c5e1eaC2a9qqkMKjYrQdukdEiMK77kXg+/7OtdHzazNezEzrLr2MtVYqzN3tfr/d/K/1169fn6+PVzN5wl/jBVgx9Yy/329xPXU3/vr8ReVCz5AU34Cv7+8xhtwKZEqhIN+TcLDPmOS6MbJy79U4/luKFovh9/ddVa/rMve812mmLKtkSp9700P3vp6pj8+PtdZ9L4ONCEe4lfkYVvpgB4Np3CCsVr637cJN5mvOVTYwFiDp1vlv1KEDATjkTiecaG9pwzIsYgFpYDbu84PtBIC9TXD82qTtAt012IXHft8S7roNAqCNmITvrKw8yBh03RF0eYifaqIqBlLqMC2jfnawFuZKD48usWWIA2ab0+qU1GPWRrQ9lv0UenN0f60qnMVn5ykzyzZBUlv3g/0LTul7uN+KJRNJ8yhZh/Y6UZg1Q+hyUSGuZIePH2hVKIGdFlKojoww20VB55DKv+ALN/v8/CQrKVORUzShNApDIcbQErgSNHEoeqPYP+wHULbetJ/x5vk3jQQ9natbZg9M8qFUF/aM7e0D4abh6hG8nyuHQ5BF6UVJTEFEx2YVW4sCM0iK9X7ff/zxy6fheIKaWW6utSoT2NLa/Prjj94Gr6V5a5xokXDPKhT0la/rUqKTPNxjBBD7yapHS0DZtB8vMayOjFHd4t5KD+acw87M1HcLYU18osKKYOhoRcDNBC2yYA593K5Uho4O77EAeOQFagXaEuPj4/O6rs9fvz4/P//Tf//fe0Rlrr1FHg+PHiP2yioPPxsUEQJsRBQKZIrm5fG6Ptx9XkNPHEE4rIwFhbQ4fK0VWvB432k2hzJxmSzW2vfr44+qkp2c2Lcayt1srV2ZO9f1unzE9/c7c89rqLcs8r5XVkaMY+TAzFJLt9b9er0eSpjsNajscRBiLmXBoBN9jFHJj4+Xj8hd8uG5rovA58fHLkwxb3Ya4SOQxrJno+ZDaHDHgBtsyl/LPczutcDHbMrutazFAeYjLr9W7pCv8GP2B1zXdb/fbdYEI8QgCmZlFsgxRoQvWWebrbXl/sIqH2PEGEIrUJ7M01fSSAey1bYVRmyiwgqkowxev9GBQDCRdcgX+An7PY3awfpLFlBd+p/2R6h8f5X9pqUyj6pyUVbMU5r4zJAQKYZyHnVPq2dspSioCCdCrNvRUlI3HAELADNXsEgHe553lJlD6dtmbi135FFXt5XSmAJT2SuapvFEBJ4j4VB3hP7pCXTrLa46/1QgBn8WpwfD0f9XFcOtS+gD+1YzN+zseFU3w4IavdBfKJxHo4mdpTBZtDgV51BmSQfEdxGzTueEP8FBzSVP7VSkKe8j68Fb0IAsz+ZahbqAM1P0wTJa+NMHpP2wPvSSwJMKebhSBkAESr0qd2M9tU276CrxDnQQZjU7xCzFxyMzN4tjtkmnHrkTDuxVFWPc962G7nVdXzs1eWgjJ+WgmbmP9pXK0hErrF9onI4ga9asoJMmDUEmVIQC0XXT5s7OmVQ2vWJ6ntamJ0kKqQAgea2H63AR12ev3Y8wDEZzFxV57wR6uao7v83mWu3dfLMx4x//+o8x4l/+8Y8x4uv7O+51fV7tQmEAea+2u9FdZwVz1C6SAYsIGjJzXPPPf/xL5vaI6/WSTcLay8Kq0kJRrEVyxkwmrYsjtK7PFI6hpUKMgUN41XypKAs3bNbnx6eZv7/eEbaJfe/rupLl5qI8KuCymO/393Vd7lfWvq5XmGLjECFndMMGqOu25xg+XRvjtZbBrtcEwMKIuK7Js20WRDNflyIhaxdgLH68Pte6x5xkesRm3mvN8Ne87rXsWZ4Sw8PD73vNMeU1JIgJQPZWhNbJRTRr4Zjayiri7COrin7WXUchP0aw4OFzzHC3gb1RrLHvLANRPqbYNZmbSKcNHyAwyVVWZh5VRgRrAAAXDMA6K9w6C+H8bTP8rIWt4Ls7wA4DMLA98ZFdjmAwJnJckywZ+e5MtUwh+qKbma0CTtEMD6lUdaprhlcj2DFMRQGYbVOTzfzLTFmCyKowM3NnHRmw+ik9b2svBTg0INJTfFtZqbVTjODzGXRFQ89F1pjY+eVA/Xb0NQEBdfLLeIq/BoPeAcD0F9lAekMQQnjWWmeL0N9A5B5Ig8afQ+V8DcA0hT0B7/d77aXf8LgCHEAs0emVFFkiK5WB7PaDYFg3BHC56KGFbDg8ResTEY3RH9ha87qZ/U55skaMTDvJYpIlxECnx+HHmrYjEg26udH2TkmoSIW89A7DrI8pP6pALerlDis8ygyZe0TMMUbEP//657//+7+bmfhm5v5+vx9/kcz2z5nXjAwPX6sNurURaZ+MiJ955VyLyvJODtBpajzvnayQYa32nB4wxOla9N4rS7plkE0PpwCQPrslAUUTW/rw1V/v7kdQppEUIXKz8v1+r3v94x//es1L183D984REWFrbQ+f89JZrUUOkrSqKKbgILS1oaGQEeEIM/cxjHi9wmA7ZeFpeS/68A7hoYUXtoGEX69hZuFxv2+xV/fewYBB2q7wWMXrmq/X676XxQkqVV4xWFWBcNfRDvJGhzpstfNmvjJhGFfk5n3va0YV5zWK5THGHEpnlK5K7bOmgXuvtURfrjkmCpWFonh9r+siUe7JLHKvbEDEcL9vDv/1+cukgiyAakwR7nPEkAoHKug257xXX087dO0Op1I0xW+qN+Fmgrl00u+17nvNa4DYuxfCLL6ua933AOARVZa5qto0udSCwhyQWJAG7ezf3xu/ZsGgBb3ae61w4dZhkPit1nUlK7DARGVLAfhTDQUB+ZADRVigzOiK+SXpFor82JUnGEWFRlI96pZSEXQPSU7mnCzuvQxWVa/XS0OohEJ6DN7vt07vOWaMqKKzIoZQCA2n7nFdr9PMNsJzXa8IxW7gaRuFPus3PK76gtXUcD0DAU/ihx/lPZ4p/2mSDYCpRgT8QWMShT4H7bmCrr3Hae56T6pqIzq2m8OPgVKjYToYHzxKuUV612USW5gYAoWfCJEi3WPMGHOstXrX2hMGzdqpThgO7McM/MwI/fLEurGm9ByiiOmW5OGpW5GZrWzUuefnpBHQo86UVedWhLuFeyKlvzoJqabwMW0Im/6UabCv9aUPQmmCgMlNbF6T/8T7+/vXr1/zusyscn98fpDwdG1fRR7rml415xQ0bAcSrSolij29vJ2Vj66PmYE9r1WVtsdw6Kw1m+4/vlXk857VHTDF54FZyGihcCzezNoqMavMTbEqpcbhcVQ8+xiS9829v76/vv/++++vry+hzNf1+vMf//Lx+pzXcLNpLz93WrLMYMPdg86MfCJQ1DCJ8KOA8GSt93vE9HAuGajger3UckVRUqnaHelh4fteToRPwlwIVLVX65xXVY2heck8rLJe17XuTpUIj7gCRkRc8yIhTqAdlkRlArX2/rguGCy4dz3MfT2hVE/gEmpIt+EgWOlAuFUhd5Hrui4mRA+UhJDk+72rI1qN4Kd/GmAog8xXVhUsrFSUSJlh6BQJ951pBwuiAKhdJnv29t7ox1kCFIoDIoG1QU+NVAv1zvAYc+61rnjFiDEjt49jGcLjL0kWMkQbYYx4266BVauKPpzOEbHvG4ED49DhBjeEYQBRnfkuox/L9qBPYBNLBKFHJXCAICdgcFQ5nJtuhiwrhpn4kEfHR8C/729kjRE6n+XoTHJeV2UOdSnXS89zVYFUpJdoMZkpyod377zVt445xpgA55xnVdvlA235xLa+EshzbnF9QXbJs73X3lv2I4+B19N82WluFUBj0mH0eq0PTT04OjytfQsaPu5RwHoQKZK53VxL4+gwy6fdO/kYZlqq68QyNVxmvZ7SYeMNIn98vPLQvQE7GyS1HgYzVs05Y3ifWfjtw+y6pIGpwxLORAjT2+7X72gnH9H+qred1q6H1k+sVgvWBCTgGD9Ts1MpmcC78xTOYzYBPJaK677HHBCxh71zOy+LH6+PYt33Larcx+vj76+/yypzg/z8/FS44zOYuZlFaGBqwFATmLzt+jNy5kqyMtWd9O6557M2/9BptHcS5Ue+P+bEMTLRLzurncwUAQqg1oZmilQ9ZjUSngF796Xr1oQSXT+k4YYTdWc2Ri9TDrcY/s//+Pcsehhp//Zf/6u5K/7189fndb2uMa/XNcf1+fExVJBayiw3CBsK54kh4i+B6RbmItqOmOpSkZhT5j/wVfCzmbcg6jVnseZrjBFyd5CvTpjf6zZN+lX3fTPLZL83AkkzZ1XEqPb6F4xbubcGO5n777V8+Nq3h7+/3/N1hfn1ukjI73rtbY69187UWi/cY4z73ntvHy13wAIo47lSAMDeaS6vPTn+x73vzH1/f79e1/B5v9/rrLV30SaK3Pe2sBwJ2L1uhYyaeZKjFzBlbrmzXBuyqKK+z2u+dPW+398jBmBjTDOM6NM92yuscx7f77vIwSyTyGCEiMZy6Chwhq+9cAFA+AjbMJuvKXRDHRh0R/+G9nT32X9ezyjQGT8wg+ymzWHZNUPpkgiLYdakUFptYtMLVhweaenAfAXK3Xyv+/VxtWvcrjECaKsTDdHyHYTB2fKNJqG3MWh0h2ktxwfxOBO0eY4iQbLPCSvbW/QhHLa4UhcbEWKVh/uhq5+RobrwAeTZAJ8DoTtE4mDcwIE4QFRpDNRe+vR0VQfBkbFlB3Daw33pYq1397NF7O98ilZ/VCatgM053P3jdR3gC4D/TAnWnZaIZWMMc9snd1QzgFQCQqcEuRwUCwXBG/q8rZe6QFYOKI7V7aTC2YGG+sgUoYIVcEgErqolKF1IVntQCy3zhq0IM6lYO8i7R7FsEE1yhDGHuQVC7YK7ZdaI8Ij3+/3HH3+Y2d9//11Ffebbdh2TrweJ6ueq8nGt0HyQ54apswcqEnKxFnkNDf11LGjfEqlGpKo/Ux0e+vS1bTrJMA0bHvDneQ7FfYIXNSLrA2wLkMOJsJ87UH4F5WbiArzfb0nxAK59u0eBLDke/xOkhzcH1zBiKC3gmjPGeH1+jDnnGHPOMeeQ647ZvC5tHcLdR2RupASbYBJIsmLOISiZmWtp8NpsBzm4KQxcN+/3+0temBbDZbVvyKTWMdd8juyuPSQlWxnzAjlijBiZu1C/fv0iue+bRYnUtWsdYzBQQO4dPl7Xi2QiPz8/NDLGyQyQcUiYjzne329mw61uFuET02B//vln7t1P/kKE3/e6Pl6ZdYDKQsAMc0wQa+3X62M4cuf1ulaRle1LQWr9P+awnR6uquWwvVeRQ0TGEZlJe+j/831/Rww38/ChTdnwqKzosYjy7C8rgwm8j8Ig1l9/+X/3R4FIQ0R39iCRB9BPYBmWYThmIAMVh/uXMMICbig7coFzQpjBrjkMbxSMDrojZkxTiFbVHCFoQPSmz89PgrmXgJQukeIumSloMNwdw1oJLIye+lAbsibAQoju/bhcmQYFewq4HYZA/TxL6LLrhwZjylar2mLQH922aQY0pa91hHo/ftbi5oNgsB21eKhE+iWZ9tMGCj/TNr8F4m2oG8LFu7yfta33MVCVwvIlMy6z4RF7v7t/JP7888+111kjUAttNxchxoA4RWqOWVXvt5Q04juHuXfmSMd9uhAJOwY7NMUdcoSiVDpf+8g14CcrkQSrxnDxstaSuc3QqdA2fPBw1xng5hLBgn2kFAu0GeHhr+vJBWsSl1wfwJ+djblfYrOHmdleSy6wEXG9LhAfHx9772LNOd+3fIHorn1sagyfY+iy79yr8xEbtWd77tv1ai2owdbeMxToOJr/ClSWbOkeaPDoA3uTf+jqvQwi4d52BRGeh5+KXpnG4+OtJ0X8mcpSq6GjWo3Fmbpc9qu1qZAs7dAI1CYBN8/cZp7YBqy97716mwV4j6FwszHmmBFjzmte8/Xx8SHr//m6RgyfxsIcw19OyRRyusI1zfZaooGZK7rcrmlV5SNYHDEm02i1ZdxkyvnxEWuta15w5zF92blHNPrrHswdwwFHsrKSfL1mZmEM9Sn3+/16XVuZRXMA489ff66v91rL3eYca60Ysfeq3ADm6wUhjGa59skAsL1xf7/nyIiY13x/f72u19/ff1eVw83w8fHyCFZ9/f0dYSS+/v6+rqk0jhGDla7MNTSq22Cv4f2+Ze9x9vxLwoXpc+/c2feqdstrr+u6yLrmlVXv9z3nHDDSmEyh6jTC2I8SAGNWZTXp2xzr3v4L4Z4ddKUK2kJAcR945GD+Gw1IczuBQtlP4Vf7378TFVzrYXfE8JR7FBQVidrbvb0D176veSGmCqKZixkDQgtAHA8TaTGthVqQTRhJO3Zhqsh77/Rsrp4bADmAakmo4OaeZopkurn6SmiQp+UJulZSAIjMdAWfo6EUfX+4cDp75vGfgUENizASNg9SXbY6wX4aSTO8Xtf76y0Q2c5B2NfzvwGdGv2PbhXLzW1MnTXXNfdef//113VNdRBKfMzKa15aGbv7wnMz0MzGCG3Fa29IB9D4vskVIKu8B77uwKDmnnSziPnxeoXHnCN38kipe2uZitCb5xzK6/oVEWst/fXXx0tNrvp3AHNOcwX2OigT3RLV2iO0ntl7w/XKnR1XeZyUSr5TJosb+aM9igSwCSrmxkUbfRO2GqtB+d7Aa0ws1hxj7WVu6157rVPOjgetgHzDUsCywordxYlEb4RQWdnGWRQ7677fZEV0qcrdfZhiQ0RC/Xno2n2EBQai6rxfdGdc1L+EmXWoKgzg3itijuHjCmbFGIUw2H3foufrahy+bbMnNOkrrp0EirfdolrFHPqp7hZzzmuANmNcr9d1va7rpXp3zRk2JJSLl9OgoV3a19d1VYn1b2ZW7xvA/PjQo1GrZPofmijM6PbeOyI8hnwlcyUcFhFzhI11b0vK5KRq7ZWimZolC1fMZA15AzOT22Ejrtw5x/ARIPzyvRYVDuz2fn99vD6qyg3X9XLbI9LMdpaoxpkpY8526ZBNd6a7XfP1vu/rGmPOrK209xhjzPn3X3/bMllCxGjdmYas3J1bIMxHtyPkZng8Aj4/P+L2YnnZ+36P9pv2YeZiSJuybyIKZU3HKXo5bHp4Ldu8bPzz7339Smy2tvcY+hcgURjhBU9EIjbGxkyMQp5Fp5igOH5zjx30HnjV3gGg0jCYmcsjQv7+w51byWWFKgfMhx4gbx5La1NBDJfjlUp/GQ4l41TGB0vVLC+S4Ot6rb30tCPZjVhlZe218yFgHMAHvdDrHspNc1npTBbi5hA3tKt/HVOHzHLvdkzwq59VwYP7u7Z2UujID9CagdrIu1m4zzlIjaIm75cHfeoN8wjdrxoaHDjNJt1i7U3yjz/++Pj4EL/wjz9+8ZhYCOnSaPnr83Pn/rd///9+/fVlhnGP63XNGbcudTsGUziL1iF9MdUK8qxFzasVSv76+PgdCpM4oQ5G0duDDglVTTcA915//vlHeGSJztHSjVxZpNTOgpL3fpvd6OOfYw4LH2OOOaWeh0E0+XAfMXjOUWdsrKqKEc8ZpoUqyXWigA1xBH3WzUsZSd1I/vhnyCDBegJossCZiB5kz8NL3iF+FG2u2DpZfbVhpypv38lFqfD0katNZpV5q4754E6n3p/79qdNELvMTURk0sAsN4/hr2vGGGnl4ValYAbp0cSN4U55c/Z3NJqD3pnPcIAKWAR3k6TNLHLdt5NQ5fGIJroBWt1FiKM+P359hsfr8zW8qRl7V5iTHDP+/Mc/TuoWsvbLo8AJmxRQbbnz/X7DhjlCGbG1aTZikLbJhbIRPnyj/JoXa4ygY4hfFx4IsmDYueI43ynBzQAaM/N6zfteGmI/r1eMeNXU3TXnyPT1vufw7+/vcLu55hhFe26JtdeMoNnaO3xcr7neq6rmHOteUkqSzMpAhPv76+1uYw5E3PftZlX59fV9XfNp+HrncdILHnjjXpyvsfbW3T70KJpRu8qqopWnMcsaeUbuQoGbtfkxZm0QMoDgKd9weB0XoAIPuNPaG03mdqo/4MqR508gsCX2pde40wHudJtKs4kwbIJVu4AyWiUDrggnEEyOGdVuWrjXredDKd5PR2wthm4ooNgPEsGUk19Vgz8ntVF5PbqU1c6R0UWqNwE0kfzcwmPa1G8q+wHWY6hiVkqk6VdCvWBzbbP6VYq96GqktdLothiUyNHMgBEx55zXlBkhoHXuPDPKsYwX+zw6H27oSXMjUZnJfL1e7lb87/6H/+G/n3OomEpRnJW71hhTXMOsYvHjer2/3nMOAOu+ZcmbmSBq52kttY6yZHaR4W9jQK8oV1XWsf9VH0qrtZt5qmUkWYqIsqYYaQ42FspKUY6NiUe4e+5S0ouaXpwY4sq08K+v92OGk5mv12WwsLDQMt8yMxokWThJ9uGWMDOIyQ7gfb/DvY4voW4bdw2sZ0/rvf8WRjxGCJZ8JtEjOHADBCwgRpGVikkgDEY+vYIGvGGx/Ib1htrQ46B7571ADAXdAzwepFp+LEkOYnOzb2AjqQ69MptrKIGFWcf59eYG4e7X1PW/5tgKzxmjKrOKqa2AeEGRGiFrs+CKJe0sPwNZmZbEcyLuJb56kd/3l8GKnDFI2HAUr9dL8/Kff/4jPD5eH2PO63qhFb9tt3BdF6rEMpPU0X3EmAAVokIyxzZzh9FQuZ0BR1xhxXUvi5DUzkcYjCdR3GB71Wu+1NIUay+JT5GP/L43SvPeqyrDPHdab/xMxoJzXgIPDazsFcqMaRq6UlPOMDMY19o7u7XVE3HNywy64HWovUlW8ePj47iQiQqRJOY1cYKAZFrnHiM+du0eoM1EpiyFDJSQboGPotcL8h8kyJW1EhY07a/q5HmlYQOb2Ia0Y/aJYxAk0J+4pbIQ7SfghCccuAE3+HvdV9VrBJNhw+F5M++qdK49TEClQpHQmd2npuup0zB7tDlp3TYKJeKxwQEPiV6HsD5g4ih3Gm3/AYgFPWtLM2LADHsXOUbgYV8AAEPoP2huucm92535QKI84IxGfAtTh5uyhhaN2at3uAeK1zlbVU15N9beY8w5puxTWvbaR8iPgcR56zgkGTtfQo6hd7x3/vr8/B//x//DX//859fXl8tfqIodk9SMEcHyc85fvz7/+vtvvu+d6/Pjl5vdmdfrsnBvX2WtczV79XX/oQhIs7rW+/2dyb1vFsccaMZqqWWG9gGEMA2yUEobl0q7R8id2YdTv6fhMUwcGMLc9cIjdK6HBf7488/v9/faW93xmENXWbIvHKsGFvWJ///TnJrB5Aqbh7bo9ow+bYyzd+7aqck/E4+qGWbW2ou+CYSaEFowaHTjw17t3X6HGWRVRNxr9YBleG7XxmROwK+d0auOZazwb71ZtSzN3ejsoGLVHMPMr9crxoiOKnbd2HUO1XZJ6eVqT6JwhDsZaLNfxhyeYCjmsyn6FI/uobWaC/gS9vSoGs3svbfHyJUo7r1E4fvrn/8EDWXXx2uMMeZ8vV7zmnNeI8Zf7qLcaHjVwSaGRYQmS5gPEHKAe13XuAYTu1bMGGOy0g7WCnmo42ZlzJeN8Yxou3LXdnh4jOvKzNd1VWUZbu6VC6zcdX1+3t93mI3hMV/MMseF132/5zVrZe7Mna/Xa+9NYI7BQlZ6WNIqc84RI76/bzdfa318gMa1E2DdimMyeTkDlmlZW/k2XrH2yjM0myPvHGN8v7/HO7TJ23sPkTdK8U9HlgAnQUs9flWruAqpuNQdg+ZF7IPkd/XUAwKdeN2T4jfKvyWgHoP9HPnhCxmwb2BQkL9Tuv21udNI7nKJ9cHwXvWQtdoS1pxtSPBQ2ahH6+FekGL7WOubfsbi0yGZueeRm/cfmImBg8OTy92RYTHGxxhf399uxvEk+ipshK/X63m8ldoD6z5CV8cOUafpSWanNJvD4O21fe9lsPCAMSv5090TsLXuZPG8HjWte69+x6VDroRlqdhlZh8+YG45s/P9fs9rfn99v+/3f/kv/2Xdtyh3fjz4zzABsnTa/f3X33uv+15/jX/ulf/6r/9qWn9lZiXR+0mVM/7QxAADWfe6M/N9r7Xu3Lkz430+F7CSivPVplc2OyYPdOo0DTW5e6/P16fW1ObavmxZQo1pe+21b3GmMldlvd/fa6339/e///v/F1C0kymUwwxjxBNOIgtSNMDNYo0YIpu5tV2XuxVdybdCUCX6F94IcO+91yoy1yZx9AFGV43We5y7Ug9CiuTa0TdN1I8ZzZgSu2WvbK2su1Rz51bT42cGvYs4M/1ZrvQodkB/K1KAoc5XGVuS9ICGRRFFxGr38HovBZePOXelgH5vQxGxyI0dquVm8tOpYg2LPARTGulWWWfTRo9gWGYZYMqDdC/FI1fNEbkr1zHrHWGGWoTl91cmGSOyA8Djel0jRniIlaSYv+t6mdnr9WFhc0xdW51q915HMN1TuMmcRwFQzmRx57iuMcb9vsmyMAv3SlSax/V67b1RNa8L5L1ut6gtuCmZHDH0GF0+t2pm8dfHZ5EKi6NTUrL3/R1jKl23RRshEGJdcxjs9XqZeyqI+PCkq1jc7j6vWZXuww9bPcwJyKjeDK/XS5f9+/v74/Nj2Ng7x85FMwJ5SDI7F5pkRwsUjKvwphVGWATALG78cHhIBDFk0Vaww/13tiZAGGBsRMIJFnJ0ehzPDVxZOww+wgyizuDeuD3/tvVlkch7QV4ONCU5aMwBzEqDtoQCygEWhPLsGLpR0qiIQ9Q7T0+32jh5pK/X6xj9s6ravPMHuukH63VdKr4PSvuUS3HvWgrEUsKaRD8/z+rjFN158axqgw0eFY+77aT0aNpIV28v63//3/8//8v/8r+s9xK+4uZN2AC9O18Y2vrCn6cuTGsVb38I//j8NLPc//xP/+k/jfMLzyPdIAzd3SP0hP/rv/4rwPf7/fX315ygfFUpLqadAat4BL1nBDvLoggfg+Tr+uCku8/ZhDS2xAl7paZi1aa11l55v1fuutd97/2+7++vv7++/n7f933fa62117p3Vq59S5FbGhqrJ2gkv7++iXo4kZUbM6rSTGcKq2+qvit+JhIZEPURbutWDBNhdhqOPvBq55jzMQDSfbju9VMl2SzdU5cx59X98JEp2WFw9a0COyhiSanXfDA8aKSFMmZjVK5OBj2AYTV5lDy0496v8IlN7TO+qrjVMRQJU0IrED62VQyZLw4zrMWI0VCqHbSpKXQGKLlVjGYbZr0ky+pkodnHhvSnmvPcxQ3jeM3cOSKy0g3xmlU5Z6hW3ntl3lUJ12FsudN9f+etCY1keIw5YNJ1jTFnhM/50mphjnD36/XhQ/itgyNGgCZ6mEeUlZmN65IzznUpd4ThkcUJ1zZ7Z02xJ3xkfc+wz18fuXa8gmTMiPC9cuW9U0I5S6Z7zNfM7/Q2B81fn3+4tyX8XkoGRRVfH697LXfsfQcj976uV9YWvk9yrS0W2pyXKcyjSCKGBEy+9l57zznd7NevX+/1rqy///7bR4yvv/7aOJmV7UOgeyV9BjYwx7DhYLhVJrmJjeZL4fT9/LlBj+hXuD968tefeDX031uB39JghG3gzKfBXU4bBPd2xP6+zdzIvXOOOSLWruu6UOAxesQZIa95aRJ/oFie5Z41a/Chz3VijESSBMacAmrUcPW7ix/GTlaGyW4IgPAfmnllFnwMF0F7rc71hneaA6k5uoWaLHp1cM15PbJxLjkGmRsL+M0Dh+LWZOqR/uOPz3/8y5/40wA7w2k7JYDI6gC5VsF2qRFTB27hbplbF032k/f73d5Ye6s4PfzwHtoOiSh3Erzf999ff1eWz3h/vz9/fb7v9167Kofitn9zjNGhKyXuHPP/+n/5v/2v/+v/C/J1yqpda9+ZubeMzfOAJLb3Eveo6aHFIpPnjkUDeuJgkK0w0Ikoxqv7pNPN4OnxK3MbkLmFsZiJ+Mh7accrt4DVb7Z4Eit/BqZzsnQCdWbBWFWiQq21x5wkqnpZqqZYk5dosAAVX7z2Epe3Duf/0IF6O1WHuFNMg/MAlzu3EEj5GsmeoQ49TLVed5X3Wuux2ek2pKFIPkNaO+e4eYxwj2scto/2VG7WiS+08IghE1wzE6zoEeaw7FzPtTogr5tsWlaOcHGjIbtNGZK7jxGknAGpbjzM9n2L2oQqGZy9XteYwwQMtkjzmLfXCUhoXBd5F8zK/Wv9Zd7IXSslqVcbY053v67XdV0RY4wZI67rFe9vd7l1WlYW6ObXVNJWXa/PmMVKmH/8+sOOmcm4PhghcE58gREBcx9QWQ6zeU1BgmamrUnWvq4ptyWDMxHuMQOgzCdkZ8JkZr7fb7gNd7L2WmNOd8u9x3hV7qa6CM/O6gA4mClmJ5towKi98zVivN+3hdPgHp1CySqWY8QYOzfN2QtNS+a4sCzhjxXoj92b6v6ZCUiUg47yTgQDjnBA990xj3vQIyWAIxOZRbcKq2GvX9P+wnxdYuZ8fg62Qeil4UugrMy55hiA6aGCYXTiUXdzWRVo1GXEQKBbqr1WLjO75pQMSludjuExA2z3YzaHLgs5Ymj/ue7bpo3ZjMO9997LxWOVh1o+SRfZqaeN+KBXjvLvPrQZcZvMFP6aI4ab0Wj0xxRz37eZ7Z1uQdTam1XAOmiWqwU3cJNH/xZ8Ejp5A4Bx3esMRuYe7+/3WrfWKgbLynWv/cRCUgu8zJ1f33//9c+/CP71z7/W3u/7XvdWifn4+CB54TqQbnfU2WNBve/3/+P/+X8HDxfWXKQdt6aQs+Fab5SMbRFqMB+OMDMrdeuN/gNgparjFgdQ5+wz/JWZoYis3HNOIATRaYmnwqqBzM0iQpEuIndm7k2GB7E9PODZzFqBWyIjUOwAd1/3rXmLxDBf7ztOwKyzdxuwAuTQsgkoDLayqPeVgGHYUIsnys3e+X6/NeQVCzZgQg2Lcis75B7hS1r5AopU6pa/q3WJrCk1OjXYXdOlgLvXjaMm+/h4fb/vrO1mMQfJe70vXDB8vF6HJN358kLD7n1nlYUxqyrVLZn3QKC+uIeEVMVqr28PLb618Smpr8NjjlE7xxx6wMl6XVdWr0AA7sxChR/sAlQMuhnufXd/1pCXkC7Yhvt4f30pHUlaZj34bj5el1uMa4whssVwixFzvoZZrDs1W7uHXGzXWjHM/KOAsOFjz8tq3zFDvPMxnHSSHjZED7Oac7yuKb5ssTy815BuQMVwlnYkCGPM+Pv769fnlXuPj1dcc+902DCTClW9wnHSpKJMtZWc44Lxvd/v980kidfna8QYH58fVb20lFjFI5xKDMQccwPuYtoVJNessjn+Wy8H9fvFY/0P0Ps/vRKww/8R9F1AngCZphhYFDetvXHKsaevy33AAz4cstRoLQ/AEqelqqME1c2wco6pTBiTOqkN1jsNqtBAvxZ9QC8CxBMAUMUIIeBqmYUVlKyPhRf24rdEaQ+DGbzIXLeWmRrem/UPjhGAjRGSPZgYQQfwMLN8squ0BsDjOTEg9UAK1U8zq0xmfXx81N7v9d0V/2ffcUxwIEe9tiKoqtQRlDt3rrV27dr1vt8KHd2ZZpDXY2aDbJXM3EJCnraym19CX+nyGhRGdCopGuM6n1dfih4JI/yELJzF+GYBlRvPkaGFVLRQi8fJkrurelJHDkCMoWYQBvfoxYpZ265WW66H0WzCzMJj59YJ0wBguy/sfUZRTSFqlUUKPNOG63yxI+zISjtu3bqLPGLOSz7DzxjkLvqguDduR9mrZZF3l9pT9QNANbIiLtPBGO1AhXrp7LUL5GiIk3f2ECB0qOsYxhm6NQnoxx2Ir8xtxBhzxIhoRmYBbHpVMY60QnNzkxTbibpgFo923QnAw5RBDfx8tujX41XlMcJDLXyRCCblIueC1yIiYhps548FodnjbGrX8Iqq3Ssu6wRZA/Ga8/1erzmrnS10WFNo6oih/SLplTtPWgT/AgBvoa8GiXG9PkynRMzXxyvCX68PUdUzc8z5cX2QVB4LHYgBcx/TB83MFLUE3mubEXu5v4wYEZm9SLjvO7lmBCzEMBR4W7SsPa8rPMwL4Ua4CzSMMa+IUFjp4YBxjjiQLOY1APOPyMp/Sts4rkoOJHVisJUs5sqg0rRdVWm1EgkkAv79TsdkJnwAhV4Fu5weCqngl990Xv5UZgeVzBSIYwLx7ADCtw2LSCKrS4YbwyqIOZBpGZ40aZQVS0mZYqtj9oclfa9b3XtvSBoFiQg/rknD3FDaQ0CLV/lQnSehPX4gT/BdtOZLEOhJ3d3D7y6d28lpg+ReK8awDpRoDoe3av90+AJqhD9UVqbHA6mpVkAxe2MgdyJaJWDAznQDyf/5P//n//f/9r+1wTe51oIhsx6BUv9qSpgGOcE41D6zWYNumTXHsJOMenABPFsNdCuNHvaVdgRk1fDBY8QmVST5vG/guBKJUiWNG4s6iyW6l8BsNKjIzIpxgBLSDDqwNcDKeyir2FY/woTo5tJja8gtViXdVapJCYOPDOpet8hZHqHTXRC5PprT+DfmbnJ1QQsU9t71EzgMkbLkVNYzTtXrdRUZdLOmS7YBiVl7J6n0N2ugAESIteR7bwmPzvU7x0FjcXTz67rW2s0KO2k/egRIadd7LIIZCXk8VPMC+qM8FFG42y4CuN9vANNmnmdQcbLqxJW7pSg0QFAbdyaz4DbnFHlaiiT1G9rPi/32199/A7QOLGrmiFmpeFVRaqHQC5fbgbZZcniAweUCotUDQpHeqL1ToNqMa+0l1Xyc89WIj9fVB7CoJ5k23BOVZO0yENzbYgQOI6vFKJapTCESwPf7SwBvkWNMjwgfgqGkXZCcTRp1AtN9zGEWBK92r5vuJkndeH2QRZSb5/srHLBRljF8frxyp8HHRYC5i2B4SJ59f39Z0iOuPy4WYVm5Exyva8TxV/d7rwXYx8cHZetLXa8a6/789cvNF2sA+rewjuZ4ZmaEtz9UGRKUkYO783zlD5wjhBrF4/R5CtmhnOiy/iwG1Fn/jiC50YWCwx3hCMdwDLPwEoUgygEomcWch/QKsSYcLOsFFwvmWSKz16HKMbNGDHHXBDUfGNQAwo1V3lCyymW3ke7+NGUAAbmX1OIiOqLaDIqP1/b4XgpSR+8aNlwBpFWikHtIOiQRZrecONu0hnoPJR8yf29JGdz9usb//J//s8SEEaGXV0cWdBpAsKjNrawIorfiGNeoyj7iNM3Zadq8AYOOlyHq5BxUF+5e7YIQ52zdikYaZwest95LzrbKCGXVlsEYzdOXymnMwQKtT4QhKwYc41eWMpY9nCzzICvMKZaZIcx3Y2tmJjmCGRiCfLp7Jmiq7ECbPnmfFvXcx9r4uFkBuXsJRBYTEkjiKAF/3t2hDkPWQ5l6Ajtj5MS+63Y6uln2xrntgABAAet2OvfjD0ghVNXaFBHe40C9bsdC/GDxwoT6Nz2Nkcr4FJ/WW6VVeIgJZe5RueUfF9lJuciq4mPWrZ/R88qzVIMRGB4k5VbAYqYCLY9//S4zzKFaeeJQzGrRLdy9YzLkOBVeWfO69loOjjH22gCu69L7GmOGlzwyu8NRxQ8Ps6rITrxGRCTTzEbMe717Ik8aYIQbLIxHs1KltoNWoMOUZX+6LqgT5Epb0hjv7y9zJyxGsDDm9FCQ6qW9sZvNGBYizYZLmgcz84/Pj+4KzdxthFtcBLd7vF4o0MKmPJIAsLB71DQrYlwf4uimCJzzenng5DlnbhthxRHjJd0AmZnMMqPHMMTeNedwtwE33f8SaCiwWd0HEwYfrqys8jBwBezOshHH6M1k9gokMeQJwXM4dA8HEDpCzoCmIgYvOBAaI+i2UWNYTqSXuVvBk1ZUSXYJ9PX6CEWsspkuJ5O9V6ACSRuA4mEBjTGsN372MI7VAR1/+u5V6/ikG/nQ/N0tswdzo8UIJbdpowOgMj1csX9PBdN5k00Yb9+u3rtbS3UaGzk15UScJknenHOGxJbQij7WWjHGdZkdrxkW19ox5FHazaPOb6IedqP1uxJUa4p88vB5DZKZNeboMFuZie/9/C0zU6r1QV1Mad575Qg/N7dZG2LzTA50c/lKsd8Cq2rMgeOjJor3YyaB/rMyM1bCjEyC3jyBQsmfuux4Zzfo1lwEGqwqK6Wyk40IDVbn8FLlq6qQp79jvbeY0BrzGr8/hN0HmTXS3OtcFpjJdU43idIHzzBqZKn9/wFpSABhuqM6oMKVLSzDcH2NezeGjZBXuBPcbSrVK1x7cmZ6P4EDe5l6/cwNgzYo1kep3iK7sp89cD8KBkiVZlZV8xoGuNve/QEJRFWw0lrr4/Xyk93k1lFl/SLdtEHda+uzM22ZjuJd4b2V6Wbpxa1U3uORxeO9rPlDS93KMYYZYk59JuocxwzR52nm00GHQXMJSsjWmGBohDJ5CzJiuGGtpa5rXlfPl2sLX1GXq1wayqYYADxrq08y7dvXJvC97nP+uryoHB4xzLUzsrBhwyOuMcY///kfES45ulqHEQ4grgtEeLiYJiYwJ+f12Z0HsPfNtUXbolOu/Np/m4cVy8LN4/LceRdHBMW15TbD5x+ff3+9K+vj85PFoX3qgxQ6vIdIGPppdUDrJKy1Ebo1HwwHkKtxN/52ftN4n52cSBxDUM0B3cgiqqVk3EYPLwdG+7/pq7w3gRDqYmZWMLdBy0wd+wJWxN/oR6CjDcTR7NqaP9IwhMcYyqKiRQuORBJVz6/SBEDg0d7bY1Dmi+dB06+SUsyAUIvdcISyqDyUZvaM8lUl5xySj7q1C5hb2HE0FmiDQLGQekaVc7mLhWrqTqcSgmNMTQyH2mSCb7UebzfsLh8gKf4DxhDQLC5mVbl5sna2Rbb7sCOd6zEF6JdtKNa8Zq6lGaIb/wOeqMPB8TpVHWwmRvOaqpjN4T83YlVJZw9S7Cl3v9dtajM1gBoljxVdx6Q7C8liUdyHumXWrHyQdaozSbdGw+ke3MzcZo9ZjTzfeX41vVW3RJjtg873A2wtfDBAESLi0VVr6Jo0bLBw+d05ATNfe805/bxHPTJFeqsuzu3Sdoh9flSme9PVBTk1VgarQ/xPlgYA2XpXNXfAzLV9QvNlesrEwT7OgaAPGXDbisa9F8FrNE/E3FG122sdEbHutTO1QjiPoY8RuuvCvci1b+MJSoKFN8TvSR+jnyb2wMHUe4d+FpvnaujDY6gR2Vvuj5AHMhKdlq7aob1IZVGpyN53lxwpzO+1cud1zfAAjEhzixHK4JKjdUSoozCLtdYYU5PEOTYBwzWv1sqD3ljxvvcb/Xu6x2kqbcxrzGEeI0Jzs5wugZjXazZD+hURMjLYe485jmuAx+xRW94K5j7CCbKQ3Fl00MIruNemhcPmDJ8jd953wqKsaE7jkLQKUIfmImbBQdaIwSLCynd6IQyDZcc9CoIdxk+738jPczDAOjLeDe5nFWxQgLCeqChEr4ItLUKMjxZwPt+tR3g5TFkZy2qaVcEtVDxPk95EfnPLnVnl84i/DogT3jIizShnj4QYI07pFHgCmOw1e/1ozTKUyJxtsVBPQK2QwtrJ4N5bT3JbORwjIAISl59n3h7AjIpBP76+I0ZaxYl8cwfMyRpj7GxdqKETAfWhRMSc42nSiyWFhJvtSnGfzEy8Rjv35jnq2IIaM2wBgLtINQ6C9Vduth+G/LG9O2Vw+BAbMlmwM9r3yVxV/Tx243mAiyJNKSVn8SC8l0/4H0vzkxakO1ch3DyRRqtskpU6+r4KIoaR1CQIxWSaTCBgZ1o4C21VfKcLFGf1TKavUjn1OJ7V+h/3XNln1fHbWrsbZ8DWXprfJEpHj/7nhMTPrl5D1TnDCGDOWSeQrs60SnYIsOYSWXtqFDj/w2YJ90iEcN899nXqvZ/Gpa3h8fMNNd8Mk/7DvOk4ogRKD588W27K9ivCj1keiXldkTnm2GsTeF2XIFbdLSkftJ0IyMZO11mnvh4z9ftWJV/PiJH5Ng83o/cUPq8pzbJ7yJVImLvGR9nwhYf1dtDczEewasRAuxBGneQJM8xxuSeBvVNJAHLJijAA1Zp8U1pbwGmesk09qbwE42z4RLEbHrRKWkiU561FlKcpDff+uv9mjKlPR+2m+4iY5yD0OcaY0yxgGBHXxyu8JYFmJtMXeTW66oN5jLHhmW8zZyEsbHZ0Dy0Alnz6wrL49X5fMYcbKArKcQXRStWcZvKVbcMHmUrThIv8Xu4TqGyfH/IIgNVSHKnXkwJmhwikTuOp8oRZGemgFdEosOM05SgTIbX5ppYCksyJUtYRq2CIGP2Ag1V532XWdgzhDZxpdDgtXrnpvOExIThD9vHgVeisDM7cnmYWmU1OXzJ6NAjNVEslqFSAiDCXsyxp3CKzhO106WEfNf2DOleoaz0T7lRUiJvHvJRtbWYeMXz8fiUjxPjsRKa2VLTjKKlqT3qo6v1Eh6uWjQie07QOpcdPgMmZ6GDGjgOGNeu/MzK5ucxs+NjVBCR9AO5WJRs+3RA1YqRie5/qT1KrgqPpU4lMhdDrrxaPbIXVtgd1EFOZ29QzUlAQEgkLPsMNfMwR5uF+y9ytzQLO+jerqpPiqdpxhIHizDrwOCuIBURy554xDQ5LqW21l+Jxh6U2LMKezda6W05VVSwvb6a2W1Otjqch7ZxBp2ngD/2hze94BmyzdlSu5iBOhRmw4TWhJD3lnI6/n9U2UogALHwIZnOPndsgFEdNSd73LTsggntvM5exay8JzrNE0sPD4+PjQ+uHbqx6sLJ7fY85IKySNW2q+1aVRzFZind1D21seHiP4gj48J07rhfFp3ycnYbSKMPc914KBKkOq4Bpd727kTezOcZapcgzNUfiwOuZ3bWy0i0sIDwCAu5kQoMuJu2tmYL7LDxypzpfUVHu++3hLpwwN8yqSFuLXzEG0RkYMaPKxhW9p4ASq4Y+gjHmjGnuEXPGiBjs48T05OJwZEjsvbWiMMSvP//w7yBtZY4Ck+kWDm3VEoTDiobyMa73SSCyAMxhDB9J+53eI88f1QmtARIkFB5fjmxy/4GJokOhzlpTTwPd4UYyAfNysxEFuAfExispJczMYzj3HT60/5WzORsRZhMAyGGGo9VicefWA0aq1qsbqjJGxH0vM+hozcw5huQwerru+67Kj9enIGBViuaP9zPjVR1p1HysXpGROkWPDNhOLpg6a82kKt6Szo0OcttVlItn4wMS9ZrtyqxSO69MNPIJToG75EsEMhAFitHfBl+QgrwOHMSsyr1lfdhaVrZhS/f70T71FPoLigqnztTDtTQSVUmflLlVZYmQDrmK8jGgh5YBJQvVNAehPXN79JOk/UQjQMZo1rxMgbHVgwTsd9Fsn+Q65B4bPSMale+mQUcykJXr3qKC9g18BGiK6tU7sp9aX3tta0duSISlw94j1rpHxL3ug7NVdUo77vt+zAfR5Bka4N7hXyQFJO61YbjfS9f5APQm9Vn4oHinchLzZ3A8R+9x+5B6QI8Mzv9loz2164fm1NoUVmckHAH2mJOgGFNjznnMJrNSSnKJxiVkA+z9frNqzKEjzdwFA6LdamNnuiP8pZ5DSPq91h9//KHXcF1+37fAOp6NkbsNH3vvOY3kmJMkAkIsVYIr964cY7yu173vzJTD2plW5aUqNUmRnGNExPf7a8SIeIkqLchxXBOt1ahMWBhgFqiEG7mAQoxQy+8n9tsjCAwPs8b3xvR736AmnAbZ7Xgahgdo7sZgJmEHxEjVurXNcjuA9e7wQFU+P4IFM1cCB9hYkkdc1wcMBr9e1xhDpKM5RhH5XjDEmKz6GB9755xjyHfyUAqc/XYYcGiZagY3KcWMi8UOCO4892pkBWALwR5fIDow2vFZxz21+1UoWHa9++379EU1Omw4Az6jUHCnCUOIOg8nK93iXveI4eYmv3uXrN/YyppCWx5KeekWMuERj81bVlplJjfgku5OdCuxICQh0WP8eHWdrWzzEOJqnllvUKrUgVZtYcTAMXSHGAjN95aYqOrgQ2cYB1mFOO2nSueunZljhBzB1KsKUHY3lhrV/vEmXQcJ0N2zF5ul7CEQxT5WS1NBbrPGhhvcsDaw6HkKZJVF4AcsbhjJ7IR2VqoEP8j1IZtDwIT+Vqk916hlMJoZdq4exXjWwloZaBOLn5/Ycw5Q2aTSXmeyByy5ZfhxRiQkQmHW6iS4PqdKoLu+q7KirENsqEDBh9LGyvzNp8HOQcYWM/YhtPdzssLMkVukogdRQZt7g2Qc4Jv9+Isj1Ne19e1PWpwyR72ENhqovlOid8mItP8nmVVjjqqUd3xVqn40j0s+d5plQec54JvuBTPsvcUDvOZ1JG8iZda6lxn8wDKNupHhnmAVI1iZ87q4lsO1jVlrjzFkAatEOaawC2VamT4RM7iFTduZ931HRVKSK1cOsKZJACPG4moF6HVpx1usMQaIzL13XtcVEWP0wDYidu6qpkW9rpfGUHObNnU31i51YEV6s5sChs0MMzrLtQ03KAZyvQnzobWVif0lc8MxLj2MM2ZV7tyaGl4WguxU+aIpJDWGnIDAchRD0YJQRdGNkeWWsFvptn2nmUiijSKYeefwDPOYc2qN4R6vjw83f80XjJ8fL/cxwp30YonZqFKr1ZWHJE9mU+mNoob4zmQXeZ5NAIgSWk9oga5CH8AAhmNFf2kF3GGPAvj8b4SFoYpZRgbKq+BioNKdoUgMoI6BsjmZ5l6sQ3tBZlr0o6jm+pqXlFXNTWGhGHOQvRs0l/Wa4gRstBL1ibQ15QeZKUNiuHlZfyBzjJ2ypyzaDyPQzIR8oHsQMRL5iC0ihh2CfP08/WzN94+7ensoFisQ3dUnRoR76NAQz1V6DmXUsPjQPY9RYF/rAxE/GB3rBwi2FEWVp+hZW9PgR2fHhoOr5yfVIEGf9eDvfehZHmpW/yszoygxzd7RQaSpxeEo82FFMWfO3V80b4WRnUqtUmlmYhz2vukB07LMwX5zTbGq5uifrXtpDyJiC7sDMq8u9W4nLkLlslpDa+q4taI/nb4OiMqd6jTR9KEjULAfn04DJVjTmbINZq68qvM5CZ02qu2wc+UlpFEz0eb5TUjVBQ8PUh4M+5Tm/tyrqrUoJAlVfx3Z3aydvZGWPbtjLA8VCkeLp+2U4nkrx7gyU7CvSD7TfYwhB1TP1MpArxDnl45zCRUlGQuPw39qcSBBJD8+PjRzjBgfH59iPb3fbxrk/CFfyHnNvgRmkiVn5nVN2eUe7yVxHDhiMPp9AkHtbXcjQgZ7Xa+d28ORQKCJDOfXvF7EsSKO4WNMuXFASQhHbmduZpVZx9Q9i+EzFCUt6C56vV2sEVGWJJ7QY/Fu1MAl6WGsXvgxd7FE3m20B9z3UoV0jyR3vv12d/9qV3MWMeaYc1byuuaIMcYcWnqYZPF41kLWCIWxHNtQ7plUcoxuXeDZA6uhYj0grD7lPgN+dr/KAQYQCEkhfpMTQxs7H+EO680x6EQ4wnyAy6nxnGUgLJrjPEbufWB0VpYaTTfDuToCMdwMiLLqu1+gP09wiqEJl0WyYoyjqqmI0OBZJPd6pDcKqFKjJLqZnQ70p0sU/FROqJ1Up5PmZjRYy4t0SBA4OEnr2rJKIoPnsjYg3EKZXuF2TRV6gjP3nycvs/QNteQ4Ze8wdrQh0PndT6xEBF3K1O5kUkuClpwa/ETH2QMHaqV3SMUCrdkKEJ7ta/3UAz6lxw22czmiSt55Sl6rhnqBw1Dj2RPQ5Fur9r/6W4WFU+z+PJMKoBTDSrERn3Kmt60ljxb1apeJbTDRfO3U4KeYlgiFh7rTB3kmACnp2o6JJVBY3kaVKdQvDDDNwcy9xRZOwN0zu0SKbHaWTKaWGdXJrloOtVfTcdTokeQRAJ+4ggZb1Cu66fCmjqw6zVLvzxlhWiar85D1qbmJsdq2stJYwEZ0Bpn2Iq/r1Sff3mTJmoLH3RNnb3yucclYpVhrr33AtGcEPAnJbCtG79X3g4PpUhSpVyh5RFWZjDPPRSEfAtU5kv2ZnqOYRhtzdG4Py2BzTJgZNtnOrPq2VeWas9TyEiDcLK7X7vj4rcrd3TI7QnVzy0DTidf1+n5/h3cDD8sxB4C6K2vD+BgoURhsWJjvZM9aWp4XWdw74/XyItzoAhjMJZPcJcYru4mima9ced9Z+fU31OqNiGCRRjd4ozumZ7oKhQob0GI9qlC0StH3n66yYZwksvDzrIt/oR2AllYLhd8oQAOx4MQE3qrLMJRXmpm50SG2vAGOsowwo1cRBUkJFRoC8Jqzkua2966dWq+zOIb3+vG3qdxZ0H2plu9IcgPjbPlSKJNKlurya861trhW4gVok5zZ+qDaW8TKtdZD/NCZStFxlBwJqINQ4rIOnvDR0HPK1vTUMzJzN2cbdDcJhquSm27SETQjM7N6EYijLwNMBIyzDH1mRmEoPBB7/8tWkqIomLtdvZjU21QZMzuMfp1uQmTVQYuPg2cmAKD+0Oy/SaRvbl+fOoCInpv7AgDuJAh7onsajtcKW5e20fQ+p3QMgma1uI1miG5UdCjBDDYwoVZNgRYE+zpD9FG0+3QdZArKn8A5vqpxBp7bo+dzLXjNIHtNbSYers7OLT+lhuLLj/dgH8AkdiZkrK8euUqTE7MB9+5s+twtNfUkK9OC5oeBrbuHMOvDwAwaAMzgtIRIlfnb8wsA7keuobmplWsl6oTJYoscY76ul9Rkovz3IOJ9t7v767rudaTO7fXPPDEPXeEzN8CqJkqdHl5iaXNaZseyv5otc82413pdH/Oa677FN40x3H3vDeZaa4xRUM9kn5+fVTXG4N5da5FVPAMYDwxoI2ZiP9OeFA8eQ+prFSTlswr6sYYvG19193IDIl7RHQUJ4LrmWquHUXTi6Zxj7xHDdeuNSwGlLI5Ef3baeAPWLrVEwLqtdLp7+OgHxywtmytoD7tSsx7OzUCSlcvDW6NHahc0qmguKpDurY6/K5PwyrYRExxIg1/jm2+bfrwenuc5NQ34z8lggv6PF1BjAqIAVQ8BAxgLyQeIdQjIh5sNo1u50RTq2LbGEpLIpVifn1tk1do795YcRkO6mRl7rD4VEKbJ3QkaWfmoeAwg773O8c78GfDpZve92DuRtn9xrRz8GZu6WS2ZZfJpZeocJM1L1sjdMncS+NmempmOc7U22O0CJpznmTBUtKud3E/0YDFGaIjGwQJ7JDjdtz6wzP30QypBIqp4uRYSZ/vay1jtQezEI9sBx9TmA8ZKHHo7RAZLvRfGb9MBeytbv51A3XxWVliksikUkHnWss+VtDMtmfwCGh1V/8x+yQWD71zRt7Oe886HKDXCdbp69zpTUTE1bJ9uUQwqM8mSdPC0VUaXbvYB2vlrusHZ3ZY9hTW7y9ZkcLRv7PIjHkG15P6cz8fGIHdmKsbL985xItusAbheSoGsSpYwY7ncaIFcz3lPnt0cdKc5JFU4rw/WQnEzk0Jbr1/HcERDjjpTwgOov/76S2Yh8+T9yl1Hm5JwH2MQeH+/zaxqaz1+XRes+UjrKDPcA49b0XldXTIOAqMjZIwR4XmCOnrsc4fZH79+ve9bVJ+9UwJ18bYjItw758cM1sHXglL7xna5iWAzAcwYZVVVkjWMEMOV51qFOn2lJxnNHHrvxeoaUQRYu8Id4zKYh+fOa06YEXUy1MyBqsK9GqvwaLFIHbODEc5QGRNJ1B6LM4Q9E7w6roP3CYcMD0geDINjr21o2fYA2g2nANAcVg3mwMzKfHmtUTUsQZ+jqoyhLvbc4nVuLOuh/5wBBicGMeTwP2BEOmyDA064Ie7fj5DwYllEGrfXHsgLdfl+m3tkpSRV9pN6i+dN/sd//LvR/vj1h/9MPUf7Kq/epDks5vD21BUftK8vPVko+OjkMXWpBmTRh7e1cjjQ0YA6Mufo292mgtWUhmEKlH/ql45ADa16VYfYg6xSVEjf6FV1UnpAhDpZdt3JntXplAd4siVvKHKMER7n53YHHu5r7fZ1wE/L/wB+ONC2zjZ1Nma9m+/GINqyTV4afnohfXg70yzNZo8+p/n38Oz9tlj/dZCqZnDioBwSeUbKRAy95a6f0t+DhNYJOC7QbBzsEJ80ACGadZENAJr31iGFNdF65aC9eB3Inr1QRRlMS3PzXlmziLI+eg9wcjJj+sispCxPDMiUOqxrlJln/yOibfIPh/VZAvOQRvFkQJrUwXvvqtq3TibOOasDgqxxYTIrpShmd9gPaFgSFkC7PWlTZA2UJbWHRunMklRNajXILcP8Xuu6HE2sUIqykRxDxiqQJnHOqTtfTTQOp6ANKefUZXOzMmvrJ/ZkQPGFjlAcxBjDYILDj4dKCLHXKWXu4tTqENXNyKLC9doJ2ZCZ+kvn8nPtPdrAETL3DfdMAfElBqCepv4sIoRzPXXWh0Z/m2OamfiNhtCjzsJ4du/EGLNY3LubFXDMiaeHMqikdL0hQu0/D3pOurn20mKg/eAZciG1zrDSFkxwnL4eAMsBRkxlJSXT3TNrr4rw0Zgy5KDYO0yHJ+nuJdg+hBkbDK9rbHeUnQWARAIPHQj4rfHvhUHDQYyOgPcChMERQLtBtElmwRiG4RXkpL2MX6CDTUlSX4rGGQzDlbPKf/zLv+JRdRFy3u9+qhHRdKk5RlTV3ckq6Ob08FV0cpb2sWLRbjaWrXLT0mB/v2/d3IKSImKthyMUa+8HKFe5rWKElgddsNrp3yCGu51P/cig+ic+9Orw1IFhStqsU8tPx5odtmfCHyR9kqU1Cf10k8EVrcmgaJrO8336gPkxcTu9oZnor/pbNDjtJ1fnTDAaPup3XJ7VgJu1j7h+VN/HR3aqzaqKoIf36/iNUMSyw5V6DpL+uY/96AMz6RvpHuvNAaG4V1qxoJ9wbkJNhNqJ0yCxcXcyZ0hRO8en2qpb7Ism5C1Li7hzUqjNp0WMC2tvTaPhNU4PjtNGmZ1Xgp9ANT6OqU9PB3M3ouTUKhsokpWUEcW6l15kq736QuOIayS+s9NZE0S0DsYMHUWgbDI/DkKPRHzMIdKDhu+nq5D/oCq4m2fudnmKOHscqvdvj1vvNUy/exHIqhvYtVZWXX5pbVustdb1utx9mmKTa4woUvvVfRAec1zXNH+dlENPJcXac3/YnJeipO/1z9d1kTKyPl2WJvII7tyZ2gOFwgOqXNyEx3zJTbZ3Y/TApFNHq8ExhqqIwfa9BUbNMXTK/rSGOombGzp4st705M0xpWvRHDrnbAShy0jM0fCGRyhX52gPzTSzMhs4CS7uLgimqc6HUBF9d6BH1H57gBnmNWNWWX18Xn+vf14+WUR5T7yP7ycc51kylINEGtKhWGQJBTTPGGEnCsa9yaAy6g/ExnBcVoOcRKTWf4VyQwm7FRndDLCd2y3e3+/rupQoULlFQ9bgDCIr9cHrUdo717oVLONH3AuguH2Em9FMEd4C9IUhFZs9ph2b7v6qklp2zMjNtW5dDHlMPkbz3fizd2h+zn0zm3OY+dfXd5xc8lNCxXdCVbW94AGyQEqQoTZWBxVBrWT7W+jEDXcLA+7c17zCXX7QY4Qg9Cb5lI0xbe9132onwyfbXbIfiWwZNh9MvPu7qhTnUgIxEuj1dlWVUSBbj/lHdyZz4DaGQxnCzHI3yVX3YJdcAZl9GpzO50eX588JLjRGT7I+cQgAk9sJzAydV0GYfCAaIK3sS821l46xzNLGWEeUKryaYtHvIlrXa61GpBiN3ZrZIwHrvwyTK0OJRv9+L70P8fPmCHNnxN5tx6S5WkQjNcv3fe+955xU33vQQIkQK+tlr7Ml59YC1szM9Uo18Hp4kbUTI6q41qpszpjcRSJCAGbuXWOwKkvKLI8R970ANql/J8k5h3n3LjrCR4yd6eSI0EmmbpCs+9aAgqyOdRL1jqSU6bqvXq+Pe90w/P31HW7m/vHxId7z3mlu97r7INRk487iuAaLW3ej+/1eH6/o2jnC3c2NN8ccGuKAEWOs+947W10VYXTY5lFHsmrMqXo9Xq+1lruZD/Scuslqlanbum/V3+u69lrm5jaEY/7Ln//yfr9JrnVfr9f7fV+vefqDAixGGIBL7MRUs+jupWrrfnh0UOJxyUX42OrJjLbZa96Qru7/drUxo2HOSTAzLXy4184hibZcFswcIW4IAcKCwEJV0GZsJh51rD9Gnr9bwjXcKzLLgDkYqMPfhMPs+J8V3MTvaU9QqQHAMAa25fUafHkOcJq9Bu6qRVRZS5HwEHhq1+t6efhaCusY9vS0wuQBFhNZqcI92/+tv0SAezW8C6sqya9kHRPustc3s4ih8rRra0tG5BhjxCDZSWTyFzqZ5qfNJME43E2d/3NMwIopbz+tOou9Z24BSzgMY8TOzDZbZu8QyIi++9gG/ZoplCVgx2ATr3kVa2kPaSIFtTxIBl1kZd9MrhwrbbDVkqOB4B/tO0lotQHNF9D2k92265az/hFy8WwzMnvAYtPO08YzQvhhjfHIcXEOyyd+i+y1qVunh0iWwtYE9WeqF6XBX0eYmXAdnu3xkWe7mVRwtOvjBdfGT636sOey9szdaxKtKI76Cj0GHUrvb+MUqoGh88oO4bKKuRcMuffX+w3Av99zjpFDH0q4ywd0Z/o5V3ZmFQeCSko4cXga0AGMiNx5Ft+CM7VLTjzepcLT2aVZj4g+7sr06DgFnVfuUaSxsramOYO98daEmrkteyWvYaOqQObea63rmhFDZYukWT3xmd35yqP/jAgyZ7Uzs4PMYq09x8ydMUZEZOVaa8SAOQpxRe3dPCVd+WSx1Fq1o0OVZqm2UoDJgGFEVIy9zzbRD/5u0t8UYK/r0vXJ7N09moKM8KHvpj/MnYms0TJ+lfc5pi6mQCH3D4J//vnHWltWodqLDERmKsKlT/cDqFALkiqf01oRQopf5E3sbs8CmDlYFWP07WKm46T/CT2yi/lC1HiaUVI7M1M9hMGqMrC9ahhCpcK4t1EozlP61ch3EGh/O21tEYaQHVDAxm90QYeI/RWY7KTYAPb18aq7KiyDt3NdERNjcAauy0HLhBsaRO7vxpXbZVKBYzetp/i0scLgq5hVlluP4d4H8SApweEcmn3uteaY8vvU/dFTwvl8VCLGnKIMNRRhMPNxuRBbO/Ile/ZpLUl4BpH1cgew9gqGoTv9Z/D3CPV3bi5+Kh5Qkr0aF/TX6lZR1pp8ov/IR+x0jHgq8nlWQSY17uy9h8Z2NLDuUkXhjHlKd0FDk+Lmnwg/6lQ2oA4/fYuwz07qCv5Y9VVlQxOnQp8HOLt2qqafvUWRyGpwyeXN20AQ+1uZuTCgMIR33mNDYF3kY57L3/+qKd52XPn4ZFj2c8WGB/HsUH+r9z+7lD5XJMDW/omNK+7Kp/wLQDg8okJuyQ6Ecatfed/3mYQi2nKqSYOdt4O67ybn/MSZiVlftdbKTPW4fiRLOpmtuYk/xhWs2mv7Kd9KKvvt4+4rBQilhOD1tVdWXtdLt72dhdaDO5k7WANusNSa91lxmUGJe9qa1EFiD/p6EF738GkzMxFtmqRhTDZBc85iWZibi7xHMHdnvBi8MhMniRPw4e4u5yX2GG33e4naJNNWjXd6VvVcdxAFUzdG7h0x+LOk6ZkYHQuqRtiPRW9bZj3WYfO67u9v4ZC691ra763wUPoCT1PBB4g2iCqqP96Z5pJ9lBHhAnUhD4z5+qhMAbwRIpfLDuTj+/0d5iOGbnIrG1lp1i+odpr0WChDP00wpmUBlRU2kg1tAwnMc0xZf33jpqf4KLIGNCjtoVsOQ2iHAJRjOFCQdCVooKOCNa2m7Qv5cl6Rsdp+wqFQLmplwXT3ndz7LAMF5QobRRsregebsBcgueMw3dlogJbJBDoVOnOvvaVa3DvDY+/NKFGGRAm9M7W/VXnYmWBhXkoAxznFzY3i7XKHdvHs/xfvRfvh/x9d/7omSZIbCaICQM09LplZ1yY5+/7vtOd8s2dmyL6w713VVZUZbqYAzg8B1CyK3CA7KzPCw91MTRUQAAIBk3clUeCekmz9XqcCzezMbKOUEQ41ZazXfaREQxWF8ACTjdRxzOqR1ggXDsybfttuhKvWzWiJFCXg5sgnZWaf1QfWeVISELaatrFElskrFFwUQNSnB297vWypYRcHsZYuVl2Bxrqi5GrrVBukimtl+5rUW43rXfxA+0nRnh9a9nyl26qu+O4fIoygqi7Q0P60+Rf00NUkCToOpnfrL1v1HBR4iAg2i3qEIgmrlwNglogKE+5zPybjwmFjifkIxEwjg82G/YiTegZf3r6wPCAQM9MsFYQoqiUyOT+1ElLZgCEry1WiI5VBpS6e9C1FMu9P280EN6hTzU48cnLMBMLBGNN9Tr+2N9ZgH4YmADLnMama7zM4P1lEhg3ZZN8PaeYxV2f6jD3oGll7yMRxHBQnHyiqT2TVBm7s0g9yo2tsDjfTkckwi3ilQilw+DlHZoqk8HOZFmZSDSA/UzOzaN/Abbu9Pd58f9y2LTK3bdu2bT92L4ENRPjYtmNO2l+fnhooGjHDVrUxOpb1FlaqoxFFKyqFL1FVsYgHa5fUxyVI1RI9rCe8okOt7iL+tpjaOCk1NQTMc0KNsxuGRw6FIEQ1fQ4bnghOfQdt+LjkglwQwnotID0VUhCB8B4C3D/iXDAbuAcAbEACEZi324jPEZY+Yo6wp7urD4NJjraBTO1qDfwQFZ1Z/NYCjBFAUtO14pvu5ljnFigdaXotD88Dt9ttDKPBUpFAVUpnDQosxLpt28GkubLEmpk55wFAZNbcMa61Lg5+0f8ZmieWAkSoynE44wRD89tA2b1G77UXmoLZNSSwaCyVF69O1KolAghVoYfTogkUU71SB8pvCwzJyE+q/njKPwtIzAhnkgVVnqXsjAh5Y21CRFSpxh5RKm9JL8HZtAGzDTZMTLrtU82MivOmZ6pNlEG3KcsgBdwrucE800k8riCXS1dQrtIg9UM2BzSYR1vx4i/Q9+R6hTB53z3Pva9W1eF0AAnOs5F2B1kMjOyUC5uKk83I3jkZFkqqWlCm3yM8a4pbHscx5+HTueRzTtUJyP1+g/uwUYIZkpXQj/BKIyQE+3HInGtLmI2MnjNDzNj9TckhinzKGn2SqrhdopuQsQ3VGgd/7Me2DVW4lwc0M+YiOmjoW0PHn9kVGKmAgKJDFAONyPN5qUSGeDMHpINOVnq4c60qpSuC3DaDQGGJYJ52Nal1fjJNxD16M4mQq5pMAVkHABwfIeUbVJWXihxqto0u59T86mrbFKn4QIQNBNWAyTMjZ450zti2DZxu0ne9NS+L1p+XJD11o/BIFY20igeC+/3OyiKTVEXsytzGZpsR8BWlAlis08z0mSIyQE0JcNgekETs6Vk8ENLVTcVU+4MI9FcdWPv5LtPP71ahQNo/0LopdAP57JKwxGawHguzOyRuFpa5qQ/kTQ6dt22IJZnYCq8ssEoGhlo6qMBHPEJvnF5MBSaMGJiyocoKxxb+Y14MQFZjEzpQLoNIPnJmWBczCrnPSY1DINnerarb2L68fYnphccFRgdZVlsaF1J5pqVAyTjvjHdHqdClpdNWaaV2u4m6jFjMLATGFFxTwqg6kJlmfDPtiIyaSOLhCWPrp0iNjbRhXr4kk5ahSfTLNKopzLpurRQQBnokQ8NI/k8qr2UcjgHkGEOgNkZSrAnQKoNzLFhXAliA0JpuSoBWnlCr+soomgVb/nNZfSL6lZVkWaPiDDZU0kALq1DotFK0T6l2ubqWBfi5C6oNot4kMrTIoxUgXWKDeqKVBKrcBxM1zjdlnYmVvWiK2tNTUOLYp7v7vj+mu8/5+csXALdtY/l3jJFdW2IMR7w+jylahEgmD3h6ffr0ydVjQpN7Scr9123Syp01BuLoDNVEV7x562XeMzQNK7UYJUyUSKQC2I+dIjkVLJZGmwIYNiCII+jvQe6WJEeUZ7U1gHFCZjz2nSVNNBSqNFTru2wcM9ntF9qkW7rlo8JZBfVjOtMFdG8LhHTMqCmFBmDfdxkixQctZ8+C7Rg397ltg5fBrr3ps4gDgOoQYYfQvN/upJ+y3l5nSETyLBdFhNJS1VgCW6SMLDZ8MaloalDVs7YjqiSVkpvAZRo2Zk5dzaEipeYvolEcfikCmiZSXCWUE19iqO65Z4RB1TXGBljDf0uowgymEIOxIKxYLoAeQwfsDgsY0WRCDfcABu4TbzStE3PcECN9xDQP1Rg4NDcT39ly2h2wBbJYBmSJQ1A5H53sJxIgkhADlWur7G85Jln4USOruZFQiCkKVSsgIOLuqkNFwv3Hf/74/Pz89PGje+z7fr/fpMPSY9/vT/cCypztB4RPVo0iqhOKCKkK2sxdlUbOGcdUsNA7OwQiCkcfM5wxDZMwrc6XnSGivZoZjCJjT7Wc020YBQWiZx+aGsNE0TG46ROsQktlBSrhwgwBjw3zbFpzussf8BKow93J30oY8zBT7aeIg6xjl3CiZGoNkKpjBnln6MsBQDh5SaRGxFQoIALSliOjdObQn1+Kn6dqRkZ0XFUVCPpUZlrCp/SAuY4u0YmOFKGsAlKq0UwSWZ0MZfOZ6ELHTCgbzYrGBCX5sqZmdmzQf4ucPsfwlRt68udI9+nHcWTG4/F47DsSFLh2C4/4/OXt8fYGwdP9abttZpTELS0q9oFyR5U6BZu9iz8uakO1lNJ9TpZPl4co/BhBfZTeatUXQt/FDqMoAXDLCJ8OzF44IiE95kG7drL7O9dReMhjmXUOs6R72sYW6T///AslbLex7ftONtHz0xN5SsWkTLBVOCNkjOZfiJhiMtUpAGepc54MJfCqvYtk7iShkzo81LMRtZuJyL7vtS7MLYd0iinHGNs2arQyd1gTnUk3Yj7Ngzrlnd6RjpFEet51Hf6eYJhMfcuK8qtYEJmwbWiEyMbtEaGMlrgCZxEbSE6HTYwGKVL+ojP4yBRJaSGXyHREajVZhGcD/fWGpG3Igls8Qlo4LDvNKgpTyARb0c1w2zASqXjaMROPKXIYtntiCzefJq5mYI+npAo4YpCuNSUih+oYRoXnRA1CUZF939nFLgUABTTiLJaS+QOMQWYT01eofgiWZEPQDAqCIw9/+/L29vZGRte+Hx7uPjO3mAw5OVi9igpBOXOaDMLMCI4fUrWiEjWa9+mhhFRK9NFZ8Y6rmf5agkxSP0pUWYGdydlZjgACoTposg1DVEX0CVSWZRODCrWpOLJKJeWdkskieK9UPYVCaaQZORWEgTCxRlIBkfsC3/S8oLIsUNoQSfjejSE0AKgGaxWj4rHIsv4N8eveoWKo7VVy2dWFUJo50QcGmSU3vcrpWWOcAsmLsWyuv4BTQFamiJhDoxlNFWKeWYiUVmriyagcewV99fcIZgw48lBWZXiFDlXOzYiIORnQp0elhhgZePg85vPT4eH7Y3efiwv/9PwU4W+Pxz9/+ufnz5+fnp9eXz+8vDybDc6HQMQscM8KsEKEETMnAhFjUjCH16PZYBOMGqOHjzdBoIvnES5i99vwdrQZyVKT2ej9W0aLH+fp0uhAy5WWWYkMiYon+FljFEPp5fn55eXl7fEWa9ZQVuIrIzMdyG0byXJuu1hQBqM0JBhSyFSEx5HHJkXNVNXpMzP3x2POSUlRd88MNqzyRrrbqxKzqrpTxXl6U07YvD24g33Obduk2r7weDyqXGdpY4iK15i/kvaMHigkPXSISIg1XrpGEy09Pp5ANVG5yW1Ozq2sDAKHckjRfEJQQl4jpSY1osMHQUiWjltGbBiYqaYuD70ZYAjd1A766xKByFFAujC/NQfUEAYYXBCJVDAFoAk5EIYRsG/x3Q58wU87HoAmQoemRmqAYVw6qxp9QpyWWCGayQlqEJGh+zxIhNjG5hHbONlyfPxmpqGJ5EIzP5glUSAL+yMrthFBFxIwxjCVYx6//PLz47G/vr4ic86Dz/vt7cGOFRVVKx2YzMxZlkake0ozg8IgUkDMxiAt0plryVQJoSRaxQNYXWIiJWPJiSKsEKIaGtSGqRhIfaZ0RJJn3xl0rc1KDzpssAlliVgBabbhYtNFpAWiyB6rFI2KkmbKIkIuMwCO302VkRViSnkLZLJ9TxJZkbWWtiWq2UEqYjA1iES6Sql3STN4eM1DSlsUJHP2IHVppQEpb0VWvrMWTyvAJIxQYU4KdtOQ28rCBePpM9FMcyZVnAmovasGZ9b4CF6GVldhOYYy9v1WrOCLLeNfrOFEVudpqnltmKhG5zmnTx8Y29jw8uxz5ge4zy+fv7jPt32PTFH99OlTIl8/fIiIOeff/vZ3Dil6ut+fnp7HsNvtlpk8JswvTfapAap2zBmdTYzgWIfyCpq5UaggsR8Hz8Uwq3mQ7IoIGhr3nVIr7CLmBFvMY053RTEjiXxJaNaSYszs8onnXIPqsigOYjaoByfQBG4UbwfGtj32nWv1+fPn23bjplWVYz9YnTaz5SzZOE2o5+77XoMc5mQyx7bbLbu108zysdS0wJRdRKjpNrbVd8kKTe2fxL7vKqJjALg/PQkE4iKYx1QzIEVMReacx77bGACO45gyhw0OtDGz23bbj11JoMjUMUh7ZenbhokLHzQnv7JUTs3gKoJG0hmwK4RIVVVGsptGWFLoxAjXOgIyZslygA0EcrOdbTOJYm+eyeiQ+n83xEAaclDyF8RFQfivpQgkAt1w+w7/arj9Ez+94TERgAcemWEqDkfawBgIiUyHBitz7NwBPUF4zvBWJ5Db7Z4ZKuKRbPLmA84EU589z6TFx0Uyw+dkx022pGIli1my9ykhzNnd7ncb4/Z0H2qTAMSUNF6IiKk/DpL1S/+rK2PLCIw0NpoLBKI06iumlitVS6rgr4PiI6oiNjYmTrTG/HEcxEVHGlDquhBOqYiplB2ujjOCJmsTrGpZiV2IWsH84qdV9ASpG5Hm4PKbZCAy9yOddkQmOLCTTB7IacGbM0wRq65xJUniaHXSyuVLAUP6HGkiM9BzkqBkX0ofTu0Rb5UvKvgfFL/JzHAPDy2TyzT2qnnkCfoj1m92TFAvkARCRCtnh84vFUSpE3HWfGoScYURPBKZoRGR2lLPKN1sd9zMEgj34rSQyS664gAmiajlYPr89PTsc6rp8/NLRITHfuwAbrf7y+tLRh5zkiH65e3L25e3OSckn59eXl5enp7u223j5mdj0PRJ/rGHU/wjvCQj+VSO6XwWIhKRLiHK4YuYxwz34zi2jdK+kiT7Z0KSjZMxnfrUFIVuWQiIymCrWkkUxTY2LoMIewbZcnVstw0inI2RseJjDLOZwCj2p4jMedxv99vt9ng8liMXSjp3Wwa9I0Ug0gyAe7gHOXsejgkbAyJUj3nse4Sz/Yi3oKqmduSRmdlUdF3jJTmzKAKUxuss6DGnmZha7mlj1BgSNhu2bS1PgkqfznnwwJS0O/PUEQBsVEKs7135BE2FVEZVISWpTr3oEIrQcvoHpPifIWqYEQdimojBH/sA3h4zbwOaMw8IK7fJhgDHVORAbBCDKvSO7Qm3Du4yEBMRiGhxUIUpbp/wzSd8M/C84cOP+OcP2B1vyF2g6W4ptzR7CHaVSAMkg7AzvSxbpCCL/aIqgVDROYMEx23blhTtnLOa0YpxQXqAT59WT929s6KrsSpLtEOPYxLCj7GNsc1j7vFo4rAk4jhm1tCMVEtFNeoSm4jqjQMdq3uXiBsQSc5Jr+YlSI/Vri28SHldyzIb9T3awZrgeMmQ4NTzqkKIAClmWhkXtUq3VL1W6AiFdVSrXwSYuZHMqDIvC0Wx5DFo6oKBfGS1F9Ggi6qHa4kqCckSqiWFo0J+Q4nfki7VLcqaJewhy6XJZUV4OIr1S58t1SxVx6ZbyXibWei7u5lUXd0KZmpQ/idkHbZsCmpFGBnZ9ZjKKlRCStB5WJbhoktTySZVuoZKQTTPpR1AtE5OdoQqESS2lxdSG5WkrWlsEVrxgiWKLnILDxvDp+vQ73/z/aevvppzfv7ll/3Y55wJBKqZgDv//vTEQPnYj59++ukf//hHJsaw++329Pz8+vJKeRmaRU6CHBuFcdIjdz+GmvtksZzNwzTxvNaIA0oVvNrPEubwrJKNwIu/RVZdhXXNZxcT8k1JIhMRDrxa2+D+dP/p558E8vz0ZKpZcEJESjd7fxxqut22SjahxgWzIZ27QkX24yB5mPHQ/X7ntp/TE05JH9L/U1NVxzCKqKmqzxmR92078pBuXeQKH15SEMSFAJhtg2AA27bt+17xopYuPZseVJT9CgLsxxERzCCR/ENVO1E5jkmPSNdSCStmokZGhI3xbM/JDhJTUsy5dLwRbjkVGcmOTmkGTIQjVUyiarjYw3aMQ+6x/fKIfELcdbs9HQ/HHShiTMn90/TfcLvh9ozbgBrEAYdM4EC+IZJjJyECGbCATugrXgWvGz44VDAgEjPU097c3lJ2kSPhSS5RsqYqQE+nKyiKIltTP5zjpLmJq50/McPR7SHWkw4jgkwDJjwq+Mz645gHy3ELHkrnvHmu1Wpa6Xa7UXnwNm5qamJFYFc2tC8D3QFG79pctGveX73eTJUHhXZSRKxbmgiXVA09AZjKTpXpoTVk+qPgdgp09T1JN53Vi4EqyPIXW4e5MunskCxY3V+dfKkCV3TK65TalxTYMM2+KOnM/6ojk+PGM8WCgHbT6/oDZe5Xpvjyf/3FNybltHHT+d+G4ZmhYcigxCYBdHpKVB0kunbOEkEEVyU1JGuCSiKrWT67d0TBNJIgQcfa1d/2DGQ6NR+zFgoQs766CAVKMyMqVKg6R0Ug1hlLVvfqdtIinH2a3PzbdntN+Jyvr68R/njs+/748uULmxNpkpnxGa1d4x7HMX3On37++cvb2y+//PLx08cIH6NEsw8/HDGYoxEN94QOG0fOeXiFOUzTKbWqOfI2I3PYGGak6xAIq0iIro1qWoPG2FsPIB85RpUrmQozk3XvEWv2X9X8WA1WK/6+sAGCgnSsCYX79Er1BZs1xIZx9t+ck1O4sxvZdA0M7+iED9rGAMU4CeeRTMCqSgTYEpSZKurhLHFnZ7RYvItUZnLQOMUjwtPUUkjzLW4r80780ydqToCKpmUezFZRIYPQNoREErZYO/uH4tTZpmOb614AZEbljmnpmH2AJIXGVE1m3g7oQ/3nmP+Mp/uWKV9+eVMduBdxE7gBNuE78oAeGAEN0r7gAT/gb/Av8B35GS41PVgEIrAD4dCJ8cCuuFEUXzOgmEf6Z8vPWz5GHBOeVBqWEv5DuFPTeRU3WFM9jnR3JtGI5ljwAagIyJGnWdzrDPb6AiCRVhJNQelNqmo1rU3NdGwbcyhmjeXFOlNjhWQvRctELs67iJzmkNY/U8amyz6WSW5tIFXaBVr+ps2YqI5hwnY9Vm5rqsxp2WvypJ1G/vx0jvhMVJticXv588rkS5459wXD2+o1tkZzaCKi1W7Ac5kSmCaGYi/IIoXa2Bi+iIjxAgTCeLm91zKV0rmGq/uRq4N4D/nrHywqd0Jn5WbEHewDkuk+IQgJSfJio/rgqeYLsiYEyFRyAwIprOGveqxUOWSljLg4qhJF6tJSh650Q7mQDmuInlKNMUpAxNq9ZrLtplwBsyYlLxgRiRpurNBIh2pOZ/BiY9xVTO31NaZP9zj2/fF4vH358uXtyzY2fdYEuad0B37sx/3p3skWfHnbPdwjX19eErmVumKK1nwL1mbpQnTwkpLr4NOhCYpJWKSOjBTInN6+OA7G5UqOXBBgLWvFXEexTsVKi8WkVeeq2LMfh2q1Ew6MDgrNLFveuYKIkCD/O6Jadh/7IzywITMoHF3zI7cRHjWeJCIzTY0iIoP5rpgq8vz8vB/79ImmQ9gwbeHS1Uu0OnhVNbw0/GwowHxyiIoaZebrmJfMSFZPTPLdgmPP2cBBpVJ2DIRIca8p1R7sJrGqXLK4wvc85rGNLUpEKzMxIjIxCbzWAVu9CfDQaeMN2y9y/4z5WeNFvv706ZfH27wLMHhEmeLfcbzheEEE8gm3G8YAAnkgfsb+I375CW97JX/UwKFfAsgP+GnDVxM5MAAMqB3A29Q98YvnF89jxuHsdGe7U4fMMv1QSu4gwWmriUg/9uMtH37M4+L0Kopv1FsG1pQFnxJ1WsiUJsoKiXSTSNtOiKj0KCLVEpyoB1lis1oWdiUtKpetVa5kiKVmUQC44oUSTSurL0z9pxQnRxkbtNKB2Rg2ApzyagKI0SlU0EeSJJ1Bo+uVKWp1G6DiksbS9dNyCDT01UpWDiBX7jtRQsqkXpf4EmkdC7CzRi0iZqpj8L+l0dVwECVUufzUO4v/7t+X71e0waJlAf+CGFkcTBBUBwkPyYY0U4GHhs/ISIkMTYnCUBKCFRCg7oJtUmYAUug4qtTMT4+OOyBJxBYtdAGwWVMIYvqFS+g0E6nIVKJa9t5KJkt0RYnqmjLdaZWU4Dyqpc8cESKY7sPU50G4kInb/TZu24ePHzLz8+fPb483P9x9zmNC1Mb2/Py8qEc2xpzz8+df/vnDD6r28asPX3386n6/qxqTfDNmJJU9Mj2jOMT10EpS0EyEXBfGnro0UlcxTASScuwzSccQNgfo9OmRYJ+zSudmpcAKIwDJCJ9HzDlv99swC4+xDYXMWc7Vm7fK5dbKraWIwLHPXXah6dRumCDeInMsPNjGLBU8BCSTQtAbwwAXkXBdCN2YS1AdzUljcLyNsechrKJBPGLOIwFN2cbGnlZOGgcQmdOptbd1xMw2vTTTGGMAb29vNsynZ6We8gr5GdjdbpaZ7llTJUSl9cMByciRmZQeq8RtabwgJDGn3Cymx0Pwg+Oeinwem6blpmE4nhP30VJu4Xh8Qf4EfA04Pu7wL0gFHpA3+D/w9hMeAZCzrxgDNnBzxE/4UfE6cCMFSR55/0XGP2L83W+f5/04tt3GFBzTp+ce6eCgjOPtyLlTsiElfVbFzIYJdIxhqvf7XVUp291sRioknBif5NyLfWnqelVHtXCJNDpvlSG9rclu7ViAQA5jraEqnpkssAsAM60JxqsgasrmpaHjJMyoCEV+WOsVNoVoGU0bIFWZLmFUFJKcGibVnlbGlHIIjCSk22hZq6i28jOZIqJl8fuOek3asNbMOJ4UNK8lEED2rGQGuxlZKYGsttxyqabG0hdHIOvK3jMvLMvtnNl/4LxEdEnsJPycuZ7r686uO2ggMyCxiRLdhThnsgXMA54piggRsIxUz9Rj1ueXiHG7HLHC+j0RjISQKHqCdENaVQSiK3kVyjAoyPJRoEfu2Gk9Dz6jFXyxiCB1wtm7MGojVTcQRAWJAVUViseH19QtGpc55+vr6+vrhwifxzzmfhxz3/d5HPOYYxvjBjF5eX2acYSPx+Pxj3/8469/+YtAnl9eXl8/fPr41fPTfbvdIlPUIZQWBICYccxpWvbR06lf5Dkhgy552EiJ0JqmZ2pMYZOwlzXBClUQUkQyRjl41uacWVROVdUvx5e9mT8Ewmrm4aM6MhiIJDKV6D4ywhlFbWNTlTE2xj0UiodgGxudkEDYQqSm7oUzmJZJQE2lp0bFjEozUKfT/Xa/RXCLiYpkYjTJh/tsjHEcRwZVVMG6bt0dtSA9wgOj1LazgdAYw+f8+uuvv3z5Qr0NXsTgFNvMSVVXLxmb7nGpH93HnecCArL0JCJMqDmDyNbaSck8VIfucvti+18fsk98Ofzj26f/66Ns8kV134ar0Ac4QjEd+4/4+++ghsdnPD7g+YH4T/z4BQ6YwjY8s2AwMAJyAA98ueOXO3bF4/WL2I8Yf4rtr7r9Ne8/j+Pvn7/8801+CfkScqQ/ZsymJEOGyLbdnp62BojFBSfIrgEXUlY+s8iCq41WyujpWkSr6mhjzjb66EY5KdOMrFms5wtBKSiS8usnjBa2KhA14VLar1BOhPQv3hErQiYmooGg+quI6uBgI1WobRs7XPhGjD1FFJJWCfUSnUMb1vzVDfXd0ynSgopWPx3O1Wxz2jC28znntytD7ZOyMmyDT9W2ZTj9TMVZWle+auHL3zAEOb3R6YRO4Fams61y+4Plp7LostlXzZRMUgckVcQrAQraYlUB54RXmVeSWqmSEWIjF2DPpPbFSqG2cBBdNZeN5QNparUmEO4mJSbIhywrK1VxD1N4PHQ86c1AuH4hV/kjEdTrFY7MFIFxnLJmJtlJhcqHaGpGQqkubj5nIi2ZYLbt5k9Pz+7Hccw5j/2xv729HdNVdYwBZADp4dP3Y3/729/+/Oe/CPL+/Pzp08evv/r65fkZA5zvqCZAegQcCjv2I4Fte1YZpkbSUsRFGByI6sWISvJJbaxCqZ5qrHaScRRI+HT0TK6XlxeyupiiUdV5HFY9NPV+aoxca0lrbIPI/X4/joPyCaY2j8n1mZj0TOTpSUNjArLufkgz9XQr4U/wkuacSEx3Y7Ujo/qBBeFJhDfdtzHUDLN+dDx2jtM5jkMAalCHe1so5vkqkvc4HvvD3Tn6mNfM3OMqVeYpblZlJrOhWmNXMnKMEe6jz0jPluu8YyZU0+OIfebPkiF3E089PLYfPd/e9Bd7+r+eI9KfEk8AhiANaTgGJPHlB/ztwMOwfYH/gLcd/oxblFgQAAlIQgEx5F/xv19w+Oe/2+/+/PLz1P/0p8/b09s23uwedoOm5O1JZaQ8Zfoq+ULShX9BZycInURFhKqol9pgJY5V1pTXle4Q6RFdWZQSgtay8CnJWu1KiyRMRWlltfUOe0zKWYuluReI59xsO5F/c2NsmMJSIpFGPQTVrIb4njZDY9lVoe12YxqIfKFSzql0CidenRFArUd9MJZxXzj6ArhXPr2iBDlFAuTqBRpPcOcIkCESmRwfLlF0oGwcXua/rH79yZsR6QaE7kI4gf96HoDJuytBVyOKfroKEiV5hD4D5TUigjMURASIhCZGTAxQLzdUR2amRGawYZBZnXKjBUnTSsia7WBKO1wticspAmolqERXoEq+XA1CodPEyl/JAneVMejSer0bbwACy1UegMCieEGhqkx+dudHujtjNLatiYDZLRVNcXZIIVLDVZTqfDfcbnNOny+v6dMzsW03Dx/b7diPOaeN6HVATD98/8tf/vKnP/1JIa8fXj98+PT1119tY9y3GxDzmGMIRJDh4WLq7P0XATB9IsEhfUhXNQ4D6qYwSYphqJpoOBXogEyfTqAd1S4jnvn8/BIe04+ff/7522+/SZSS0nInw2yS7hmTlUIANgyt97CNTVS9a7Bvb28ASArKzAgKPUc+HtvtFj31j3mIyCD8V9RMQGbw98d+u42InMc0U7vdUN0mbqocRSBS8+ifn58jQ0Xv93tGmqn0fEpCATXLOcuuV4jfO6F2EbInEo4xFql3zjnGeHp6IsrcHw/GBDfbkDmO/UgoJMWFoXpSHSjTUnd1FdPD8FlSRHY8j7smfv77vD+bfGW3j/fPJj+DI1kh2AC74zWw/QJ8xtvElwn9CfsDueH2AduBA5AABgagB37ZkF/ww1//8MfnHx4vf/fbZ9y+bNsXjB3mxgZDVixtmERmFd/YpG8AOCqd3p1mJlt7h0G6dD6uc9xSGL1SQJDFzCk7WFXH9Q9yD6Ra6wqFaUvItm2i8RETi+w5Pqhk+qab9KDZNncVTKiKak2+bjdQoozWM0LZNK+DPYzDbIxtmJFT0JkoVH1sxSP911UfPS8e1RjaGY5sMvUl37Je21UWdLolG1GmZosQRahKUqQnCwmKSAYWZ5JejCnX8gXSuTkp11gcscL7zDmVyQda7addQc0cliv/Z9lhrEZc0XNQ6IqCzCyQklKSqxUp16MEclWRg/r4meRRJWDQzi4VNhTUZOnoPmOFMDUWEZWiYTAaVUdh/d1LmAmMLdiah5bCrr1lndA4b6o5SKaSEBNmVHjrZ5tu6XchecE95yQr98Q5QmFp7j62W41zkUiR+9PT25e3fd/VxhZRyhD0a9u2+Sj1semfv7z98+eff/+fv3t+ur88v7y8PH/48OH1+VVN3WNOn+5KURGIimzbbc5JHlZVnui9ZLkYZCJnBMU58qaqxzwy4S3d7O5hA5lzTu6Wjx8/fv78mZnJcR990pgCFRaiVZX0EKZBtEl2RYWJUGYoRSCY++ERphoZIqD5joxjHn2iOKUu5syM3G6bqYWZiGbmbbvvxwE5jjk5ZYFxSQLuTiGs6Gnbx3Fkpvs0szmp6JljbKrkf0WFAYJQvLy+fvn8WUTMNCFRQhFsTi5V0XlwfFCOMahMNzYDbmrGbKGZjTldLD1IeMg6HQaBiInBVIamCEZAbpoqIxMvOj6/5f6Xn/fjLb6/5zeGVwHiC1Rgv8cvf8XxjPsdzwqbmF4jHxEQ4P5AJNIgjrfAL/88fjz+8uPtL/Pl8+3157z9Etsj9OE2RVMF7DyEKoz1tkGVtmRbaiYRPSkU63GKMPup/Z13CYTUxqSXIKDGebec2do3wTQL1hs0CrWeyMECqbC0y/lEVgXbMv/FLAKq7FpfKGdQNWBI6mLt0CkVjZSI38yGmY2xqepm2/ILUqVUgr0a5kcXSSyvJwEUJ7At4y95mRm7XpVNREQnstFfmYupmAC4a1WFsloznRN+hmnz/yrRVperogxryitUpFTvLsvx1MVSh46qSm3bzzrAuqqVrpJSvwKzbitJJCrVckU6i5BLy4OfJP9JImqur7BnMSJULWsAoUuJyHLuQbmIYJE4vDZAVR9C0zJjkLvJ+YgZnMegUhpQloomUrXjRvbg+0o2ZZrojNkYWgQsQ4u0nkRVm3DK1hUo4ZZvXiPdYTBqpqY8TCJM1Y+53TYLi8xxaESa2ZM/PY7dZ/WCsm1q348QjE1QSicUFop0Z2OBiNxv99ePr8O2T199MrOXpxdHjjEC8H0X4DjmZkbC2+02+M4RKSbwMDWHh+fYhvucu96f7hGOJlyE+37sqko1oZXvU9OkDEeEqG46nAO6Ex5OAkXUiSjCHnlEhepO6VComXcZn2s33Tkhil6Wmfqxbce+l/utZpI007fH277vZAxHxNvb29PTU7SyBXO7CdAxqOkvv/zy/PRsw/Z9JwLgR2ujhwykMLNtT09PHq7QcOcAWhYVyoJBVNVsmHnrI8moOb4Y23bsBzLH6+srTbNa4URRFYWqmAyoYRPcJO5mWw6NuWMTiYfgLfVHjz0kw273fdhx3xzxGT9/LpnoIbjdcTeMAWPyRyECA3THW+IIvMk/H/mXzx/exsuXl6dfcvsc90eOfQ5XBTaOkVGMIZqJw5lXh6QiETAIGH13Pr7zDmBXxbJ6C25L5xkaDosu5eBKty3TAxURG82P6ZhgZcbRFB1mlooIoRhncVVXFyvPdnuXQruXTyyejlIApx0Uo4BuRjdj471t28a4lT8QkZYJb+zP9+QnL6N+QmecS3FJu6+FKU9QMKdS3esNlq5WUmKFpOMUiu4MQ1RKJCuRwtW3Jnm2z2sSkIiUwkSvybuARK4DA+uM9V30Ienrr/COvxnlv0o4j2ebHlpVOJ88RfjgCrkLSv1N0KSnkqbISPYeV2ggkculJhsgKs5CuUbyWWuRkwoJar0mUAlAm+ip2WInco2AUDgko3L6hJwpSzn8yoCt8UcAtrFFuPWMa0iAF4LOBVVXs2aGmmX40KcgAzvczKaHMUGB13n4cTyO45hOfZstEcd+ZERaus8IH9wFYyNM3o/jr3/725xT/qjPT89ff/31p08fP7x+GDaArNkeiHm4DYOIRIn1a/HjYtjmGchi16mYDI2MbdsU+uXtTSCUvzbVEkMXCMDROHwM99sNBg5R2R+7uion1+tGUBPHzOJ7halJVRAlM8N9hfGrrCSi6Z4tUMpWyeKGZSJhNmAVCprZ0/3psT+O/Vg1L5/saVJRSa8DGLNmjaB5ZSUC2qMbeHPuIcAvv/wytqFiLTz2jsZy7McY2+227fuuZqNOIo45CQe4LzNz2Haza563nCIRkpoOUT1SJOyeuKvOxEy9OfY9bsPvKl/+Fj/7W3417Dvxb57eynYk4In9DaF4HrVDQ5HAmyAVx/H2i/y4P7+N2y/b/U2ePrt+8eGw8IEcUImiUIuZzoSEDcZcEIglJ6MzCmZ1Hggqm0YR86UFnOnk+iSvwk6BXNGV8OlcymkoRYRpmWXHTw8gVc8FM7/0MQXzz/TLSiwVDpb1+ZWUZ2EhkWNYJoTaKexnq4Kx9f+rqm63m+nQbaNJrWev1SbWNyuEhPycNp/vcjvZybH6SS542UkhnL93xf9Wol2ZoaGh0MjUZPtHBo1SXsw01oSWlfDvmV3t6HJpOWQC8u45vKvzojNzp0/4dRTQ5T5yKJMt4oEy+bXyzKYqs/U89TwbmZCgyZ7CvvGMzBDVXGrTbB4u+cZU0RAZwgrhahVDZOfNNASGCNIcC4nTFFb1TSEiyVYpZT9K1zUYcpWDCQRyDa4qGtZ1mSjb0bWQANs1TfnKsqhVbmYxWhJg57oEImBGyUIRko9Th/pt2LxN9zjmMcf0mPftRqzsc85jUjDI7YjMSN/udw93L0XrP/7xj7//7e+enp9eX16/+frbl5fnp6enlNjGxgFe5ag4ilUkIsSclZtjemLXMcawyNj3mgmMgIrOmNr6napC4iY4ql7k8XjYsGPfrU3k9BnhEVZhX3ZmzBd7QiMzfB5zqspmHOtY4yql5C2z0sJ07SJM4zSdXDNlmLw9nOmmOQ/OZnx5fpFNskWqVWL57A+3D+xwjoz77TbMMvOYM3sePatYHjm2se/7/XZX3ZhtJsf6drvt+54AeZ9zOh8KRWFVbX/bRbFt2xhjHscYZiEFIfTCY4Cws1QSojZyGAwIGSGYEFdSOrewZ7GXH+Kx5+ef5k9/+vH2m9vx9ZalxSHADPwS2KzGt3gcj/y82y/+9MDTQ25vef+C8SVvnzHmUM8xc0xTD4UMgVqKKhQ2xKLAPpIC1pla1EYGhTBBUtm1TOI7s3BR2Chbz5ix5oXhNMr0ziqNNiEnRaWM+zJYpZsYJfqx7N1yFrQzNAXXgudyMFqp/6E1aZz5JAGkWUBtOzkoUFSKFiE1LEXYStBcpg5KVvIdqITHWg8poHo1pa38zF3d3L4CPm1rl/kQkVBoKnmfgHCDqkqGdJH9dLe1ulINAe0U+3FU2v3dFeF9NNCXc0YJ5Q4Yj9Do9m7oS6cHVtYiyoWrSHkHadhOzK3FGld0dyjaq+vaCVzLevcVKdGJQPjZTATw93NVpATdTy2q4pM2vf2ywMSqjlQa1KziVohbs7sgwhEf14mn9A3swxB0I0bd0XISAFKLtMpZhpEhKtGs/NoFKaoGCSn5JEfL10QExdc8Y9939pG5qJmxWmpjRDqZnRZO7DdnzGP3OWf4P//5z3/84x8QuW23T58+fPr48fX1w+229VQDZCa0iBXusYJIVRlqJEcKsN22lNLLRFaBtcZoIoeNHftxTBEN9n5aPTvp2klybhrb6VVDi7XpPkU0Kmevc87qycqUmvIoIdRNqqob0/cRkSNFMAahQHFMI+Lp6fmYh5kybCZJmoklZp7nMfWm29g8XFNByUL4tm3MGTGhExE5DIlh2WFK1C6lWZCq8kT4MHORGinhc9s2G8wim0AyYrDGuOCrtOYkNFUl1bFFbMgtYdQKl6Ei0HSMtHDxXZ4xDo8PD3x601/m/uXHL/sr8mnDUKhyM8UMC5Xd9eG3Hdtnu027T9WHbl9SHjFmmoc5LNImLE2gQ2RomuowWLrW6RZEqnSigxpRgGF0xCyXXP0Z3yyLW0ddO8Pw7ocFvJikKPDb/YTLXvdfynYoBKU/UZyi9ZZlzX/dXHz+uD6rSzzMRw0dgRSVMQY6fa5mBXOGGruB1SgipJePKyuMM2mjKxJ6f5PLMPHvKl0z7x2xUhpL4YZ7jj4gl461QrLQs0i1Y0LBfYx2tysIqM/s3NcKQpi6Wbaef8lOS7Xpb1TM3gbWA0QqgDmruHXOUeFIfZxXZhyq4s7rTzWEtwPJMqamKlmzfbucwo6idoemyG4HQ5pZhotWL4+w5V1rNALzVNa6vkXaqZG/g1MBVi+VhzMUWP6GKl10tXwEZ0ZOROPyYJnzCclMMVbUa9RXf3HbJkcIWVoFBRV0WSY8HaTLzmxKBTLTI0yKN+vut6fhTvZvzPDweRyHp4dIeGS4H5PCNaoy7rfbbeOLq1xwxA8//OPvf//b3Oft6fby/PrNN18/P708Pd/DqYSCcNex0Z35jKkOxbEfZkMjkDDRl9cXVkRpTVUlks09um0bcV5kYk5APKaIHNPvJ0MAiDxiujsVFyMhGTy0mbEfc8Qo9caM5Ay1zoBmpN1rgo3WkI9KFatqhB/zMLNtuxHAAZIc+IVgoMOnyfenp4FwqxymNLdafArAI/Z9N9X7/TbJqVVht6iqzTnv97v7ZDy478fYaoTn0/0Jgv2x8xooLDqyleFwMWVVTtSysBsEVGcOumFlKs6PhIgDcDwfW0wc++3tMY6bzzuOkTF0SoakSiBgkQa1UDtgjxwhOiEHNhd1tUzzlABZ+gpRERMZUpVo00HTMmfCoOqSojlU5ICLiOblGGQlNxZzZRnijpcr/4LGY/XPToh03o95m4Lhy7LwL0QfbU7l1685/7eS/I2r5bR+0rn+zFBYH/n+D4UfZNlQG9QbMmsNIq0i8HltXfJto0mDfLm2cpPZmgQdEay7B9oOvsu/tGdbPoCtLll91hQQzQxJfdeUWB6qghLefLnfClt6xdbFtBso+9+Xenrws159ZqryhPOo30bFcxxaCeWOhYiQx52iKp6q6c7CQHoERVGAQGn8EpfSKZdfESCyRuECUm5IlkIebUKV+7IdprFfj/xyVtIBSetpASEdEdI9kOCg9couf2euBJ3W4FJfPrVyRhU6kfJwzkTMjptMqkQPQEIzAxkCY6DC14WGQhDIJqHTtSTJgmnDMpHs+YqIp3scMffJ+cRToRyCNN2nH+EzYqqaq4abEt6G+y0i/Odffvr7D38XYNtunz59/Oqrrz99+jS24VHD2vbjccxHADcb4dPut30/Ho+fnp+fecs2BiQ5Vg0YInK7bXM6gG0bFPCwtEQNySoySURkspYgAjPbxnh7PLCSPB2oapPmRAWUja/abG2AbdvQ0+0BqKjHpKDIcezuvsnGQ5vUAWTGT4WH8Th29nDVHA6pXD1jap8eGhlZI2e5tU3Amd4UdZgHYxQz83C+DSXnxjYyARx+zNicp2OIDqgBqd1fThNfaQAYQsQVrr5hN7hpqFjaCB2uMXNLERedyJmxxcdp/gbXCJNpGUaEJAYRJ4tOMCER6fSgIg8foXaoPiA7MH3kJuHiqZ7qcSM73kREImNsKgHBYE82Um56Y7hdZ+Nii1cGpIuugh49UgHyulWzjqlxGkmg+oQvpp8Og/6yBbfLwjMPsFIxyxnwWZ5FiGZ/nqhMOSYBImx7oUepqFUvGB8Lqwnqu3TZ/ebaZQCpbrC2qBfLSLNZXg/LT9a/KBN9cQ+Vx9DSARWaRsLQRKnkUzpBQlOIFFhkTD3XQFeExItR6TBr2fCr6V/ZGpCavIw6Vkpt5U8BlEhUxTodxaxEfEcGWrLPlVixYoiGpUYkSCozGnwBwwDUcxtqM2a7RmGvUMdMCUglYIDOoJGSUfO4JNk8IGIywwVniwCx4NgsSvNAyr70PUbE0ioI1i3aH4gE99LCn0qJ32ZBoQVWWZvP8NpJvPMQYd4k6i54hoaYiYW7mIQWUI0qYIQl0iMIuk0UrDWGud1uuR97xNaTBtxi22IThLtnVBaeSovuh1pkxpa3W0R4+PQffvjxhx9+nMfx1ddfffjw6dtvv73fnu6mQw3uMFA6LcITcRzHKIqpzAj2eU2fY2xfHm8Mf1XUtrE/dsIHVfPDx6amejgfBCLS5zxYfkOKYhMGBFmc/THmnLftpkMzcrrzJJaEMKU33WlI98cjBueO2RjDfVbMx1iBHCQkfY+qmNl038ZmPYj4/nTf9701URWaphqSlP6WUof2zEkHzxyUZIaH2ciIbdv2/QCQ7mMYINs2jjlrGJzIUFI+wYamkxtz4lg1qMKEQ68Baq+SjgQVMVELy0hIzszBdIxaKFzhmlANhKWUKnEmQgwje/K1uJqLOitvmZANtMuhkpTJH0NZuwrv0U0MFRKQM0MsJZiyMHjD2MKule8qrfzOIiszYsj22/W1oofiLbadZKyQ1IykJoTZOmO0srI+ruxqonqITtWU8lJsFFqOSAGkwNio0sQBawol275+9SWnxkMD7YvNP50RLl+dAGuSynpJ5SKuefcVQJSiZa2DSqYxJUpTpKrslm30ia6KY7299ozvd2hf3ll/aRLMJcvOvdPg+pLQ+vWXAFWJXqkiUu/PbdKOtGMdAbK7xKQSQUTA/VhpcpP4TlJqbNBZXqmZQtYK1Thr6lh4sDR3gQTYxMEcd3YKBjjxI7LSLwAaqvP3SXmkvyF+VA6zbK+bwIUNVQzTkB6vaGNrVczIhOlGHWCzgWgvwDWUbrRIRGRqagZ4UpGQcjMoIiV9iRj0+ek5a1yBR+Qxj4g4jl1MwlNGDTawbUy/SekzRrgf+8QW7vcI38bt7cv+009/+N3vfvv09PT64cP333338vz8+vqqquExfYYH7mCGfM6AlfYiyE7WIYK3t4e21lmGowcCKkr6n8UeHnzWconYIkrrYowhHAHm8Xg8xjYESDajqR7zACQzH48HkHP6tm2Z4IhPEcxKyZRyZS2++5wzuJ4UhMi43e5AhSNIDLb4BreHejgSquIAlf0fjwcLHs8vz9lsbwF8ztv9HuHc9p4yp4vIMWfU3PhE5himhZe0a2pCJU+xYSkCAyxCoSo3SDigyIwYSEuYQcNFZLOQMNxyDzXJwZpsatKVmiSlsASRi3E3IOkQF3FoQCEmoFSdqMALSLf5RiLGMGWDagAaynkzNbpv0UiYONDzO+e5ZwmtJhr2K3lwYFbBweJTdqGYJ4rznVdGx3LNbJMKmUskhJ4gT85/Mv/LASxt3lZsUD6DNymrVAtR+t0C+cIeq1Yoqt+R9VsdDixjfi5c4vKjfNdt2zUPrLigvn9hAZ2RQ67+LEZcUhmt7BGYBPbSsntl+6rPoaKx7rlrm9bWf11zouy1nBfwa1u/whEsE8nMDq2e9l9wffOztF3L1v8jDyLZnB4FVbg8gpq+KeXJLUGTQWanVM5EakQRLje0ChIrIYbMQLRWAZG+VdKG4J35HJBnkliwTJlsqjllNOyqQm7fdaPyL8Vtda/7rBcEuSXREKRcJOw2zN1RyaYEUoxrqAAQ6DyWJCdnMg7gXOlOUoWmpZI7n+Qzm2SUmOhxG3ONYfF0D59zcJjXdJSIjQd8emRMTPf0m9+mz8z4+aef/vnjD+GxbduHDx9enl+++e7b+7j7iP3YX15eBHI89mF2OJX0LQP78Yg8JM0P3G43qmTo0Le3xyFSRYLI27i5T/LoVXRsA5kYfHbJqN79AEIVGaWxCkB14667P90/d3OW+7RhiTQjFee43W7F/ooMpPF4qEiNO5PsNpAEps9NBldx26ozqYK/jGHmqjbs2I8xBnk+x3HMY4qIDWMWaM5pw1rOWgkDfE4Pn+63bcvE4N1iAV7lQEgeShGRwZEFkgmlDS/hwhDnvNWJ5MxLrzxHEtWGcGjbOtfUMZQARJztoQdVVwyZEqkJS7UA3CUgUBMxhYWogJQChaijeFap/N0yQBBOAE6ghHpqjSub03CtronbuSwykG2dW5AMjZ4lJVRUu7tSlwFTsPJOvIVOAy3zJp3iKNpLnhRjoGE7VgKfre8kAkGqrM/CaYF/6ueTQi+iXf9VAnm9xDz1jifkLTMHoIMFrAjgNPOCd4a2APLKrnWKrDMeKIxfbTg08tEEy6hUA8AhEx2mCETbX2l76JUQy9OGYllt/kBk/aRqG+ellh8T5VyadmeyLrRW4XzzMugFe5rWRZ24rAlcGd4Bj6yqw4VjVFTabC2IPN+qAisugrYGXCIVZCrreU+oSv1ZOKloCRH9c5Vq/JH6FrM72xi8tgIiNd2mvK+IJnL04IHIGonCVfVgUbw4QGYWGahAnP4o22u+d8Dlp6VIR0V6gjKp2xyBzMzUlEw2YGDcUBOsCLSdwtoRrhHuFCcKxIhID7i7H+E50t09vSiV7vMfP/7jL3/98//57f++35/+9V/+7fvvvqeDFBEdEp7zSBGEp6q9PL3s+6Gm7jMTauLTVfTx9maq6eHHzIiI2MbYbrf92LnxKd2cETNjbIP55OlTJM1G5rFtN6muAg7UY9Yec/p2u3G/RPi2bUCNAchId2fXTrLcw32geh/3Ym8VLEoShuY8MkHdt4yc0ykHfbvdKBzy2PdjP2p0z7BsQOGcMGwminQKomjMI9zltgmklOQSCsqtECgwmlURVYpXZipRESPOmAVsUgDRCGAGbGRAVVke0awcQ5YMUx0jC4FI0Ea7qQjSkUBAExrQVPZYAqkpIyEt1DhsM0jCLY1blCU38oC49drAEYmLnGn39kR1nKpUQvubCSv+7rt6KXoxCKBUe3ZVBwcAFExPSf+GVqxQIPYdkG2bKQCy+nHLOhORlYFkf+yVMarLZq48T6FX7Rpz32cDCblYz/Pjl82RzrEsk3oa3br+ZXSvXMw2bZWZkEXgZ7xy+lppWR6a+WsZYyU0+gObKynvvtk2ty3wCkHehQRyVbKSfrhof0F3C1mkT+kl7/ipdwgqcCOBA1KPtdyfijLvhwurEn0nTcasa2V4hJ57zL8v+855JqWBjCRzn3Llwd0mdTsrI1RgBTUIF5dCiPtcsn6MaRlDiIhYbQCySk048+RsUQ/NpRYZHt0gdrKGkvSwOsPB++zyT0KFgL78TVJqUTueyUzOuXTRKAFVzYwMs9vYps9qAPaoGY2RwRGj4QiPuLkTbjvnTXjMiNsdpdFzHPN3v//9f/zHb59enr7/5tt/+c2/Pr8837YtFcCkDxgbZYmQmftxDNimm0v3fgNEXcecxzwiObJbyRze9yMzxzZ8+p6xjcGRU8yeUcizdBoEasqBw2ZaNWRVZuqR0GEmeuRBT8BxJFG0JY4wue2+k61Cnq4Zx4qFKoVnMExCUwRwuPu2be7OPTdsVHJbcTy8MxPYNib7SjAVJUbtgAywJz4vcTdkRfQMYcu8QKOkV5LCdALNFAQ3OA02kT7fGNS8X/E551tbQlnESRFG0GGV08xkR6bBGO4qqGZfKVQIFFaJh7CEC6yAnggEdcSlcuvLSBRcb8vBqiDXQkUo90H4I8u6XG33hQygraCzjJSIWN1r4XkAy2G8TwXQzBcelNOj0Ouu5EwW+5wfoVrOpksUy5IupiaWPetL5ge1GV329JLzaRTbL1g31df67pvLlTSizvYA677WFVRBJc4flWZHEvv3Jb97QEzkvbPr6+ouNQrp6I05iPZPFy7O5aYa3be9lvOdr96SKTvOAEFQsYT7gDfJQmCLDmWGdnos6zV5vUop0BBL4RLFLtVuzcsWEOTRqRJCwZd3WSTJ7GavUhA6o7T2Q6pGX5HNUhLq39FIy5InWk+2rl5UDYnUKDjF9cuIMmp1tewaEwGJqGXdU1USqcLpWLU5eF8ZpwNA8q2UxPZEwsQwrBXwacXcPSOqQOkeocjh4RqhbuGeEZ6BCI845qHAHZiH05xNn7///R/+/d//4/Z0+/rTV99//5tPX336+quv1WT6ERkSg3F0eLBb6+3zY9OhQ+dRzcwmNg/XTafP+Zh0fj5j2FAdEbvZOQUqDUcVY7HvOzeNoqTbaWG8NMQQGfPhhOdsVZjT+byipknH2+PhPl+eX7JYSamGjMwI3TYBxDQzknOVMzLT3T1Ky9rUxrDIbHhBrx37fsx5iMj9/nQcB5PH0x0h7Dzi4asTgW4EEWqS8DSppiRAhykmluhRs8GoNjORIzNdROAt4ZWNFgRWbwErRFO99RKSgSoSRCDEEhywJyXuk8yTFj6DAEJBjwoUZGQGBC2SBxWmLsv0tjEvC6MpQDfWA5RMEvKHZbHUsUxwqQM1AJRupe6C8+pgKqJnJ2BOaLmM3crVVnhV8LHtJ8reSDUGSGcOuhVAFr/zFPcvy14h8LLsVZCWImLKsu1aCiRY3mL9qDMpy+q3wV9V2cpzrARL02rbWi2Lq9ri+Jmrrl4W5vyEuoZeM1yyDUWrpFnp0KOsOQ1jUdTLmKGiKqBzMdJsr/Meifi7wlDBDavEZf2R65/c7SvyQMURRTtI5CIVd1X23VchdGIRZC0VK2FIgYa2Z0ia/jqyuTB/nu+TrYEjl0S/rNICamBIHY9KAgl5umdtOAOch5pkFpU7J3nUUjy8pA2Ik0KkpmgpET9BUsvV0dXVro4ySknBsqoSVeREFhlXAcgiELF6kSImkjaQEVmNAjN8ZmjEcI76q3lQc85I3OLuPo9jF8MYpmO7IV6e76ys/vzzz//86Z/hMWx88+0333zz3XfffRcWY1gi1fTw6eHbfWRjNhOBjONtR0xJG8NUJRw2LCJ3P7aidTo6jSjSonuCQO77sW0DqoBEIsPpbBKYByeNy3EEZZ/LwpRKvLDTiLu2Hpkp+8+PI2hnEsgaGBBFIhLK7l6IAxBk7se+cU6Aqs95HIe7ROS+P479YMl627bD52iko41Jm5idbCwFRZCLLclSQGnhQnIgy0LXgWMBSVOCx7SMFyjED42oAlZtR1fiH42EQ5zE3BqoEd19KlVWDElLpIuzPb0YmGQtAx1uF1BiKFCt8GtKYv+Z/dOFplcN4GKY6thbj1RekqALYBbdtCu5yxzXOVxmT+iotLFqbyHhs5fT8egZNwhgykaw6jGWrqBK5/v77S8FjwWd3/sG4Cwv05wzPV2+R5jRbhBbq9CWJoGzdFwLqEAo4JVeOVetlg7C1lcBE9/LAa/XXbzA+4CAhrnB6ukS0Ii6ItR3X1yRTNotemWtrJD0Raasjzt/D2sda0cnhU677kkjUUKYKOsv74rpIjDSK5f9bhDQrqMcmEBqLioXBEhUqG1dvSiBVZaBs9qOZDX0LR+xdEwZUqBrsxAxG+X4CeAZRogha5xGZNbs28imutZWqlvODAjPxTq1HC2gAlgJKJkV0JdU6lokOdkRq2EQlYjLbhGv9uPgSHLVRBqEDIQwSizHrPZeqs2xJTlMj2N6ag7TbWwcQzo9PCacWrNb3JIppTmPv/39b3/+y5+nx6cPr99++92333zz4eNHKumb6n48PAaz52b6/PqSvD0VyfTJRraZiWOfT0/bcRwQgQT5b6iZi8aku3Tqhg2D+34MM1GJJB908Km504tkck4RTVbEbbtl5Je3L/OYnGi/MheiTPRJUvO5h4PPY3oEO4Q9XFzGGM4LkDSkmt1EzGzfDwJ7dz/2fd5umTlUFaJZLpjxCEt4srQMSlAtEQnRwSlPQr6VL0ClmS4pZIFKSsYlpBcYRCEjNTlJvXdbVZwCMikBFRlpYpJQcN5pRjhEJADJQG62IRDqApFUEfE8TIeCPdlS4lCZqqIlmFVWkptd6ixhjA04cRU3YjWMFR2oWnLKXldLVnkxreRMMY6irYsuPeqLtUMzIAU11o7WzEq4ojxEv7oZpSLU8RAR0xL+RGZnVtr8rGzMNVfStfEyo50g4Y88nSZDVi5BUqV9qVT0l0sRAtrmiUGr9AuZXhYalfp0ttFG6RksnTqtAnjh9asPoIXht1YYslLttJXvCrZdtq1nAc7b4WqcOejlbLq8xmimczhy+SRiYd6mCFE0486OPVniZvq33Er2Cmp34Xa6rxqyan0uiahkN2+EQFiw7XwSEU8BLCxXoE26QTtqdKgkUKgHqDCAohgubyNAEzurKwPsMc48FxAKQ1nzoWsAzrJoxDoR0cISkqsIImeRKcl5LKWECE5F4ICpel4RTGIgzTINZUMz09JWq5r0OKObik+vlsM0JkbUZGzhkTHdw9kyP1gz8Pl47FAQxefIsW2RTsbrnMcf/vCH//N//v3+tD0/vf7bv/3bN199/eHDB6QLoGaHz6e7scDph8ec47YJkwUiMWf4AEoeuOolUoSujNi2cb/d9/3Bf4pJhodAoaaWSLYLRIQAx3HEdKwWQ4A+SVXFKeaQqvp4PESFjgECJnAg4rNmEkREuB9ds6kTSogWmQpK5GVi24aZCXS6C/B4PLaxDaHyZ/fnJILSy0IXyOY3np/m12implqqh5veandpJpsFacdAMtBJ506kIxBRqpU8mxEKhSciFaLJjneET4PNdIVKxrCRnqLrqJso6GskFcDAxiEeES5act4GGFuoQdDO7coQgUWCZSDKQzRX8YJDl0nNYoqX4VunFn2kpTXdMiiofb5AykAyWiSPp40Clgk7USJzqWLSbKP6Xlvv1eYmF+z8Pv7QyrWsLMeZdqlPGGqsyHUE13B2vVjWCa9PV+l8XimJVd6jL0OkU0XZCHfFKYR/gLVOqlyvuV0l+npX1n+FLGiDVp+ZnelZoJhgO0/hHaYsyvDVddd7lnsm9SaFA5qlsu0dQXVGhT5J2n4Xm6kDDMbsrWKTa+0rA4Pr4ndAQleXi7hdd1ApTm0hOKlAM5fhqDvstW1VZ5aIKuMkqlgdZH2/vX0gEGuPElEJOl9VLgDZSbBzKwpETZRVSzSda/oh56fUA+L7mAmAiJROyvFs0sJLMy0o+8lYBwBDGaRU83KmmJFNkplkQI4wpE4/2GJAUqNM2lp5HsMZ0VBCk0L4ERlxu918+v3JA/H57fH/+9//C+Eq+t1333377bfffPPN0/MzlZMjkgzsYx7bGDbMD8/Mz7/8crvfb7dNUjzcJbbtplDPSuzM46D7VZFjn8MGp8FOnyW4sKTu3G3YdE8P2mrvQZWEicMsIerkwouHUwdYRJBOhs7ZJxjpHmMbHAfP/eQeUtrnhVPpBu63u7uLwEyHSJF+CqSBWvsJkZAUpcqIiigCwxQhY4yJqUF59EkODACBqqNkSTJF6nisG2jGk2iIQNITwTnQgigaaKZIYtNbeA42CkMFopruIaImnMGkPEwA21BN9GJLGL4mRCgLWEmVgiEswiBXQiTRqZNTyeeajK50TAHJNtTSnWEiq96LRKjYaarLPOPU3ycPVFWb0BJ5elladwYrlGmqgq9qC0KUYcD6P9opbSwmIq32Us6LybnM/kenJ9oyMB+0TnDz5zvf33XWtjwMPxplMCi9JFbkwhpcpkFOWC8Xo34C4zN1laeXlXaiWb37J+IW4MJfbdO84PHyCs1Ak16BrPw/zq/3iSQViXYcKIO8PpRNpJRViLVUVJVDcBwF6ZgoDoTKyrP3e0iz8DsAytrLZxgn0o4C7Y96+5X9RQIZMWTMOU/WE7e+lHepp1XU83JanYTPjNTu0hPVygcCSaW56pe+0JCQiTQd2So6wwZTQCtiADgfLZdAf3t2yDnEymlkVRUKDvtNZhFQ3Rd0CR6uhozVgCCQNNYwFC0/HZOOIPCYR0RqkFgaQB7HtAwRmcfMTNjUsECMbYsI9Zg+//7DP/78lz8n8OHD68fXT7/5l395fXnZtk0ENpSpc04JJv2GbJHwHGMg8+14o1jFsJGZIqUvkHuKiQ31CNpcU2PrawJmw0gZUlG1URW/opjHER5hatttA2p68Jf9CweDZEQGbpsex+E+24Mmal6xJon1ClPToQmMgWNOn5P9rKp6v998+qKBQqpYR2L7aistUR5GA0YGdJk3hgfMi5CHrhpyEZzspQgREc4My+SMJEhCotPeeR5qnghNTstgliQzw1JNrZKxjRO5U4rrUmkbCn0oK+O002cWuZiZZVOXgVJZpqbtaQNtrADhkq/osoF6lFx4rr1eU9n1Yt+WT+I/9PQOKPdwAdC/usLOsWSojJWVW9x52mCyTstTL5jMm6qTW16DudiF6vlZJ35G/QLtetvu0zOgkzAruOkQJlOAOAEgwfB5CX1Bct5lua3T7rOaI92hvDzxku5o43VmiMpOXqIEWeUI9G2dBn4llM4L4gNVrRT5ysZUBECn2XSaLL3i83pYeCVhZl2UCABWC7UNfx8JJtbqGgnhixsKWQShhfyJ8zNl+ejSbOhkEZBmCpZ5iQelWx+oCcnfZ2a+w7UsxwVk96Tw/zQiU5fDu+SCaH/FxMNFLCUj0kREKG/H+C6x6vNJmnWdHYZHKhLhzEZ1kJy0mxAVau11OB7R0z2tis8aQtnPkFARCSNNZURmzOkxtvsMpxiQT5/UHQrncElqvRFrY0NMR7jFyIhju0XGYz9+/vzH3/3n77bb+PD68fvvvvvu2+/vz3dTDeQ+d1M7/JBDkJIZX758fn5+HmNIxNyPGUdSeS1j7geQP33++TZuVPn36bf7YEp5zinA25djP45tjPvTnXvOPaY7tUN8ugwZYxzzOOYxfR7HcZNbOcjI6Z5dr388HkLlGCR72TJhzS0UEc/6erztUim1iGANAAwAZJn2tsUiZCVXu0ISiEbGsC0yJKruBKdh60YMreibkjAstPYuUzbR88w39QigwcmK0bnXa7CilN4VShOpki1r3yaCNDgK23L/mxqXqdPuZUxERFvlJpsT0ua40UoT/JeZWGYsL+YV7fPR7CP+pXIj0qa/rTq07CST+Jlg2xqkO5rk9G5SU8ZEVZBX/eS6wWUlT+sup/WpEuWyfW3q1uKWOcnF4lkO+IKhOwzKohXy7ks6Mhuy8jaLRVO6Em1lAJYU1/IukLsu5AwL5DTstcvx3rhfnsi6uffwvXC0oJA/hd37uvrX2+WuZD0ShUukMLJq9+6ea5X9u/QqKoQdKTBN5Arpssqf5aRVepxTFx7UarC4Chvf63NIdTORiBpYWun49hwVkeHMAgHQztpHruurrrRgCTiquIWqJDAdz8spIh36VpU8H4Y/mcxs9X4uixyUftXM8gEsMYtmJgJVTamBqXSsqiWYQ4NVHqVmohXWjGDpPfpSrANZ3pGy2zgihkhRjkpXJ4ONymD9c4aHD3cflMcJdsHywXACfWaMKZE+PZASM2YAuGX6PCL8l18+//DDD//rf/2vMbbf/OY3Hz98+uqrrzZLMXFzYc9pqs95RIoKR2GAKkzOQrCPsU2P6fNlPJmpz2B1h1e077uHq+DLl9i2gbGdzhYAYKY0YowbKBMkrLKwqS2KuF85YUFEzn0nE3cME5WYyMzjqO6EOaGmIrk/9tt2G7Ls37ISpGvQbmoBeAbg/JDINEhlZiBYvHIUy5OYiQ8YhexCVp5FLaLCUEkB3VheHnWewfIoi1EPvL1MooneIsLh7IFYgmiZSUGlpKj16WQW5qskSt3USc08Pd/1L8swSr+M9peLvi7mYqkYK/FLL+9X6J43cHE+kt2f2aax3G02PR4XMN1Oot90rX+yReLiwPjDNsadS1j4Pn9lWNerZdH+z3qgggn+WLfa8Ha5kbW47STO4K5t73uTXa/sdTtfdfoJxjknrO88CXoZ12twul60ALIUtb2d1bsI4d0btj+rB62UB+MLagH5937bPDfAda2ADq34wXLpEnjvASOb53HxhLT4bOtiWy9WCRo1PEba89FT1AedY3/Wy5KkZSaCUEMEgMzgU2y/mN23iEwNYR6H5KBAiNmi9Aj5IvwVDR55Dgglyl+4AQsaZCS51BxhL7oa9wQa6YUblI2d1ZQAFWp0V7mkHkPjP4FmzPSadExmNlFwapAUkzXD8pg1C97dUyM55EAUGcLun2kYTDtF3gYi5zGfnp7d53HMP/3lL7//wx8y8+l+++arr//t3/7H6+vr09NTuDsw3TPzvt04qCBmeISa5jzm4e7ztm1z98xQU6oJEaerqQ0Tgc8IjSlunTlYA21WEDZs9LOFQkSVE3jG2KihBEjlTBqCeGLYxt3GuTeTwnzAYsBTbJorx+xkLnP1ztoQbwVgkOrjR0gQ5sMgJgAMIkG8zukb1Y3lFAQGyn0xzgMr6QJnA6n0qQZn7RFxrNMuUo2ZbYsWYEwxTg+WFUczFGAnHgqOXe0JiE3LjFb2gZ8h6yAtMk9ERzZN9+cLohiccp6f0vxJnM1ilTm5+IiyBG1pOwFz8cb1XXbe1VufAdr5JouRWrHLsgyFzHNh3fYtnRNaqHsB+WX0L27ogmrbgNaZ7M+R4rEtE3aWTs/7xbqxto7rdt//rJ8oumyLigM67mmcgLyEIDifqaxf7gCirrrcdomYooO+dYH9lX3PdQG5tl8nlMoNNETuKfN5OguISDcVrnBNT2cpZ4REF3lZqy5u1x9Xz1Tee900ICbJKLkLN+VK6wAzcAc6NOKdMuPSOX7J2skiGUW1WIsqavTgNNiRi5vNhFPzvwW08nQaVszQdnvoyygiFTgqGQAUhuHua6fVe0Vp23UsJ73phB1DTElZ0ndmxMjMqHk5SNEUi4zU4e73cSOL1N0DEe7Tw8OTw009YszossO+PyB5u9/C08bYtkjJ8Jhz5jz+/Oc//eH3v9dhH14//I9/+x9fffr648ePw2weEwBCMmLfDzOK++5PT3cRmXGE52bbnBPk+Dtp7LqNoeJcjTlnJqDwyYZz1UxnBkUwjzmG8elayu12O+YhAvfeeKANYO46oHjsj/TQYZTZmMeREeEw1W2MzBiqPcOJS6e6yCC1UXtGGt9fIaCyG6SEfgFh0QtQfsvLSEoo8ctNt/ASVlTRjER16ptCIp16Q8hOBHXFLs9bW2auop6y16QqVzMktObOvzP20uo9l4TGmd8vw4dkJFX2hchrHT5py9fsEWZvm9woTUAE+M6NgEjrrAtfx6HNTa1evYesv9BG6ioN169Xt8D5bhfQ3IG9nPEYPweXnFrn6vkbFS319bRRu37JupEzZuhX0bSdCfoydG1uT/uOS04sqzU8cXGQ/b78yMUrlXUPtfToEIY/rJrgyld3NiuxHPr6xyX9czJH+1unH5ACp4o1y7Gvhuug7HMUDoQ/S5b8JO4ukRrI08N4gaXJXDN/S1K3b/HcsIBUbWw5E+6SOL0A3t+SSLqKEt3zzZrSX127AAOANvSQtMxYheLThZLFH9r+gxn5NGkUSBVg1JwyrI4BICMUmaLiEUzeJjyC0tY9FSe8GROgbnEtu6LdBpCQbj/u3XZJiwhMhwAek5kDCDKDxqJmJkQAEgpJzQyBqWqEReshODXREI/pmhHiKgjPGa6K+/2eVKoxdiR7xBzbZqahuo0tM485H4/H//x//mf43O73b7755t/+9X988/U3d9PKVCOnT5/TTe/b/e1tz8inp/swy0RkbLfx5fMXMwNiunNPbpvFDJ8oBBLJwVzIqohCSicPIpsOY2FjmMiNYp/MdFU4Fpkp7hM+M/P5eZSVUWVm3n2OE4+1fWL6kJXMonspFBX2GSwyJTiBlmdAUeY7FMqqQBMiYWIRoRBJhaRRgJMHQ0UCmkqxPSQQ67FzU3V4V4iy+CFn/oeoIUJRer/RBHk5Rbezx2xJZBSUW0bnSkmrqFYUGppjKTok0DQV7W490Yo59OxhQUUPRXQt49B2HpmtIid8zWnFtYx7kztFiiiE84Hx+2wlyxZ0u5oEZOlmyPv+pMoCSH1QW8WVc6gkxhklVMBwut33Jpr5XNZFaf2jQLokfgWc+62kHVliVWXOt71GDGWuRP4r+F3WOle7Acm1WNme1cRQpcSV8aj/Xw6kX649oiurjJ2dX687pncvGCDIU3NCVOClGVdljiSJDbIslqq6Nx8hQVZQBz56ubeOXDrihCDPmcYLlK2oHNmBI9dKmZKvYm9JjETGukHkkpuEENm3iY1MDgFWU4+QBVMUAAYsMgwWlKIrmm+KiTQcyEyRRXg1EVVkOFK7gT9SVeOCMfo8yTEPVQGMtxURSGUEUUuHZZbkslsW+7YepUg/cCr8ZEgisiY0CPP0kppiqmnq4dt282ooKD2JdPeYIpaeERljZiaDhOnHsOHuGSljZObt+TnJI/rHD3/7298z8uX15auvvv7N9795en6+bTdTjzndBn83M3xCVN0nZRveHm+pd9uGpHh6TmQG0hGiQ3v4pSRybOKTqnOigYjYY+fU4vvtDoCaoCLFjAcQnhz9KSJqdhyHu4ODTyL2/ZHdCCbS25p7q5na2uJ2JcFPV9GpapUO8YqRnyqSxiQgO1OQAjEZ4WEiCBE+rkyTDSERrkCGCHqEleo8ZiMcDh/PyGibuRBHn/+ed7NQ3bI1VipwFEhRJIaNPkcp8q5Xa33JyRRcyXooVE2zxZXOMJ9NBm3KFyhPBNCjZlZs1p6g0ff63M7/LOt/CQiy2N2dBwIy+wnjfI/ufa0oosxg80LXsXuXQ1gZjUK4bYuyTM0Fcl4Mcday17FjouNd7CDtgYqWsiAurcoKCNYdXzJCZ0FymaGFkrNzO+1R67sLRxfZkXXPFY5cvWRnEfiiizESkQ4mCj2c1rbweHPU0YhUajHp64m2Ox20FjxzSbYBSUIEsQiua9Y5qxUgBl/ZjpFLeV1jKQ5x7w16FMkUaJ4l+utN/qqq0Xu9jipBkkK6HV8ku2WTcTkUWkLQJsN9dsMB46p6EDUiO6FdsXQPEkJI5MvL0mfmNoZAvLvA+vaQmSWf2bHL+nlWz5mAUy8FLJewiS8zoSmOzDQM1QAgEWY9Fg2JHAM5PWTAwyJyuscWPmciH/MIdQloDmS6uyWbQGHhkTmQfngiw13cbGyJdI/HMf/wpz/+9g+/H9t4vj99983XX3369LWO2+2WKY/HLprbdvMZ+9wR8XR/Gtvg/nt7HCoxNlMxln1Mqy9KVRAIT6BoYPz7UEuFT+fMmYhYqQ4W31WVDQoRYTaOY+773tkVROSQxQJSraxlC9qYIHIaqhRNlSNRU4i7U5WfiJc60SEIRCZKSIjs/oV5s+NzsMleAKjYcexDTFJF4dMVnC5d/LsqpSrV4ipTKSK0xTzG0oorch50vnnP20NxVHj0l/VfJ39pNLaBbkMMiGg1caw0R83ZkMyavcVLw8rRVJ5KOg+y3rWcWK48Bb/WZNtkArA4G5Fpol1DXoj0muhH2zjpf5CL0jmiZSfb5MmitHYK5bpiy7asU4e2vGgIW0x0nKj7V4kjEfQslvPCahVoL9vil3dq4uL1GjpVdf3O5TPy8hc5yxjnTRUP9Vd+q65k+eN3het178mSdqoKuQx5XY0L5bcQOop9kzjxRPZzyc6kLovMPJZCZ0xV1TLX2XsVwkEaWHT9VT0uAMxF5E1pO9uIWLtdVnr/Am6kcUdHvcXv6eCDWYE0Fe/AT7OuzcTKbIJono52qMjsVSwp6dal6bkyKdWMo9k0MjQoOe/6JGXLmtQ7RtPksUpxISJzHmoq2XpNTXhTEVSIr0F7Rd+ZxYkkb3V5oMzg7ZkO1dRhHrHdLDztfjv8QGF/VgxqmHQJeIabZWT4dPfJKcwWmcgbe6YjjmP+8T//+Lvf/nYb29fffPPp41fffvudiiDcw499v223SBexeRymppL7sau9CGfKJ/b9sdkgsR+Rw+zYJ7XewCqOBgn27E0nJbccuamIWI+6cvfH46GdgHGfahqRQy42ykpcp8ECv1OtS8DKDAJjVSZXGlRSkrOuhFAECQiVOxmvAiBtrtSEMqGQm95WxqF0FyDZwlOn/TqBuQBQ0wrPG+XV6ZTTrpKix4OXLeJbvq0HLFwOM9rudxkgKwVSyyJ12dqTVKWK0fXrcjHExazLAtK0ZrpcgpSlOLHxknyuLt+uLfD7a9JLo/SL5VoW9hSKWfZOZBnAhu4Xm/ouDbNsRBvWlQy6GNsCDiLnPS5zWvWH8n2F49oGo6+/Fxm//mrHu1zdeZFnLui/udCyJm0QE2et4t2bSPkxmtJVsq7tsn4EKYMtrPx3JPorTyE4qykrcBZReFnhC3nh8qt5WdICsO0qsNzGJZK9GGt0aaT3QK53l3bta+lUNIRltvMre09oDY9MXD2EVNiRXWOLql/QzdQ4SUlqVyg4I0PEdLDFlyFCoYOEpuUKDpjrlxVK1S2W06qDVq6BWqJ8zRgjEz3drUIxKz5MyLWHITPZpMK1ygJKvXUySZcSldMBYFD2o96FaTTl1OBtDApqurvrjIgMTE8XV/GgciRSRTlrgTO2+AsVhZghxua3iPjbP/7+pz//yf9nvL6+fv/d9999993ry8tMD3c5dmd1uhrr3Eypxkpcj8zjmLfbPWZIik93hCpMhmSIqh+zGK6Z27aRVBYRHFHATumyI6rbNgD4DBEbpkPblPMIVY5bhFtfpZUR+pBpW8mCsFi4u+bmonYteP5rdFcmU6XVIARh+7oEFMpEFdBR/xnXFx2DH0meM7esqUUuLaAywafgpZwATeQUYJDTAolcKmByYfhcMXVhfIGHWwIKjpqrN2COng6AGYWO4/lxbXjKtDcUPRHcsuknEl9+YN3qxeycALqfh5x3jPcguvJ1efntZYWu4cP1IxrJntZ/WQcsNylYkVye/6m/LEvKfy+H+O4qujBwvfHaOe0fskc7LPt4Fj0ba3Mr1QoXiD3LF5drF45492DOpHuiVhKvHTINnJyZPf6j4kumd9ABn6iQASUdQQKosmnHqSW50wmuXu2VaKodjqaQ6QqniC2kDRUqXrmA+uW1CIgU2WYt09OlK1trIRalbT2I1SFTvxrBkUfDLNI1jWkvHVIptczKGGVCQLEHzt44w60eMSaaEKMomo1B20gIVOmsRI0aq6IIaafF98kepCOCjGIsYa1+JigW2d40LyeA91hM0x6MzJvsXc3cbinurPfIrFGF7jHUOAY5x4i4V29BxJxOtdJ6mQ2a+xI1CopaMziYiLANmTmSEyLT5/Gff/zP//Pv//7y+vLy9PSb73/z8vxyu92SiixDux0OkfBjGsSGichxHMqOWwcHilEJehtDti0T0yfTIRWBzXD3sBaRVDV2wAEePobdbkMhA7LGStQqLDhVezqx1CJq97SFYnm6wGxlNqLKoG36yqenq+gieKiKVKV6NtWmCYvUDFltRGQ5noC0UfPFuRM0L1vQGUY+0JSFnnVh5DOKX9cPrEzMMk9C55j9K0U0WTybs5c42xOgkjhY17OMa2Vsy7PWrJqOWHpMee3wUt99D9i59iptLZcnaJPAFapraxAOGq+Uk4G0nNRyO41AM9ffzh1wGuZldd4hW1nm+fqOdAvlUt5xYGXdxfW+2v4zZ7J8ZH/+OwTe707rVZfL1/S/2lH0b5aFuGRyZLHC3iHl03UQ48tyORU8QIVMMzqqfgUBB6cwXi70jEcy2zkRWWgJMyfD48VYRa98SpeiLl5B2snVmq1IgE+8b79+fDH354YsPKSauVxxoTKpWFQRa2dz0osgi8GU5bKyDHqx8rMuhj/hBr7EKikUg09EVNDSG/K8SKPKNHkfvZjha59nbyQ2KVRLNG86kllT8uRE+JAki3WaXWKIPty1iOwXKf9QzCi0NMepz5y5hcYGieGlM+ozRvUZ1NsHpfAVRkKRiWAeBwDDSM8cidstpt/v7uE//fTzjz/+CMG23b799ptPHz5++PDxfr8VuMyMjMMPiMzDgbQBgZhZHMcY9+QcZrSVCxzTN5XHvm9jUD0CwDzmUi4R0UwfY/iMeUwVYSOYoNODyyCheC+trF+Gck1l4doVkBFINbPUmSnDlm0yTLS1amHQ6jWHRlq9UvqoJlQsSzr8NDTZDOLTJl56XRt/FEK+KNtW53B2qZCPeJ2K67nnTkZjShXheCIRNVX6p8hk0JHBiDMzq4rWufrTsvSn8ESXaxY5jTS/vS6Gqt+QpPhz2xQ9uUN9YLShaPK8IUm867J3WcnTEC37eqY7zp/h/d9XnuG0mNJmqWH7IkmifnzaIhVEpyw6qFhXcbqqdQV5ViakHzSu8cz6Y7mBzMsNrA8pcFCeVNDtVytAKWfYpEw5l2VdX5cWVzyB4qWisGP2lSWSOt4RYWozZuEJmmzaGY7GE1lZjBMvcOqD9C6WdsDZz4u57UZca61WwgTtrDJTz3XD6XIXCOgQZK32CnMLGpf/SCn1Lmn9n0wxd6/jVyRtJJlxJtmK2TyISfTKcDfffXRSKCI7ybcsek/V5uNRXFrKKoLsjoG1epksLtKiLyIwEuw95lKwS7+rvv2hJMA2AMqq9ACApKQGmes2LkTKCIhEpqkILEQsLCy23NhcNucMJGdVUgg6Mwf59qJjbJExjyMkFQh320SHJZD3WzWnuf/lz3/505/+6Id//PTx4+unb7/99uXlhUuEPAosCvb9UBVKnKbkdIfJZmNy8jMPUQQz1WMMSCmChCd15sc22LjjkSkyOl/B7dKaaG1n1kZBgyZcDvT6O91Ak52ZeC6jEOuZs/gQoZCoGrTTGSzb0uCr9qh0p3096d7CvY8houR4sfydiegBb0hgzUu5GBImVziYGCIZYWqFXqh71TkHdK+McBGJGC4TY8rdrPFRbdnLCfQ6lT8RSKmG0U2eJhZdlwZkTYRsfYBe9KuxQ/mn/te759JWt76TqJu7mvn1ews6n+acB+WE/G2Y+nVtXU/LWUgzK65u/ImSJjjf9kx/yXu3U3fKF2jdR1uyxfLJ/mfTwNZq/OrGCCloU3pLSQcG9AsXGPAOJjfM7Hi3nhRvX5jNvHzeOhdQsYyYPmt2RxZJ4XSM7UPaDaNdbbkN/ptP67yz0zuB9L8V59Rrco1yKnGHtd/69084kl1RoCzjr75vzUy7OloRdFdXsreTr49szTsprFpPp3LsGjU4pelMqMXLCvN4U8shoj/x/OqtldTGTlSSqCBOMR3KDYQGEtQrLQ9QMxCSEmylUcenG3WPlbfhCEw6G0llcxlL1qSvpoTw3SBBOQLOdMy0Eh2oWnFoiTulBCxSQz1imhpnss05+T7zOFRNTUNNZNrYIj1GPB7Hzz//4bd/+O1t2z5+/PTdN99+8823T09PQ226z5g80l5rkW9vR97uSESE+3xzN7Pj7bhtN4+43W+07dPnkM3M5pz748iI7T585qhmIzBDsuB2EnYWnhE+itO48WGrGiS100HVJwDgysCRM5AIhEkVChxhKlrs6RILWjtygZcKaWu8VAgkIknnEmHNOUSg2bIhK9O6ZETOzVXnhk3MfMZmxh2ciKWnUbY7AYBPt41sz+pacwKk1FJVLhTCZUpOK1qki/KveoLi09kuDb02ryrWcKnfN8s4L7um7T37bNdr88Lc5d8Xbl0egqu0mOMnYMQVnuP9Mkobx7PESKhYPxZBVrcQOhK6LMmyTIyv6zoJttqTnO6nszxyjT7eX8wlQOn7yrj0vnVVOlY1tYpJbCBIFZmdqe9UvqC5XtUMWG8V6xrap1ZnSQ8TyzFGQ5PB1HZe5rwvL1fPMVBVLzk7xapdWLBgUJ2jaqaVlFNuByy2dRarUG5vjvem9XxYDVZy+WNwItUiRoNdPW24V+h40iay08Zdd2l4v56VijHeJxFj7eJMkwui5xu2km6y4a1+hVxY5cWs/U4pKoYF2lm1sDQ+ixIa6iB027bjONSsR24hgrLDyMXok8IUWZmtFOa0AYmuIKZmsPIdEU7cpJnuAVMVmSFDNZODCch9jcMTaiKIDLHMiPv9iT/nVnGfqmnbNt2R0zQyx+3pPt0z8vOXtx9++N/43//r6f70m3/5l08fP3316StiTyjgYcMkJZy+x83GQoLTHUhTS2imbNs2j5mREWnDjt33fYroaMN/nqlCYfTWmdbdSPKuKFhQQCB8EJ5OxcraTwjGTyoDIQkPLw4lk/QKFYjn1J4aLE096q26NnIFcNYibpzl2yepxXjbHkimlPj1AqOV4K+D3HFGvzdA8nLDwoZIJ50le8xCGVcBXW5q/xxAXX9FJDyNiTOfcxrxNlrLcPXJxHJCTG/q6Q9OQ6R9gNuilo/opAnDCenzf/b+NDJi7B8iikSRaN/nhS6emP+W63VfLYtIEyUb01bMgUa25+WfjyOBKhdJb6b1utPOXwKKy9L1jZTFkCrSYEUphCJnWeKd5k1f2aoNvI8ALte5nGL3FOf16ioY6uWWGn8MoerZspS/+mrTXHP2oqSi6/7a+V2fRUUL2dZS2pdcL3SZ/usCr9wOzj3fz+v6Vnz794uQsX56/sp5ObLKOl2foNPo8Sbt9Nd7C6pXPyHJGU1nFrFdkUDUlLl4fzeyRmuIKy/DnXweWivq/iaKoOHhfAyRNXxw2zYAaCnmOqWJRPo8xGqMGu86EV1pLMTH/1YzYA3vqupDQysjYs66rcxM94gM4+PNiMzDd84mcOeMaUGmaQUWOVx9s61SSepOySDKbs5j/v73f/iP+e8i8uHTx2+++ebf/uVfn5+eSEidmeBg84GM3B87BE/37Xa/zWOGe2RuupnacRys3DA8UtOBLimV9vCpBSQ4xzWc6LIDWnoF2rvaj6Jm3TgWiO6GFVFx7wcsTFIVP0dgSZy1TnKeBxLrs6t82gcj66rrZtDnsZ5kaud4z20NLMW3Qsd826L3IoFhg0MLwARs2ZG178sRtskn2C7s7xmaygbopQSZAMf+0oisNg0eeDkxYS2m9uALjgxY5/xi52vboW1GFSFk3S86Y9HhQp7rIFgnvmUyhQW+C1Js17KWH8uzLqMqbUX75Jz2+jRDp0OQy+fzci9FisuzXt6rdgL3ZWG1dmLLLbXdx7uvfP+OfFc6jEsy6PKBKHe4Xt4K/pJt80vnuFxP/up3ORM7M000wq9mf+2aX32He4eUjHcX3R6vlys7V9G7UWSFHbXfhQYaKLt8CcWkQ6R+h+iUCHoqYXnp/nU5rxMklaMdQMOXzIYgpuo+pShARvb7wjq5Go87hatd2CR/n8J2zAKwHbXdEhlElc7Ndg/rz04GZHhAZNig0fVwk5q9lZkaukrwRPElEAlkBEGAjC1KqLWdpahlpb4pf8e+BAVF8UICNfg2qzu50mPsfOiUlIpkavcTakbYuHtFB+np85jd8JAZIW4YKVlz0eYx1RLIUE+EjQFBTJ/HfHt7/P73v//tf/zH7Xb78Pr6L7/5zYfXj89PT9u4RQQrNaoW6RxQyolHPqeNITWlx6kAlMix/D4aSpeUjeoq2XRyeWHN/mfbJh4OKeBfe6mapKqPt8rIdZrZsggIBfmAShesFPMJIMTY9yQVcWfVvhlp9H5tFifH7K3Lu5qBbKxJ6VgexIZOC1xrn+62WEAC3R+BFfYWMG1UKihmi0BFFuh+hzBF8O6iLjcqV2rCupJVMbuYD8FJZn3nQtAegEnWyD7ckJJglLaFvKilRXHiRJ7wX1ulRvftWvL8TvuGtTboqEsFlxKAZFH+ZN3Qxdb097KTH6gESz+Ihqhtwd8njsuBv3Nj9QmN4vP9fa1/LbrXhWZ68RMi5w3VqMg68G07eXPSVycljs9uJrks4VrdXBGUnvMjT2pAGSgPhqSXSwbTsauafLnFeqSZHQudVeX633pY/f1O3Zz90gVZroGLdKx5GYzR0PcSsPJTxBRRfLPeYN1bWhX6du/KEnrWqJCsGgMT/JVqL3VK4URJBk2XqIX7v/LM9FTDDCsaQWYLgdeFo8P9BFolSVRtWR4UnlpPgbTAGqrcn8jWo7x+CYXXWsaUOEYyMzaV4J2JaVKR0yIykG6cWAZ3d3EhFZ4gMeJ2s6QLtYhwDLjPoaNmfkV4enj8+OOPf/3rX0z19eOHr776+jff/8vT0x0CMd33RyLCC+pt20ifAPZjF4GZ6hj7Yx/SGq2ZuA6oRCYnRSad3cUHdMEHetqi2ludByz/jwYNJpaIjgu1MN15vhSLXnnZoJkcdlEf4e4qSjcuHaDUK1eDTHulpALXaT5PaypKiQtJBM5anSyllPO48pbzTHee+JPSKzVAuMBLRIhJxRDLVi72XhVOzoEwJzbWCqDbIBWkrnxfm/vlD07vdJ7m+r12IWstu1aRp1RsW5tlW/475Hz64OvntD3JtU06JnhvfSti7ouRd/EY1o2XtULfaEHgXnicey9XQiD/y4XmMv+yuGPRMWFfzn81mus+3wHMAssEiTQY0Q+RVyn0qW1r6100kXS0yVw12rzXAp+mk/OSzxUn2u1HSAZR5Errt8E9kU095l7B4DldEXTHZtmwGtQV5y5yXmQ/wMsqZlaSMEkdYeI0IUlFggV8uGMj2wSLSNH5pZithfyM0/EyIeeEnLNzt3OWZI0yp9PDi/k2YWF58TtcDbLvleAcELPinrYdSFI6AWR1VNXdkX6SIQUtSRANpPaIkQTgZBCUAHavcj+i4l5ysevZ1IPtT6kHIFnxY9mHDHc3BVJDwmNmIDjPnWJEPmtf1fCCMBJhAYsR4XAVG5mhGRlucbvnU3i8fXn89M/f/eEPf1DR777/7uPrp++//z48tnHzcD7bOXdRaVE5cdYAysfVRIE6p+Uo+9/LhHFxzQbOnqMFodC4sO0khKYfChFk6DqMsnpEe3mlTdyKBK/bdyWy+RnZpOklxUwrwp828D0tDE7eZJLK4D5bYmGFvw2COhjMRcOTBtOV/6kchjDOaRu+dmciFWxNkLbFXOaucEgDEawoBLjmzQBUnflXpu4MuQq9tXlpBFj3vKDOckXrU8qGYS3/O2/y66/y0nmuZwGsBs1Shh7LNF5A5EUGpz6kQeXCx7Vv6AxbFrzu4l0keD7XNiHv3vj8+yoMR98jcyF0X/2c379DfwoqYlgljIt75SX1s74AA+LMwoJ5urFyxtIrxqtetAsulIpcqcnrAk7XK3IuKxF9O/cmca7kCbLaZauyT0hxETGqlEO2qzw3PN652xUWZGYlerMd0TXLhBrdC5boykZX2EZOHWFdkqksvnBb1hRcSjxGu7SaXuDVUazQ80HxQcRlUE9BnizJmXb0GRkaFLsl+sxsDT6+6aqIRYiccQXAuh1LgCoNHQAg3SEnKmv52dpIJPmsLzap8deZUeIphFpmBEIkzUyMWDnTOEpgENq7e6QjOCKYtU+IwoT6phAkwnOfAyHDtm27R2TiOPa//fVvf/zPP/5//r//94fX1++///777//l5eXZBNOdZAN6RJ8+hoyyYSbkqLSWQ5JXwAjuwkMvm5d9VNCmQORshFnLaR3GZquA8Chzj1xRslbGqx42zapCIpwwFkhTKyWGy+FdPidPjN8+qXZUkZcFpIdqRJDXD4BtI61tsgB236nWHDRpU4I2rigjctrQ0rxttNv3JmjY2M61PWcvVC6fWau7gOHZKCbr49qO5mJ21uehZXDqzcuolBJkWV00MRTV73rBzP/l61e4foHoq+FYpq6ddi/SZQG6qNkui5iykX37wWK0rBvJIgr1Sxpw9+qtTXCBEGUNY+2xNsV9zUtopvx3Gcc8643NXMoLc0Uk3fsuV/rw8gHlT1J0kaHbmGA1lzRu6Qx+VUEvKbRslwC6mjYm1dCOEEi0mT4/X+rIZNOLW+CrAXI/e6wwCcyadm9z3zh1AdZhSVzaI9972WwTgAZufLoirfmDGlYQ1V2kUOTs1BMAgcngddINlMAXOqZYVj6zJezOH77zyyKdqwFLigpln1i5n4xK+xAJRXGHymJIy2tlQYSQ0uDMxpOZqaoeUyBNCm8AgMxMUykJeZ51PqcIJtRdgBSDqIPQnkYsCjcUSDUzh2emc5hNhntm9FdmWmnaaESEig5EZCInRNIEauY5t3kP9+M4/vif//mH3/3Oxvb68vqb3/zrt99+O7YRMVtVaY5FF7nCHPcAqET2zgDxyLp7CXB2am+9ZnEv2wycqVlZ/+s0d+S5wRpXCMBVo65xxZjarAOiBUnxLMHCGv+rUiP6zh3ZJ6PD4vpoYBujt3BjmTZFtGK9PyoY5aCiM6Av3yQLTS84v7SjaagUbULKI5URX0eRm689gV6XmuZMCBcXPmm7urZ/5inpJr3GbVN/bdalQ4/TuLUh+2+/Ovhdb36WGeVEQAvoNiICI+NooSScTPBfXc+55RaAaJMvl1uT3kRXS9Zen48S55Wsp98Gsi4cC9e3Yem3AbfZIms2q3Jh8EsRUjsJViu57mJdYn1OmePlvvK8bL1svEu4tKwdatJqcoJuRxRy9frnrfTBOjfwuwQft+USq5BeukZL57fPdavg+3xeeaYx3z2+9WS6V6thTP9JNTkAniErSig/1+2kKZ6QHuNaH9fevWOOXCZFhOrBq9yqdSQSiZBU6dpKRjfKito5RBKwBITlwjUwlivHhWpaKtapZ6Oxybae5AL70lLMKpraVEPKYTdWEIS7F/8hi0KeCCvR/qS2hMmgEODQzByR6eqRuR+7qUVQ3DqjxiokJNUsMjbKP0RopMlwzFQ1UyTCIzJ+/OePf/vh76J4fn79zffff/Xpq6+//tr9GGicxmlkfHczU7HLES1bU9aqUfYZMOa7Q3Luqq7q5codN2S//q8C2drnVRDj09KWeQDAGCuKeK8c7Kl8WtKJ4PbIAFY/4jkWuLanZoZ0ByBkiXomuleLOiQ0SXTshcETYMf55SQU9lWtYmCeR0B6ByyGT9t3/rFO0ftzRTfXRq/DyXUNmS2MVe/y/qusZr9dI3lF9ei+e1L/5bev78Nze77isvlFpKa+5XpP5GqxYdxa914ihVr7RO1i6xdiwDVDtiA52QJxSTnWzqJ7QGdLlhlt40qUyJuMNmYCRPr15ZAFlCFsBShTC6Iu6diibAoJXZntmWqviohyX10dS2/6QpO53gvvagALQzSoZNwgVSgmWg0R47Y8PaG8g26Cy2TjS+7tGtlcAuW2epfNTLSNupjTApZfJKypdoGyuPWM+eGdxVluWroYYl0eFyCgYwCtLSEtKoPOM4kINAFIWiCQhaO5dKs8vjiXTFmgA6N12+AMzZXMToEuWek0M3dXFcXIQCKiKEal4N2ZSroZNgOzZ6wcyQnCSOHLhrL8PTWkejoEEjJ0S8lqZlKpmTrJpofQMEtNaPGpggg/TcQzN7OaZEx1UvekIDMkA1bjcVIgcx4Rbk8jWSsOlwhxF7MNKQn3/O1vf/tb/FZEP3x4Geu8cVOqag2fXBD5dAKCIvCcsLbdZ226yODfaEb5irKPHbjh7BLCxa30Ts3qicqmil7OdXfPM0UVMWwkNfziapjz4qIaYCevAJGZXkG0thRLXmpHXJCewNm/p2hUnVKmviBOg/u+/qu5xLLhZecZT3E/yYnUssct42InRBYlqVa7FkPPJ3JCPz2voW73hIYNkNpkrV/i99/5gIasZ0a4DGgn01egdlkftI8pUXF0KiNOa6JlLaXt0ft4QH79dr2gnWu5HuvGC8C1Q+GEcUtOpqAjlpPG6fbykghaD/rdRTQjeKHCiFwFzKvDobk985L9Fy36cqOsyhjxGvR6B/0wQO/CPSqo1nxmi8LnCoFqUVo/zoMMi3p52R+1vCR50LY10QQNnpBO8tQ2rairz+ppAAosrNzVZedwd3ZBGO2hRZahzMoPZ0QM7ezMLP86dGQxKReDTgFpcR2h2SmeTGbDKcsMd4ckRA0SPvnb9LCl5EKvc7ZcFIpCj0DIzJTwJEYRZumlhbaJiYGzhneJuclkFV+XfZo1Vji0TnUlw8qtGpRpsiJHsafNMwlBzEIkukw12jg3KPHw8DkD+QiPkzMWGSkcEZCZphFuaWxNRsScU5BwV33OTE///PgymN8vEoIIAE4RygizUWhOVhq8LBNz8cuWFA4qPdX3R/oCyMo01SKu73fBvk5IdIGYdCM9zVmujxZVU7Wa3Eg5h97EPBmtqJcmNZsgK0O6rGn3PTb6OPHLO4hI1lBlG1Q79K/ErzRwOa2Zma2z0f6nzwNbEJTrnKtDjI9Yafj4HW4P/HdfjfoJL5vhVqXRhfIWuK/U+3uCUP0OrhFbP60G1XyrS6wgp6Gq89/l4OZl9W3SgHRCtCfNknolKxXVl9GLVMCzdwqvvLp2GnCsK+8bqTSknGhlcRxFruFOZK5M8v9L3LPIDvBgRksSRYnJTEj2yJGCAk4X05algVTjBjFAZ3grrNSg4Po7B+Et/hvDpwUpziJJNgg9+wIpxZAVdpQNanNWK7+cHPcwFqm/d+zak1fn1X/Ld+uyit5SZ6R4xtKZcOCCRmqrZKdSUbwJXrIszGdj8M0jAiIqwxRJ2gyjNi54nKUWNaNgxeGTD9zGiMx+c7YK8ywnJcjCvWzVBRAwslETDxeFgeKflFeAbSO8GOciMjg4Pi3gxUldByVXGjKpS1HWoEZXNmddMDBiFVZVWhgjE1UskUGbYJGpK5PZdj9FwSZH1bDYtuEeKnnEIcGyuU/3CEMStORwo+PjoAIcJoCEwyMjJWb4HL0bgaadWPH3z3zFiTsq7qzDuoKAthFJQ0tNPlTGa22mlrc8XbxQQg8dMbDNO06e4mUL1w4786qqEr4sdr9zmXeg2KI4L7gNBHkX7TCwNvz63WUTC0/WaYEgTQdW9ebc9UJUuK52hbTvzM3yCuhPkfWy5SBx2rlFlLncFl/wLsmDE4mtW5XrZS/z3+9NI4l177/6Ok1tbVQ5i53IWO/WMSLaI9TjXB6kHf5a1g4U+mmc/+nLI0dglRMudnods3df2c911aMuV5ayXlKgvjc2v97fdNPJuM1k/Xn57WVP2z+VhMnalqosF/fTymxRxURTnM4LSo+UlWNCL1kt+LIz6CAbGdnbbPnA0uERaachojWS94J2rzdbd5nnAcfZCidn/eZ8dJc76tvqFcOSdhVkVPmM5usMB8qfF5Bsjy0NSvooLFCYFRexEbU+a3H/hAB3pFzUSDJTyfMpdbrsxW+0kXnpa1v3YWYV62oaulsYlSwigwjl+ERTL5eOrDvO6lQuL4RsYAi2jxRIKloUVSu0h1wtzAAwdE2jWylkV3nFLFiRmbC0zFANFd1yuDsSHm4W0WmilAxxJEIoUJR608wcOdhpbTGQOdo/l/CZXp538Wdrm6FCTlWVs1Knslq6+MVc3bKYuDxjwTnnCGu3SxFyesNoq7ErwG6KjFJGawWoiBCJagLQ1n6hC76k2utBQlaAvEyQtv5BLlLSBRPRmjdS6K1f8IEJRCYE3KzkVKXJ/fXpjfpxnoG+5YuF5spmiaoy41U7tpDW1fBfvvLXyL1flk1COmFy7/h2Mevzu6G0Tu9663aXvXzLgpxu+Z09qYfXngzdLrAsUtnBOr2SmUVKr51Rb5HXtZLzSs581ImuukCyqrzX68n3v0m3wo+oGKmttpzZv8bmC+mefjUWvmlfzZN7wc1l/spnSJEC0RQ5Mn/at1zjpH6jXvm+2XUDWNEEMldZa11NZhpTPRe4AGpWM5TPxFI9u7Z0XZwZ97O7FzRhexeA9hCnv2zQ0Co6160DSB/wlSPJFdAIBMKTWP6onEd0k7AUlyohYrxd2OHHAiOr+JbpquIu7RhQGADFK8328ry+KEm3642IVlatktWUt+sVOyvLdZ6iVu+CHLJPA2QNb6gG5i73IjNDK38BdyeHHo5+eitXmbXX6l/aJZi1G7g2WegmRQIqI1JMNBMjLSI9ZkS4UxRPu50gzj1APpHGAMJjLNBZS3Pus97W7e2lo/PeNwwUeiTXQrnAou5ApBvrsxMRi+SczEVqj40uL65yrurK2/RBXQaU30ngNBut//PrmAHlurIDrmUZLsamHVCfxtMTSHP9m5K55hxdTn95wNN6nosBNGS/OKH+G4ALWV5WQJJYzgDX5Vjvcj11747gBbQtXHbG79JBTfaq/Kpu3+/AEmQjUkG1P4pcHMZ7H7D8+tUHtFfl6ja+6zzzxQv09fYTrd8Flj0plNRu7nyIJwDvm5Pz6dQZerdQuX5y/W85C8G5FxduvgQuiURtxfp8UZEoYHtiWAGK17jWpNczF5wiWKrv1Qmv4kKeN9C2ct3LwmiNXC5OG2gKk6xzfbnyvtV+9KCkZT/mfirvfvHyiLOlOARALtvbr71EHSdgWPdQGPB6Os+gBRE4V6b2ICTFzEjKhADaGBgJwEzZek0eoMKWKednikjUrNYzkijkXtamXF1GAtHdQrUOK+mfkdC6O6AZou/OHdrPqWkb6TLZha2RaWoEuCr10KWXmtubozeJehd8XM4SCWqZS3WlGZAGtUFzLyHJqc+m1YAcEcGaJ8OCiCjZBItIiI8sgkqf30yVqn4x287npcVHlMz0jCWb2bo3tQpVS+B+auof0whr95ddUs1ws7HQZQdqjbvafa/NyvtR1SrfW1F3zDRX6LzOBhsouPNOq3gmsaTfeh2KbPh26ih0OZowgKOFpPSOOOdogYEzml57qBHlafFPn7PEP8usxzvPcTonvLMFsvxbnqHJclf8/Wue7oStv3pxHfLrm6ylQ4embUkXjG7buc5wm59cxf0E0FOar/i4l+JyX+vrcpTeH6mFOeVyT2s18gwmZeVKFngvnHk1YwvLZIGL3vInaLjgfxFZdAWcrSdtbukDpGcPVgql7eAygY0SL5TZfqhYsURjmHUX72/2hIDt/04chRMQ1iaj6SFWXmxWHsKl95utfF5rkJlrjCUtqJ47lpm9y+Y5N1KL/jakeJdGOx+v6Bqtsbb2JYMsOBFDbxEaC7ZGoodWcXxjKdbUtYWIMFEhTNwsUpMCEKszU494gXZry8YHpyqCQYKQMiN/GaAWksqcb2aKVlZfqt0La1b52kurfo6zrBSR5LWbVSmoAAMPmgRbKDr1oRGOpBE2WCCbqAxAoWmp6QlJRCZMIkJRC0blBVTR2LJEJTQzPTwzwiMVERiXHdX5tsvTXSMB6pudt6tOuXWk158Nyru2HNEVMPTSo8+c2UAmRGNhh2bX5CUjSWDC4tu6Eg715egXHvMVq9ZfwN9qVHZWBBeKynemh/e3KsNFYMhGsGUd3KfZIJFURWKhoYsFuZ7ii3esN/4V7pUek4x1URVuSHJA/Hosvdzt6uoFl2XlMhcTqvDVBWH2BfVHlTk/0yB9obh4oHqX+C8/Rf+3jSTQqLhXo1zIWoHO4kJPh/QrdyD9yrJ5Unea6/dPy5j/5bfrmsqJ8eoqIdk7gPayF7v+kEtFtCOU96a66E/10774BDDd+43LYl7cVLvGS9TRH1TvXX9/D7c7uEAF2Ou7/amZtU1yNaCeTl8uOx6FaQpjsTYb2U/04rYrys8k06mawpBxYtXKmwHF0OUuvYQWXRXgBdTRoLvsS2hXth4Uzshy5Y9Q7j9anJHvzUggEMNG1oAZkVNVqbyqsqTRxqk7h+XSewVUQbXH3mcKwMkBAmRnklt1HxSsS7akVbFBVsx3pl0TmcVAgQgizUQ4FEHR1C8ZOur5Ls8kmpkuqSpIlQwBIsPS6v2TShPSRwFIZMyatJihgjDaN+osVRGUWcOkzKoj0jOCA2sieyJYYddf0cP5ocreg6SKR2OQvFiMPiiFIwSkEmULwHHf6RLv7gNZt15L3B2Q+X5EH/gSmphW5z8N7nr2vf8uO681wZejupAYGw501C8i0v3fy9FwVWglxhiRJJ4C1RZYjYsNzFbox5ij2qDWTVdaYFmoPufl+tqYtb/MBCLChsrZptC4IZdkNzH/MuJJSZX1dLgm5Vlz3fLJxECfR5z/KLpnLXIZNensx6+j+GoyyhSIpy9I2I9joT55ZybWygLLMcgCj31pJHiUbeoIpHdgb9Q+TG1R6m8L860NernN023zfErLc55O+0qSqeNcNrspfRoRZtqB7wl9ozcYNZ+JaeRXK12HuO1dAxIuzsq3rEtfg+YXXm6Jh7w2l5XHbafCm64sMHe5qKfTm/I9Q4qdwrraSVUCIHCPxWK6AgUpbCYrMQSa39KbtIZkfbo7+8Dt1Aqr9TQ6Z5XnvzNVB+cbY6UJVAQ0RZqZPuPMrS4egCRkCQWViSiwLgXg0RxlU2U77vrkiNA+sBHa2dA63yLSama1p6MPY7UrZ+OsyJAwTvVIyYS7o6ZXScPLKjcqyS8K92iMKo1gIaD4GLdCjfYV1cE8DX2RmmWGkkgq7b4komsniZT0SGjpVnlgYA2C7x1fS1aHMruKIw1BT1dR8kHv0gjJ5xCJlDWKXT29o3huz+gcc/UZZQPdPhOdnSH8ZIIwA2K1Bn04l4IbH4lyjP15wtpILNxIz1M79QR9/OHQ4e5nrhMiKjFDeyQkVynYr1+xG7fjWiXUmUQWkE/k9US1kRL0xGMgKSoHaWtbXkW0BeJOy1Zk0RVDnObkZE+dXrLoHFUJLe99GpX3v76Odp8FmpET5iy4t167fuvqetHN1H3NgusBTw5suFz8xebnu2/Q0rWP+5WFOK8Xiwm67GCub/d36sPX1qArugBY6iZWJ1SWk+rNUcxKvr6hblmqmoLZy5GnXyxjcF7lclIX33cNVXDxlOcvrZAmT1uVl9UoSLiqpFKXSordSqJKi9w1BM/VOJaM3pjRQunVIEW7vf+85TPiKH3pxhPns6FJaf0GHlIluXxddA16zy6bZy44LFKtD9mDxkRFocXlz4zS3dPbduN6zPBSMuYGdJfWEajSay7iHoulUS/OeoJ8pfQwPi6wtqRoPznNho9YqkSlQ9f9w5IAPEKH5CyMS6GdYgHRxtZOUdYUQ5zpdGJc6qMuSMECNaVyBGJq6I041IrwLpmZmpYSEUG9JQmoaBoV7yIlzTYB3J2c2VFIKFJNPWJYi9Cuk9GTdC7IqPZ97euyavSBXbtQASTcQ1PSdU1brV9UiATRIpej0YKKBLrtCiteh1CnqF/GXc7FYvNRawtWRBPRVGgASRkVWSaaiEnVPNxUWSqHtCBo7eNKMo4xqM9EBVB6hfW821+VrSwXeYrEQUVX81xmqhnasvfhiVLrzB6Js7ByA9Urqj9BLn79deJnOd15/6R/en7zNCBt4i9G9R1R5ATCNMsLhtdCZYogYhm5i/W/bJq6375x9ONfKYtf+wQsU1X3xcuQi8fIbH91eqNlU9dbJy63dv2MlWzJi6BdLOkZbthT6EDQGkr80v51buJ07rlEQV62pK21lI5XLn6njN6yrRASDS5NAwvuXB/l+lPazxA10+PwdhYLqD+7OvUoTHxZsf6IyJZzqWeKfufTWJ+HqK8wy/KuZEuXOpkPXJchC5s1nubN9oZppF/rxPQ3JUb43FUkpZrYbOFDJJhPRsKZPxhjsJDqMce2MWiIKEstksMMkIgjG62ICEuSi3bJO6YfZepITBHRudxcy6tdMFh4dBvD3c0skQISmCCKjJ5nIMJFJrhEAFasvCV8nd13bTxfF9dNQdM0BSdCrxmZKZHskIKIlNhcpkjpI1Flb7BtPWwAfC2zPbrOdWN8pp26A+rdVlq0BOn4N00rleE+TYdxxIpVC0N9Vm3XNDV3Xybp3Km1/2KtKQDFZYdJs0TWvuRZzvAqSuuJj+vgdZSwDl0mNE2t3FHzPj3cxKR7LNs/aeMwWSf81C7ovv6LWc9MWajxlJpoTs4Fvy83KpcvVREOCF52px1w1ovx33y1tQDyZCKfhZxGrcs65zsngXqg7x9H/wC4AP968AyPi2J4Op8GSAXLf4VoT9Pe5uSaV0CHnvWgATSNmmmPFctdEvfr2b7zbXm9h6tzO637StX3cnT6UTvPw/ODxGpXvPwaIMVCzsTF0i0iyskOR+a6us5+nXHUZd1OO4tLGFwQYclaFLagZZQMB2cnolgA0lCpL/MCUCBy7d/6L8+60YAub3V1ntJPjg2YEZFXJ1nOeiUm0Z/Vnn6Bm8slrAmy6wP6JriRzyh/pf76sjvE5y8GZPWEKiQwbEu28nLF6gEtbRijgA4vmMoRnDcpl31aG1VTRFIr2lt64Hm5n+tMwzHGnJPSBd5EYlMTXNIdkh6BBBMA2cre7fKyb6tWqblgqaFEtSlR7RCSAk22Q5heanFJGTzuZ9UiZdILDW1Ehs78cMebNdwus8DzFqDsxQVZoM+k1HgsoAlPArSg/2WDZQEuVVsZ/EY6/fOT81Z9mJVIZYuQnMkToHjEUv3MUUvblqYwF7M1EHoUbqMV3V1OS81LQjuJPnjSKZpz5+biOHej9PpaCyMcPYDafMu20mFoudU+6g2spC28SGk8tInp3S+96a/mVZZVTum98v7QteXoG6x/nrb7v6nt1C+uBr2FstciXI9ye1hZeXDgXSxSBu1863ZK50+zIxWe78V2KQe3fp/+5Z3haFDwXy4/Vm4hT2ewPvoK0U8ofj6Zs06eggYGl1/JbGiPdZr6s86XBVhDJCBYFJLrW9VGzU4bXmQw+6qld0ZrZHZWjmt51kOwgA6Ala9QJXQlDl0vbQy7bvp8luciolZgBeV1WYFliflEllGWZk9qZ3WkHUyjq1yH59JvAVnSVU026VuTpqJ0PHUivTx7hYAMUF/xTAxKtRq0mAXMzEEKnkCL7gkAsUgu5c41hd24LNdGpA4utwrAcmB2s0U2dti2zd0hJB3RfCIiJNfehgnruCDZ6vR7QHa1TBNIyw6j+V+t7K4l6zioibmVU5C1FbNMRyrQhG6U0vb4L4IzaOnUOlGDnQtY4UdtrPMZ9+rT3+faIRFr99Mu6Zmcb9r+BZITpscSjxGOeaDXtYWSzq7uAgCNN0/Ew7w8yghe8q78KDm3eXeXNPG2s/Z881ULrd/iw7ia0agpjz1O8zyeXec8X3wai3V46q+reY2o61KWiAhY5zX52NFw7gpQrie0zxVONL/Cjg7u+kgT7q3bycvbrV9Bcyt/7RqkJsfWEz+t6vlA+h7Xb7TJgKwPJdg9I6J13VmHoHdbHeLzzdflXnwI4dJ1r2Jd4brnc+XamaE4M7yPKxw5b2ThfjmtWN9vJXXkvP3MbN5wfdK5LAtvhfvaMFj7XtZytqEEPKtA/f/v678WXEl2JFHU4MHq+f/PvVMMx30wATCr52T3XpVJhnABYZB+fjN/eIE9h9RUsuhJqxzvcDckEkjwbsev57V1vJ2aVYifx5ONwhVuGmKDhZq7HM9+DbrorLdRT/lAKg46e0KCoUsEsfCyjBxPLbC4v/ETqnBZK9c+wZsOgu5E8pBF1yKkHTSfzLKo81Q2m34BVNu9exrqgVWWrXIV8WidsoUBnHNeqBbSjkd2O+prEhKRBGxR9QXT099t2k6AYJoRuIwMIaVSdjtPTr7dfau7PnRRCdjePs/KOaFBsthFWyZvflm7Sire7kNjJQc0cvlO9b1wy6mBEsn1ZJbQQAYM54hV2CGrSx3ccEP/R8cqHx96cRiDIp37eF6rGB4HeUTiP4zPVJmh70VF0qVE5luEIkx1RZ7q2s1GFDJsexwXOg1KlCmHWe83dba/1GNQwmh6L3XPsCIZG1Y55Q2ZIfaiqgXgZi8QbWHlEnlRNpOyJFvE3y0RwLobzWOz4SYivw2yKaHiv33LIny+OcBQX6mRTp78n9dY3ivwanS+1Oa8Sk+OKtHqyCacD0YMOVcnNzTGlLzaeifem40p6UPYWXvLPkcTrH2WjY3UlfpdnT0zFGu0Y+a8IMl0JdUSfETIs8kjk8y/GAYxpvnljl6L9ftZ+4y/uXZQmDwVl/CIy59dnBd03j/7KB3SP3LNITgDLAi5lXUJDym5972hTdTBuXgLVSrkO+ReXqGkD6LAOE+qeAsPqWnnrrHGioOkpCq2NjKF+fwAgXcfXSOL5b3Tntau5mU13ttO7piexLfVaJqw5D441ccSjwNGUaHIeGW9lBeg+lY93fjc9wqYG/dBQOM0VYvjurse1kQBHfwaCgv1WOiygCz90YZorBv+kJMwvkFVd9/bz3OcLHrLJ7qbtlfqCfAkMNvh9rJlvYcWlLek1wQwa+Pi8g1Slpafxmc+ElY2TMU9FQMprc8xQIkCxv6OuID0iMqvw0Ke7/qPwo+evvRTfALHnfSy5h7TD3f+YOJtDhSwruyfe2a5+BVfY99BzwUJ2mvRK3ydTQx43MJoD2m9zs9fVpAgf/94Imz16zAiv0Uw4hdPiohIM5MFtMew8Da0Y6Nm/AQIUOS+vwnN5iOgCrdxdKK6tX71vRcv3/PrN+skGukD+JVAgHnXil3N7rjYt2+rgMZE3l4ULBrhomuhcsH/9mNxIerzAHs6tywUG1O9u8Pj733XdiyDGdnMhYNR5YTBTWP7rtSmjS+M9OMDcGKbnEuHznlsHI+AiKK1y44S/zmuP9hLBzkS+Odzzud5vt/3nt1n3pr5oOpYMuNePGoY6rhtiIHVWz6ZgMf7SjRNRijHi6dOAxdd7ZPU0IkkBDrADMAc/W58Qg2Uj0TiOV+tnkeDqJFHnT1pUNwZSwgdLzErkeCHdwf5NWy8icDjmWMoAsY3TDY9jq+qhXcdFmf/8InZ5pd5G24TxjnrTONEEc/I2crwl3gK9YEaqOQN7HvP81gd1WUq51FP+ed5Fi3F2AXooAesueq97zlHkWijWm1BdO6PzWEfkQlXeHVY5i8ZG85bcGh5LfiyA/aalaOvIxxqKgD2ClcSriSaYhHsELri4b3zsRIinAGvXFtvnnnY4tf/DOqD7Tv/GrOeY/EIR0nb983oIsIkoiBtN1vAIyRfyO2v9gi2jrzaLdCjvjqkrq063BSTlRO3WKzu/IvAr9nqpdK0tNLKvwrM+c7Dm1VwR+5CncdVvqsv0AgFNKvbYqxoRuiqooxeRPUfHT3ueGq44+XnvpsecHN4S8Hd1s5D+rcHY5CgIgBYFALPnXBNOs9zj01joKk8ehvocZQxgEtib3YeK9weq8MQEK9MKhXqNMt5nbcUTXZw8KmerAGdftFq2Aowl93uzCaKVys63L7f+2UlsLAgGq2jGPW7kulx7+DNwwxp3MLp1gnp3/tW4eggzKbzUEUkNjNBWIDz+clw9242GyyzQXHOg7Tdsi9GsyO2O0zZ2Rqs73zet6oOc4Fl/EbER8O75zQXV2wmnN5GJees3tSQMjZtCmIWXLMD5flAhNBVP7imqpJftIlbEkFIcpL0cxeUDyQj5TxKmSBtMKdTOIwjpT/gwDBX0+m6TG8lb8jYsrbrbhST4WLAeOYWQojSWKyyxrlu67gMPEst/EB/64YtCH5Eoy/xuk8AvwpTq00wQGtd4njB2YiYPepZ2AGL+/tA+9Apqko5OhisaHEb92TQrrVJwjkt1H+KsTFsKTjzzX9l6CjSaNwtMhk3BYQjRs36KpkXzmu6rLFcXi6bhqKut9lssszzum6tZXsriAy2zdHdhCb3tKt2mefmTAcNRrHa1gika/R/GxX9mMg/fmos6hs1hAATAArFz6P5dLWZOT49QrK7TDWb6YZ+DPCtnxXHpkYnFnUQoi1IHLq/lWN6K53kXUysJ15ZtKiHpW3XcAxNu61FA83aMdQCBLJqns8TlTCGF7pK722oqu1z/oedRGVtNL73X4pdaw6dJLqYui+PNqkD4BTuRQOf5/O6MUnVcU2YWHXMkSo0PqQrtcEzU/P3c55775M0ob6s7ttbbdthiNFRr4jiaurDxclbytBOyTOmrHSdU0HpfM65dl+yy/ZzDo+7C4hnk30SEErtgHJwlQTBigO3W34/j1t/cPQ2eP56RJxJ7SyFq2OQlxnUzaI8FBT4djAAKiFbRsYoV/0JdXhPXtF06DAY38h4GD322Tiv8iV0BkH9fKosAnL4nxsjSkZPzzpsMSyZ22G87CyMNfA7IBNA0ub690aRj18eqR94bJNllHSt1/048oUhjVI3eq2oMuyPM8tZu96f/L5BI5tJ6fCygg3NmBawhWbXimU90cEoKlI7qVqyuECi8olgPEsLx3gVRl2cqTv70vUM5dH3HWyno6+cto/ueKWJm/YEJ+Dh92zIWBEwe+ls80nQWEsP2sMPuRbu+/Jpyom4fcj4lBznkO2rkldsdvZ6ql8Q2/JUPfW87ysFtAapK1F6IwBFEsu47ZaOvMV5Dg162quHnQi4mpRUyjeRXKpintVlLLJK3XkoNN7v6w063Tyvm894bqNPf/D59/334AD9+ej8gM/nn+/7Pc+jfP3gYZzzXLcn7ttdT9V9gD5XyUxAuQ+/AkSH7a/JGtUfCoIWmUlsOzkRxyk32fLS+VB/wBt89A4jc7plM1bAWcekCo9pFYawrnOrS36MZLU2K+9pUpBQKkIu1QZSubQrD08aggJE8YfQ6C1f+wiwb485quq0k4hZztdCn6zgMCS7kmg4MkElU+VWGZvDDQaNaBt16r1vlQ4Fvvc9LnCTskZWqJYf4OeHkG0q6ODtcYispYdyEmGkeP1KtHw1yiA2tf4dE3tEOQztZnb7mdbK5PaERG5fpuqVjcifWUkreP49z1bWiApZz1KOIqotsQ2d49//s3ZYc8kqAWDzBgFJ0lmgjmCe4IJV4I1rq5SVjxud3cJGYovleIHdnz3IOhi1qlaHy6zB5jE/OXjAmGBdFtOdAACfYUlEQVSiiGVS0mOuHeKRmGIibTe5XNBSCzbRHDVITN3T3z1bVRqwtC8vcv8EwDVfdwVein7TwwKm5HRLBsSF6z+n490pE9fCZ+Vo9nFs1rk/MW0BHhbWQCowYgO1C0OqoGZcTLyuqwbJUr1siagTijU0Rijpgr5uYMzt7Keevvc5H16DaZyBU480McQ77hr7ubi334MDlRFwc3mibcWvrlUi8cl51gelVhD3lTaznrBJKQXnbP2QUhSA8f8g5Y7s/rOPTUd5wJX+GbHBS/G+PvLYr/AyeZ+8US3di2vBWoYnLOYyh1AzL1kqqHHaoHxobwQmuMOrck+8RRa8957n6Xu7cdyGCT3GRMzn2kf4QhjWviCeNfrpvnWeAuC0z6wtDDraVsnIVo3YoTCvgJ0MOuChJaNTJFELF4+a9r53sNtgBVXhWSQGP/9kFk3rnrga9eRE6kwoi0Wl1yp6xzGD8QXBANoayO/3poT2FjA17XuobX06hKSRVDoa6YPQZZcPS5EKsbYcEoRBGapxUTrNFFUo1QYb5eelzfHZmeTwqaV29G+cgQV2vjz94ycxDXSQfIiiItm9IlLA0/U+jtpRa0ttog32Tvze0CbYxDFT+C2ZX7Of+Qy1HK/yivFFYi7YOxpVtr1MGNAr2nDG2rYtfXDIbC7h7HOet31UshdMu2aKquXEcApfDqREoR48iljUy3RSjogdlw18KSSFXK/MqrJbAf08aDWgq1sq0ZVXRjEzNk0459NtK6Nxnurufl8Rq84YuuiqUx+eGtldddhu6GGzPKDlYWqFWGBtYFH2AUqRB2WX1nGbJP9IFlOeBqfNzMz8DezuhZP1Y/wK/GSHEQg4Ddmgw3QDq+Y/aNcBPXnTa+lhb1sXdLrK+/2i1NzVTnyHHezwYLDViLt3AXCzjYlfTXZKvbR2r8b1fXwuhIgL1d23r7RRN85RGwkR56DDgirOnvM8dS4uNa6PpshPbzUmaT/yUHTsbaEER7xeP27K/TPG+Ux2f0zzMJsbf7c25ecx9d9diybbqBaj/cvZWxJDZO3eA/DkIpwCNhfqREyPPZmtMT2L8kAE4Nc2BrAwYZH9ARkjTFL/vr/zcTmELoWbJzaiMKkgq+qmq8TYEOlsKIlXB1tfVag3kyyaLK+/XAIx0rYxMmhZcjBTCzqwLgHVwHMe5j4C7YJ2oexo2Z/yXatuL8/ale7uPmmmUQYq3td4vbVVcl93YzPIEEfVuf3W73zppCnl2ixAAERO7Vmj1QmGwNlpoGrhGOcYr1VLav4Lp+DcboVnXEol0SqP1+lOXzkD+WpQoBbqUhyyYI0cc7t/GsXLnaTD6JtdHzzhqnNxS4YaM70YzrmCEHWelDY8idVZ1AJV+IAp1c9Jlmex3bRzVLCGPgbZ4j45Dhe3bXW64aF3lPbm9EeTG5S8eZ0pX4yhwybhqeVsfB5N5l73xUx9Yzdja16aRkn+c/K2B9upKdILN3latk4N1O45T5KdpNSSVADUOekBUEJep9ltCo1OH6SBS8O9xmtqCuj6uDQ+Isxp4CQfqDJELTfSplWcUHZb4OLqXD3ZI7azLFINva31RjOSzD3Qxjh8/OZVpmpYJdpEbOux+mX9jGjQf5L6USpkyfokGrrAYIcmbev8xZ7YP9LF8hP2/lazhUcYIJ/vp7aTY13NGIbgsyx+d7kDKN73Vte1frDxtnOoXB/LXe4u5yMA9A1eOG1suoFKw1zpgmriEppoAw/GtoYNV3Oi4D/c8J3C5fFtR9W2NXPfKxNSD0KfnaXVePZSNmR2CYItJd0R4u2+kCFV7ljlJhjkuB09vKRXZCb7kB72xlVGBrVseb/lDzwjqexPYdaNspL6QtXRp56+9/JUgxm9uPN5nvq+X05ES07UXckmYnyXt+VsFDEZ80vubRT+Of8o5EDxS73jQrxP/XPvSxvnKoGlXnvlYjjSpc+CLxldtCUMDru62Kmp+wMGe9+XGZ9e2X7Oh1fQ8U3evPfe0tEH2fsylm30cRuNZbEVZOhRETJZe7JceHuLkWD5cFn/x+HlWqiUvU1WuqNMRiyvp5hs9EOEzjrDdPQiz7cib6fOqfPiq7vmiJu02I0lh9v9ZczZ3cFn6TFwzKoNVWoFkaF718lVcofLtlh1yHqpdFKFNwq4PkhnwDIMv7x+1isie/73jgQFkkAdW64yFSPQSOiF52B9gZF55QyVLTXss47JR9W0dUDrfeM/aB9xYMPYcDiyq4zyFubwH/XnQ0m6sppYI+dZRo3rtGBTqUsMTUUFXIYH3XtngdJQeAOV/sOKLunYEJrfg429aCH28vEteV7Z0TfhewwkCiWIQdKURn9QZmU7Ld+9IsHyLfBTRWEJl9ig7/12ZOLvilqv18+Hopal2rwdWQRLwyMX8wYB9fu4OHLdpszvlTui7Vi3ivMFKi9AneNOzszZalMqDtNPecrL83z6tg5Nt21kTVzF/oaaSoFhgysypl9BCaZ1fOBP0I1hk7ZTfTDuPUATZQ5ZN+q5yhnF+9atlpQAuq+O/KzCeT4N3Pdlz5vC+TwHwPteV4CQayg/rjPW9uukdLnwH/56zoOqp+r7fo96U/RtHbjTjp5RTt2+9HSP/dXsY0nzJS37SUADH3gKvMVluLXgXExc5003gSZ3udtNFygymXcVKvEzaii9wAFfXMPLsfSxaBMJilZtRCNY55jp7a77srn1WVGmazFPFyn9O8/zsHhNT3UxnsruM4IzQ2+oisCKBtajyCGusCx8nicQ3f89lggREP7veOwtv2T1J7+lslk2DIbPowNkPySdyexXCjNu7hXTLzsaSx5ZN+Z6zsGuKtoreYu/WlpjMnNGKbV/8QW2uwOSA/9tmpyq12U+DMInDFCJHwxMoUFoA2XbtZGuJqrrcwV0wMg8udIjubsL5+ILof/q0KxpExUQIBlde+NND4E+6BnwXnOpIngnjVe6xSAA3Bn38Xrm/FuLRVHuipaNYaeR4mLF89GtbA7KBbVc6QOdKCyfGIFB2cbyPpeN9Q4V+T+RC+NpJIspIVB6UGNoNQyG4LbcvIh1TYwOm8iLSFRKqQ7+t0+tqjMnR3VSqmxSmDuagrSiiZlmwu2WJJQgqap66vCsmYt3db2sg8LTCeZ3F48Vo1HSF43P5x/uy/t+29tBNpFL/DwirzFl+hQ+pbQWnnoG+jrYvwILwHJL7r2HYu4UCwVsiiqczRBELATOWfFnJWMGPlDawny+lPnQmbQnup/nQ76S67nkSOODXvdUWTJGVFiOCozAKA5O8RZSUt+0CgGXKdtJ3dYQBhxpQrPzOQs59vf9MjE0ksKuZMkU+1vtjPkRi1IVmQslnhqUV9mttGBwkGQPFvWfriw3EB/Fr8sHrkh4RerNp/qrslEB0VbRwrDzvfBgOAWW2lsDLyEWpegrR3n9+fkzvPz94/edEfcENrg0s91+MRqNcT74AVIS4mQRjUvXNqCekUhSFZxW19F/iXMVbqGI9Z5iYYhghKeQBznVfS2i4RsqbXRVK6T33RrNPUp0UAUEhDhDd+PqbvoJRwW6BAlJIvKeNtYrtp2RR7unum2obED3OdPEOWZ6ymL1UxJ8mvsIhgazvroNRziplpU7nAJYZwNQ4iPVVY9BI4feVUMI5AwQ1IvXo5aY6m5GSGnGGxCrfuKME5i1Yqh2N1n1ZT6Ne6puH1KAeJshYzuQ3/vtRuH88zwtsKCQCCglKBgI688pqJjcpHst6GUf9p1uPuxI6niGBO2H/Zmdccin9AHqOWrdTFFxu9EsCT6lQkfuX+xNjKeEklfRklfJy2tTCbJuYMk28YEeNygAGSU6ZSJBYBRrFO1r4/WBLd33PM993/M8UUd8ojKsWd+mgIMCRW3Q0fGkK7+o0azKwXPOOfXeLhZY3vt2P89hb40arXOrpU+xLKGLdWxFG2kIxN1dqa+UU4F3bvwJKsQvjh7mieyw+8UTj8/Hcs8bMYsPAK4P0F8B4D+iJIMnKkagGrrdQnXRQ43gMfr8iSn9+enuI+SOkS+5uH+vvftBax6AzT6uQWP0hHGxviLk/7EMIuAoIiPfj87B/qsDukkVIx3kYVE/iIZauPSlOMD3vqX1acCd0u2a1xPaXwX8Mt3lHO4yE0U4ZlI0pb6AXfgo6N2KTa3L0ZgTXkftURF3cgdOdAxxhHzl47WPYVSFnDaJAly3qrLkRqlrmkcV+y6qWgO5Tns3sen01Es9UMDyiYkSL3Myeriq0Pc+zwN6vl21voN2hCVVdd85f8Y2EkInCTwIfZVa6Z1eHIdSxk43XqDwfJ773uc5p1mx1IXKIWOYPKLqhjuDcO/P8+C2bE9XtvJdtyluvPgOZ9LBdkR2C07evp/Pp/uq6SkL1O79QIAFEWeSld6OsR0LrfSVygEIWcRFOuYq05FKA0pay+aRxa5VlRTIZLhoaw/UKRvRgINFLdsCbPXwsXzTD87qjSxmVzP4Wgx4bluIR7iAY2r73J1lRFcVybfAohyasw0r6+ZdkK0jSpJ6O7/QF9YFcC7BuK/rfe/zuLPPOaRpzuJHiLZWMZQd7hLGbC+sdsgrYcHYEpURa9c7OTQwOsBLaLIdhFy/47KMUKHC73f930mAQTMH1RB5glrjkT6DcUr04kaTXaG3X9VB+QSAONeg0tkvS2mF6yA3EPDzQKLjbhx22136EqZTzwE6GAsyCq6aSJoO/TlmYounZNfA30i6bofVoClr0FZiVhuNVvelHvtpoOQwe/R9EGcW0Gt558ssplMYR+VvgxFI7Y/J3KgcJp0138Bd06q/KWLL2Zil492TZzC7RPW///5LGcAgpa8Gunaav3SqKcGbXZFUT5WOqETyR6ptv1DKRLX98/nn+36r6vk8AFUhXU3XMZ6iSaPswduF5/a9ukBXyKAIZpRlbwqLxQp1BBLT+HYtGFeX+VxzGkF9GJ4FA/dXkQodl+BG3WjLUFuFcN4el+n2Zac6VbiV0IRlnxFrJVBWxsimFlE77T95J0oy9bz3UqzEAWJWr1KWpxC0u3RYRSlk3O97TTsS5fSHueAF8NEFbBPCIwsDm6BoGBmmu7ueJ1qQy5lix/+i1nw2Zi8aF+q9GjHGbTF46b5VDxXG8/AsgTrlcIhpduSxRcAwMMJDgY8IIgxHr/fHL5kszx8mV0wuMj/Mj8LqA+GZ2INRydMIp+wLf0IXrVi/A0U1sF0y0sSL+JE0zhGUJm8Jgrl/3COo0klQOQZuCNWBynltRKydin6gMICMejuVR/RTgNxBBLzxVH1XhrFGTEFm8Zg0TJkC3Z1MNm0kJcpyG/6oP5Sjd38qtmrvb6GTIkK4eZOvQxv0pnQvp52Ltd3yfTLiqkALXgfNLmym9kr3Z0c0ABOAGnwpNcr4C5D2Gr067Wcsog8dEketgullpYr1YMRDfen8AXDpvdFgaEONKyzSFUD1JfPjnPo8n4CK5zz3vmY2JPJbqAcfWD/XqYPWeBkWWscLAlPhhmvwbKUCn4omMui4weV6tbdMCqtaWSrsJVeo2/KTt4ULJ/bRIg7PYMCIab2yUTUGkVDsqUrvPxSK0PhHbkj+bPugL9yF405tqjWwM/9E+SKg9cBTeKE9riIM9sC0byGbYN6AdeqcGuOvTx3Fwtz6iMlYTHly3Ipo2ydFmNtgGo9OGsBX0Wpc0j6sA2jUnA8j+Zs1i73BBl7TCqJb6/Y8EZSC9eayWDLWDRJrdphynAgztNRxQPYaMAzJyjlOSfw3ogteM2oTotH91zqg6++RT786S6hXbgllAdR8LbWW4dZKGFvQdz2x7QOTCPtDQeuOxQ75PM/PnrbOG6+tTICcTdJneskQflnblXkSrBVuO5RUa7qMHOyFH5dIhPVOyKlF5IP3M2ZnnWTJNGTL07KYwoB7XtIyQyiDVDhNZWQqkpr7WTuORvtocVIJDhFLqzgfGBsXUQYxBHpNsNbi+HcLB0v50hYMvIC9lmign4e+aNz7xtaBZIzMEFhVHyTncZG+0nHe3FWnoNNduspAkxO0cOyIVvMomyKrQA/oU7LLrGYKcKZItXtWKkTs4UaDVqFxkq7QdHLRvlG6XZkFutJI31DgvrdOf9pF2LDTuezlCGGRcC9uTUJt6Alg/krMXtpQNou0Cm71B0l//VzXoPFpnMu9r60UDUCEmrwU2aEZXTqCqW57eNdteWA1DkXVo3LYd/PgvsxmIdZ2D/GbYZCC7c6yIxUoH0kW0tyZc81SES0ELNpKErwbx4e8e4gIvmbQrNIX6NzuDw2RsO3+MaP/iun16spfSV0HIjrQWaIRsNZR67IZnhikXSaa27n8dop1b3fXeFEgmsl9Ake2WP5A2t4zI0HMgKrs+hLSsAU8S9C4bs/QeaYoaqkHIcp8okfU9fXiXrc5NNVrhUaWelwNxD6MwJ6Ack2cj2+ImeJHjBsrkGLWEGZI0aTXDBRE2qIau0/i6USv9/TfNeuZe0wIZcm8zIhaSXASc1M1KetkEX1u2XZIAKzbKaNwTr1vVImfH3ppwN0nvddJ2ZR6gDYouq/Owb/fb8FlRtyrlTgJgJ0bErm1dgw3AlWnzosL4H1fhFZn9zjatKxg62J39OQFzOIDnfGMWACnkkWCTtEV09+r53BZADg41zCr2PepLExqTrgC0NX9WiCjWNynNqXo8xygP56dN3ZwBOqciCugtnjiiY/3Xqb9dE4PXrEX/jjX0bLF/PELS62B9YKy7JEBe06xIse8IREs+x01BGSOqCofxOOiqL7dajybbb2XRV4X3XAuE+sG9BCorL8jVzjOcxTFrqqcT1K1URpckCzUZHk3Hr38nfRsWGf6ey7RbZ7hcCzqqPkUupfgXABSLOBEqYitWVsTa8R4AOuYEwBcZeOt6UFhvMbUoydEK3PNkzVnC1JcMEPtpYylKQNbMv2lsEKndiMXsgb9k5WIrTOELtHGHxYRghZDlg4P0saqKW3HXhBNh6HXtOqdbc1rryTn4J4V+N/JU6OvQ8kK6uGypkndOORp3O+pKgoQLpeFWg0tSJr3iH0KSB64fc4igzXfDb+96qIYjCm5HDV6G/Pqc185Yewo7YeHbKV41V7+Vtvd55z3vtFaHFblzY3RUt6pvKmtsY+98yzs+jzPOx1/7ScntNL90iLtKMhMvfW/qiJcfupsR+gv1VUVTp17GXeV7hBnlMg7WSn1sM/Pc19GjXXKNCClxEXafU1O254uRRWqqm2SvuVj5rpY28cCL14EbQAtlvspL+RT7g5IHWp32I9QoO1Awfft53nS9R5IWtJQWMwCzkZqiXkO53TSRYLaTp2qcz7vfd3Wo2j21MG9Cb1ytyqAqGYM9eCJTZWfIZHfnyr163zO833fdOHp9FGhtBTKSL5TnTqvt9AHoNWWIz9gjcvSwfqDckmNx9Fcj0fXRKIK1zhwgkbcmvkRgQ382bs24rB+16HsrIjdZmouu4/48MjaEklaS/t4bCwNDcTciI4DyiEG8tN/BmPO/JmVJdOf3SvsuymY8re3u0Jv41FxIKqxnQk/BtUg+nieqg7OtJQxtj2hrmUD2GWibgG9xlFuFzpa0ktt7dqd/o3RcA3irfTwgRWtzWK//2eBWsqNIDCOdusPDshoWqeTO06PhDMSC9R7Fj4gAiMgzf5IS2y9ubCN9Z8wLM/BSJlRAIRSq00podJFFpQC7Mvy05jISwqbTVrAc869L4l01VYahxpTctoUZnBaJyTEpxzKFocm9qMzjF2o8BiA5NDc/pad3hDyKz322fno/I/aXHff98r/49YMIlTOkLyGrmLvMi1vo5/nceFUOTTS971AfSJ3FnrKQoBvupdVTsv1g2yq9BvPjWGk5j+if8CChbW+um5GCKmnkeZtLpTsaUcXnAZ3fvskh3TgTaOfLM112yc1wpKGVKXMsMI/n8/3+7LF7ud5lhoLttKYjuvL3/f9nE9f9YgWtywroEwd4QLB2+5A8BTOzF2DI8v0KaZkQwyLjF8YGHYbbuyAJhR6SLm2m05vcqZ+hBmksHp9Mpb1YnFhj94PM962rqvspCfzA/89AyuN9ZxFbPnxeBzS5yjaOmA58dd/1nA1Ca+q7V5/KHETHT0SFhbyOXHxiiEPU/WU4ZdEXJt/6Hc/pHSa5raXOyo131CX8I9zIoi1EYQFSImJMcjbqYCwBRxogpkERYQXp22jmn8Lzgnw9DuLHP2YJRV7SP6a+6Tt8/5o5oXEKQdZV2RdPPp7rKPlmeMRjLDzyrYFmfqYJKqqLi4TdnLYuIci3q9FHj2yTT435mjyE1RVnfd+ZStsFXjf53kI3i7701Tf++IwgeVnYbWz3eYOyShW+bRVdLe9Qt1gR8LC4xqj172sGX4okYFo8nkO53rv5SayMX7KBSl/zlMfKvp7L+u76AKjoLz30rtdPg9S62Pz/95+dJ4MgaDOV8oiBkVYLhnQzX/xPM/7vgKPt1Wsg4HI50zMB8BzHhzcV9k7crcFYpAbbcWXg9irZ6y9VedATa+65MPp7guw3TRPe1c2kZsyUiKyBu8U8M///PPvv/8+z6dATHFiyZqkOtjJQTwyllxDSccuV8AG8ne3cmBCN2bgGq7KJ/mlY/9swWmVY44NWN5S+FdOrl/m3R3xKojZtepm5+l/kfyQAEwe4b1aQlBSAVJy2dNRbyXuBQB3c+J3Px6YEXVdc0zFGmNFHHnR0aMMAkK8qFkgCcbJ0HOE1Epui8WWLB9Ly5eRnqRQvcQA0rgRtERzMf1ReiAANT75nQOAquccNzfYdkTggINbUhh6xnOKljflNsXPOd6oq0dkGQkq/G23dWFkAjMy93vjquUcaY0ROV2fYZJ9OymMl15A+idv+mc8tgG1FyOH9rWNIhjDXqrPoa/sunh+dorzvAnWNCG8nLiNZvGTj3dlRNfBv6Pcp1Bo3/58/nnv+8/nc68y/T/P04V+p7keLJEAfD7/3L7OE7hVxQAgwevn+bSqPrqq6Fzin52jH6O0zWXPkc+NHpQyAq6SH4hZQMoqzEM4+1arwkbV64Mh28YOOdWJdH1v62zvJY4DWNYnMufDfr+mojFQIc2hYgmIo9LqbEmUqFM4zL3phvBWsdm0EnLuFCHueQ4Kn88/VVXV7zuiZ5/PpX58ZVa//c/nc9W2tf75yIXizrSBomV5XH/kVBArSZdOJiO5GsRcktlUqDljuEe9WUFpeemf6mBSBN9boliyF0ZMaDF35CC7swVxEOHsAQD4uMR5ua82KNtcFxDwY3NoISS2lirxr72Huy6oYOhMEHOViC1pLSabv+YBfmV9chmWZNI14nPRHuytjRzO4hhOJlWxnWgUA6v12v4xWVw8ZUBts9WrhnZ7AFEWAB842YC7jTjLSlQVwNS/G3S9JuEQa2jrD9jR8UMJs8+csrIwd+6pzQNeosCHPFJak0UHFd3v55aga9/9cW9M1F2o971KZRmdZ9KRSJh53LjEOp/HOhHj8tJo7lK4FelY45SeQoH+JRTsUyBY5EzpHUFBUQT89ycysn06phGcDTIc92aeKAuqgPOUtOhtQGfWavNChQP5vMpVzAJC29dP2EsM6/RPBeAChixOfqhn/7L/TcVdnhyxkrvcSUOyDwaAbjY5PNztxDtTkx2j/8GgfgWdM885dYrHHuSoJvWir0rXwMcdM2QvTMx5kLJlK+7t+lRVnVP3vaeKlmkd2V9cqRTru50RwNUssBKkHCLj0X1Womm87TfaLXAUUPKSgFmKg/YlofT8aOzFwByCM4+1ot5b66cWFJAnJw//i+3FJEkRh9+7rhv55H0XcrQuVXxAfNBRz3YIIJHy/s2TWZ4ZU7b+lHC1Jvlp9WNXaoiLWXd/ZsZtxp+JaMkRjFlmhZoTFzJDd1PIGK/b2bOLL5drFlLKwMBFYutm3bN/MyBL+ZgLFOLUF1rvqr+zuIueu61pYA7NXmdsfpJHWfFirYhXWdkLRKKhJMoFMcckEMF1N9qIzVSgPvtSHT0rQF98to/PsblgTDe7APtGDF5DETKs01+SWyEKprC6twmQ0eecV72GvIbVt28Olew5yA+Q/sNVImLScq+blUoSmqQPYGjr8F6ad8HOlG+/3Th1nlIDiW7FSs5tPKTzl0W/UY/rFdJ21TqLgu2g3e7Dl3Z3n9uNU6BMbJmxoHo5Fp1ZiwAY2owbOIzq0YeBljO+ID6SBpnATxWR3wqQSL1SGO1wzyIaBogVWEQx53RLi/p10ED69lW8AzZ3hm9hvDyaQ2dDVqFyfpvFwGI2AZApd0DV4OEfobxuqnyNGAoBr6HzgWZxIrnAZ2yL9Vxhmd8QAgKsM2IDMuMPvuVukaHhRGD7z3Z+V894I7yCB227eBUGRZbFiGDXaOIqNwvLokUsVCY1jG/o63FOvDSTVOZr1Khl2H/2I1AgqGBtlDmgA1820BmkvB4oxGPjFd7FCuSvRurPqkYktTWExSjXePqfecWEu51oxP/MvpR2qu+0fGmjah6nftWb3bssnY3W2Gcjs/sonYTOXy2lSaGIqhC/O1g+65Nto8Gz84vJ3dq1IWoSErr2+lq8gDhGgYYf7PIjJWY7qTx/ZIsVctk+sJrssfwapr12VojS57pLXvqzh7mpbAQb4DohvQy3pZ+qoLMTLtSf7rjC9404SD76fQGHpbBFCE4BF3LXf6gDubosiBcQUfsarkBCLDDCmPFL71Wdc3iYlwlDgiOnj86qjV+I4F1art0pkRZOwa16MIYMjBKIrL/f73Uq28gboB3yfd/LNbndD1ux+pkpAaXL9ait27fq0Cl2+/1DVeWtYwMilM5/P+foHMc69P9UFeNRt/vgts/XLAllK0WjkXApw8K8JiBdUtLSNgxV82VZgJi+TON787c4Ds2HTf78lAsOpPv80FnkGbMWaJjZEP7nUT9fbfgfqEuY9sumWPpBxDDi1eIpdCK9LmK5AFwU5bdHVDly/fPwYre2XOxL991VanLT740+a/c9XJvbEcrw3vH5keYz0/IFy1Xxc4HqsLvlguA54Ewot5CF9eu1SJp9mZFkavtfy16/A4nwVRouNfYO53bsNQwynP21xopfFD/PET3YF1RrMlq3FAZF4A7LdKLDgzvNBtJqldh1z6KarhHG8iwkjoNxhUWOC/B/9YbgqZrx+MV9oQqeY/c4ewEdnzfA88HW/q5kej7kemWdDkofIkMD53b/+3//7+fzMMGf8ZWqOs9B4/k8jW5ZS3UXMS5nBj5eSqtly5hSCz2sKLZGWWveWfF8RqnKsuOqeu/71IEdL39A+trjgEqgGCySUTh026in+qWI9HMqm1oA8t7FYM3kKrflX+rdvFFIZyt2ORWMC/YE4PTMMqmJIdzEn4TJjrFI9r8cklKzdrR5yvBfIbXS58G/EfCUYYXQ2GDD2Ze3FarJw/8gnPnRJBwh8Hp07FAAE0D8icdi35Dffb5V+8VbKP11G9WimiC7/vPFPFlqYb/dkNzT52ijZroB3NEu/sgDlBb/GZl3aV2n3370JcOJdAgYypBYFMnUwTalGB2ds+ovZvfmbMLk64yUTA31bFe3+ZazFHGy1WUVro3gPDbWgKV2xevy330kCzHCSV64LlmSDfGX6KInzHtDzjoZlM9utFuI4NUhNl70MhiqJQE8vIvEMPIWRVC0rdAytfJByjS9J5g0pKiEUVHZ3hiCseT+u0JbGmCJRI2sFBHn0sHVaqfqXXoy9/zAF3rhVpJInsyVOcVKpbdIXAXcrn7/z//5P9ctzQH06SAM+d5P3657b5xxq6QMKJ/wSClLrcLA7lF6U7ebVTHEIEWxadMC9/t+89fznEMTpfjM/x4eDVhK3Htj2hvEnJPAZoyj6Jukpplkn+fD5z9q2ECdfLv7Oc+pQ3Tf4/oV7RVwHob6fUIQ8ZMmv7C5a9qv6Z4mCGllUEmBZwLfe+/7isOvDgcJRDNOj9ynRR3AZHziBfFNGfU8JU1RLnxmvTMrevsN2gLQ888bfin9R6ovWL12LYxqzBxCuIMoner65wn5JZNlBgj1Y1svrYF2NPwmIT0nrxsfppqLmJz+gtxE9/yOKYsRqf+IIckdo93r/DEwejnkMK3C732T01JDJL3NogDMHtRYcQoh1qa33fK2fwjAPn6P1D/XWErPXVZKCNWbEFURb90hovQix0ezQCDQ/d4V8JivuqTVuhXq0DuAjvFtG5g2tL1m+HndMP/Nl5KGEw7gU1JtGblRZpghzjV425p8x16Wn+cs0w2AZQKDuksDjA6X56e7z7E4hYH1Iqefo/R+aM7GjBcfljPn1HmeYl4imzWdOuf5/PMPJRjHz3bCLM8aQired049+uXoz4+n8CsKWCL7HMWvF4+LqS3Kskft1JGE/s/KDvQSDLWtmQe2LKVtWqzxVrfI9dBC707px23WJJdOjOELmM3W771pn+sB+x3mx+/3ywrpe997uwrP8xF2cx0KeNJFdxRe1s09QIqHiw21yY+XI4kacEtnf8vfaR5RBUF2q//XCnzLUb9NpQaOgbY6unJIun5XHIf6x6qQNJSN9UOS+L1vf9qWrslO6ZFBtk4wdP/naVSDksEZ3sijduzHH3myfy3vNahKsLjRPneaA4koTEql3ZfdQ37UO7hLl8y7CiDEJoRSzuFVF7ajMH7ft29ZK9neBjnv7VfZom2A6Q2J9FDvF0nX6UsMHQVjvQFYIV101zn3Xscef5Yx4B2/6nNmJxXtNWm8/ULC87fMsAXpiTCSP1N2rZznQOGEexVmK6TLnLezLQdHA//4pUzMlgYDhmcsfdnjLBY2Tf/72hpLRKLgMmPzjtiXZ/te+cfdWnoBzVwc2uOaUqZnNEtsFkoHXsGBpVPVLEowo5VR0VM60ykNcspm09Yr0cEo3FcmiLMc8X1x673dxNl9FN2UKIPPDqFJP46MIx5DA/1Bwx1c+31vndN9dSAtxHWUku70KYDdTEEtezkA6r12YOr++Pql74NUTD9KUzXV8iFKfeVUzzl0rL/3PVVVz6m6YuCXU2o0VV8A731fqkHAVVq3WUNAxigvU70VLA837ueknjpVOnlH4K5nGxyleJILLPTVKTsIz/7yUnpRVNMk02kBrLbLcgGoap9SXHEg1R/x3KVihbrdTzfU3n2kv26MQh0x/R/+soz/j7SN4Pcs9Ioy30gnbV//YpIFwArlLFWpdQ8QCx70MpP/V/NxjXY0ux8kjVEeHtntNhMz9KLuQTDWSQFqY3HqCcrks9T0aN2nkSmbKnBVjmP/zPeAxyRoD/kO605bCVUxl5d6rMhKjdWuhuLuu1s99cK2ydrWqqTdnHLMPTTs9SC6L1QuenTOUmS1s5GAfi+lBICTaqluPIcny5aqpldWKNMf46reG8hVshyI6FHr0FKUjkoqzZMvlHfHM5nOVfuAMAna+XWUGOXwrP1wR41C3aJ4gFrzUO6YC1mcpsaYTgF/O4ZN4cL3vsH2hK7RT+ESOUj6pogsGxeG44a7QRAj8Ljv/ed//vn++y/rDJoFUoXCed83sIZvo09Cc7kunenu7k9oCgYROmng3vfe5/kcs9YNTQP93lq+6iIqIUSqX11qQSLD2adjyF8Ryy5Go6mTYROqgYvLJiHdYJ1jJV60FmsDt6KtYfJSoifLQ456+5QTlugvs1z2psZ3jMYFj8BUbJeHCCorUc2ILKJRagEEy6Ke6QNxfRwU+3qXnZi/ck0eH4vqQpynFRTf2ctwze1+SPcS0L2E6v8TRM/PBmi/H6+nzP/p7QOKUH+UhwDUD9qvPy9Y8t8AQt7YyIO5ZI+nLba3YSI79WcQLR+OXDGeqCqwZJIV43U0sZ3X6uwgWlatNf6ZQrBLOeS4e5ywdQdLKRvo9+2xcPYy9OzPkhHon137O2kLo3gy9pwjBbwGFv0xlGtWx0Mon7dCDqhZLIjheeXt+Jq0sM0ip+7v+5LzEP0uk2XGFs0keWxBmcUoh64DKPifm5O/WvTt5pUzWS9Dz50iJqhBBzobL4Ru8cOPWg+czpUUKddu8MhQrTMDsEc+gHvf933PeXqlBFYG5zfCusF/jn3fP1KUol/Vr7haNx4KUjWnfnbP0dZHzh+O8Lz35U5J4Vfx3GROxtMQKRDHlnV723tutpQsanNONVoJ77bftbjsKOeVCgFkfuOPoquLa2jTIc/UF+m4oIMaxQDaZrfBc3dSJxd1nzo6bEsSfDzj9/a04qs657AhaK9to/B67733ovumkbdPC7spBqs0hFtpHlXBC4L++dNe4JgvJlyuLDySX4lcA3PKX7ah4ZzDTh7xW+fDX1GxHh+75T8/Do7NPRVgmruNeX/k/4RPwvd/FUQ5S8/DiyjkDRK4jbUpex0wy2Xd+f/9MwLtf52ryc87/+ervLFtaURRRzc7gNl9+22LsoLA7ALAkOiPTqpdBiUUMFtWUVdl3wJCwNQ6SkI7B7ZoYX5UbFAN8Ry+ynmzNdOCt1v9jmDpX7lXKLCcGVnWye3z4Iz+BMLMDtENconUeBF8Jfq977zOMvwXn1BDg3ztwwZgvr5ZsZ4VGC6ziN9LCyfL8wFvwEeGEOk0uz480fdetakAznmmklgD0H8SOFqMSHFX3vIop8iB2M2lcwbtnikLSXDlwcU49TAMe8rhaEekZi2OwNngBcr9RiO9R2A9GXXHN6VEtpSvOUuZFScPWWP4KxOvTa5ZAoTW7S3VE9xI9rBLqrC2jRChEC0TJ3TvHR/ofxAnO9l9nufzeWidxcoOTmzpvHLhX8P9i/oHnOipbAOCFS8aMe4JdjfVWiZpFrW299PaW5OfH5/Omku+yKhMuJE8P0/xvx1Pqx7UcZb+52dcImuAyf6wMZA/fkZbM/iI65BWRdj//NQf5gqLzstNJKODRork161Zfge0X0NJfRvqgDK4z2oVfk2bhfCHVTFKarEAAEWGdWpIII4Xqn/HRH6z7vmzRdGgXpFoQK0PCtDRxz+3NPMC/I6JmuqdbQClIXS3gmvpSGODKGzdAIIdpTnYwFziBQWZGhFPa2/2n8EGQ/4DE0aP+C1hL60W9y1BKY5zIlV5pFav6px6JCq83hnTcXNswDH8YBStPXGeAK66BXNn63T39/1e5n3N2e4j6ol2a9Pe0gQbcyiPSCoHqHr2abIite7WocQS6aWER/2ns+PH6TAPbY5T59Pd56njFM1nxH2NPGxUDq4y7W+9l0391RAth/hv7lTm2XZb921Gn9bPX4nwvpd5/c85l6q2g+bFhxYC1gcOrsLugrUTrUA5IERf7LbI0NBlkKCcVCOqd5HFQqMUB+4iGosLzTVHy7dGaR6VxqW4fQ8Ymh8OGbK4rWOJCwoEOCSeUgOY80mYzznXB3w3pt9ANqi2T8C72GIrgb+/oNevcKXof7apnJbR4T4/u+ftMk9CNEtpWZoEGPJzjMn0I+V+B9AwBu8B5TWhVYlRGDEZsN8BJPp/ISwp+xV0JitMvRSIRiK11qDqVxVRmNrtHvla/o8lSlmRL8yrF/gGEYwf3j2UZn1vXqs5Oru86d3OpE1XywxYJLQJowaU5NgvWJTHQjuQI+ipT5iLevT51D1135tI3n39HPr07dK88AEpiTh6oUkbSWbQNhaSneEl7VDbsS3GofdC6zveAnfZzKJ7FXAan+fD6EL6VVQ6PZi+hixLaqNcAHZ7aCComrpwkOtJ/oVErBoKzKnm9OtWXqZZ6Kl1WXWLauCc8963punbOUOCVtsPm5tetE6j6r4foO77duN5HGPslGhWKWjQYBpzqW94OLaqeBZShkmyzl8cgTooLZUO9L39+XwO+ouvMjcEPgCbFHBQhT6v937P+cewqS2NQZm4pWeAfNtK4mVqCGpSvWq8JSViRiq44Uqhrt2CHv/45meOswD4sxoLaHmzr1BlvFhDw0sHeKuPMRJU8hMVGwJqp2mrLWVXkBeWzB6kGotb1fazVTOc/nP7aHwrElsYITQNpFWKpUCFHEWCjWLt/6h4RATvxTay2AuuQXnnO2OTSjaBaa4UGwt1arhWELKRqEIGRL9ek8KvuJT8DeJejmJpFxfQRSmSDBg8BFrxtlO4BVS1eluWwKDloIVwx9fqilZxGZSV/Pl83nud4107RjFa7GAT8n91qfVu/7x9tsYNV7IlustN5pta0pgX2UEpGfW3CTVlAN4f14AHB1gCbM3f0087K94t0S8L1qifsluQILLQQL7SKZIrS8VS3az0x7n9AunFVAXOFJU5xSQzQrKCOXrgSqYiVRBNXhfWZQ0Vif2NXIUauW2aBk47knqU55ItS1zh54iUdNRA1TnPmBtQi1nqt/u+73vVWYJZOGSegaxAVb33pbFIR4f2RWqvq5BMU4oqZ22ODxA8XHQobGI3lcXgmtqrotq588jH0sF5zriPC0vj4fzKtChxpTFXPSwNeM7zfD6fp6qez9N3knzjQnzOYO36j1CFgn4/PzutKMxG3etbuOtyDjiMYR1Ayq6kfqpFKscWvGZGgrFtJLm9nN29jrONXBTJumYkUEHmQOjOvDK6zbytWWDpttynJVIDW+ziHc0rpbmLAjKpjnUl+A7ljM1PRH4eanEj8vfKzI223zWEiFR+eeccGP3EvUnizO1l/3XvxwrcBET+yROS+nEcOIntokqyF4bstXeIgOlYV41JtJddrhjWXeFKb+BwOpKBd7dx4DEaX/vndpwsrsrvZqTW0GHkWips6pyerpHaxYK1AgJeB2yUzfdTxWgDXDqJIbYKN3HRiYxFCpvW2Q7t/iR0rJ1AyJyy4gTlVdD2NBSoc1qIStV/a00XAgBzuz1MpsGky6k9aBwB2yUEgCj8WbOqNU2xTKs99RZ8sQrNzpTI3NU37W4v5pKnmmjWFlVVnxzmcXR8gdRm6iBqEQ91ZDorp0v0pmDSj78SEizLDpf2AczsVFfrAOQDJNF1DGRNU2mXp++lR8uLdtcxFrNHpeC46K6tpHhF/Lz89N5+nnO7qegtgPr7flVlJ02gFDSPUKvJhLzA8145v3r7vaWD4v5EfUnRbv3WQbNqHUGsEUdqzVrtv6wMhYyrjusnYjWuhQ4yy7aJEccM+WWenl+MbSMy2rQLjHIavKYihm0zGaDxtHETyUzdNJJXlLZNc/HtaxrljBEMQu2R07/mRufOtXgxFMhOWTrBsg7Y5KIn8akk/e2EtA005iRvtg9MC8umKX3veZi52P0SZb8s7tgzsvNQyrGk49/rOkdYJ8VCgWVc+dtR9r8gU8P/FcoLT2CpxmwRWRXf9yuZhyIgJAI4OGJtS93W8ZSEVj6Zi1/e7nMlapVpreS61y2BTelRi6NCT52HJ71o5IAOZgiRtCFRZhGR6GeKbVCoe/utt7sLTOlpm/xDTEVoey3H1ZNH/X8G/GGni3U3k+xPnec6VhwPc7usNQdoWzuquT2cGP08jyWYRbnPuZSOPfb95kGGFzf78KBYPm47QvtVy1HeJn+rmllRbipjC73Qt8idhTHOLog+6ei+AtzuDtaE7W8SwW9j8Hv78zwACPZbuvWew5iGJ243fTC4Fbu083PO8/kwcf6+7K8+8XcGzXn986iqgnd+eEZCv/d1bsC1ZfOqWJrVZmF8BFtAwaJ7b53zPKdt6DL1aYuiZJBSLKZGuhPjMivcPzlqVeEWbf8fXl/whawpJtCAF9AItgUMPperxZfNn2ZmMurSS+aw9VyvCkf4M4Y8LGYTRk0F2VMpZm/nDXuyRnoZ6+93//2b+ljWl9ZZQirOQTNIVv3nP7DRtooPGjjPs4rANMijjHvcV2UJ53lczUQWpR+ivPJ1ydmaPu1sRvPgLl79KyBJ2xLuhuFzAjAvYKUk694T8bWirSs3QFbI8EllOqd9OEkZJ32/39f5M66/lADVGJKYBCVhwzBWJW+VhdaVRPacXjniOkii2arzZU49kD2SPmI7A0+ZmBKmn3l7j5amim3j5abzIKKMQzyPZMW9b6vefxH87fCCMhyLrvSyFBUTLSgixrM8qzno2CAvsux5nrKS0K6dmiQlYO0+ayxINi5SagD4cLxshXDqfN9XbSdOKbb/POOHMsZyzaoh4W/CbNuHKJelyf7eH4F1WwBQG9w35s1ZTZGsCUiKsdriZd7UKa8Us59GgV2+/ILK056reM3UZut2A8+JfSMyTOuuzLdbCM2Khwtb9pPudjraXYuPynzokUp8BG5tfXCsEuxskcQjuhyuEOlXnBWS6n5lVXxB+TzSS5hHv6c6KEg80jRKBTn58c9Prw/ltJKYx36IXAjwtgILLM+LpLxm4WwkrUxEIGgotloN/rV/fNZF6jDaDErYB8Hcpt4/UysHEdAE0Z1sGW4kolLRWCH0kD1aBcPUArngnMNjYCM5Ps/jBwtbVNddDcM5P0Yjj1N3tCld4XYsFJUSrSqku+fBma2pJelM2CiFMzdtyFVRArlqKjNRUpOxAAMAh7u8kZV0AetXKno/eYj0z45UeY6mtq5mJde9r9NdelFPeQfasYVR3BaXD81xrW1Js1VBjXXrXjBlVlTANgEyaKJDRuINMxbjse0DZn7wsbBMdbk3eO3JdnfVBINt65cU7/vCzdYAQCF6hGV4Rpi20tq0oWB+bEpSzAeolz28btVRQ76jjjqSVClPBVD27Wissl+8wUdAz0caiUEi0Gctfdi6md4MpFPgj9JpqwruOuI2O3HstGpL6vCgH54pwIA44qUhppdtMHCtUU+x8RaJW/1zpHdGqONauh+dmNbdzzmyDCtX8iy6qV2Ibqwq2pKcMqA65JocJj9nBb4u7sMy75in3rtgscg12G2tCcoCDWweyb1IBUsgbc5vo4WVy90/961nlpvgh1mV6zDM+vNlbp1I3Ybvv8zQdrkk+z76NP3rtXh5AHqCaXlyanSxnrOmNn+NstfDhAv3ZCQ0mUrkp937G670Q5MTwrkIlKCq1dOR3mKSGBtZvC/iUiLUhZDQTziEmOiML9SbZYOwJk1TuXCSvZIdEQizHNJ3dl52oZlyxjf2i0ubxg+p6ntZGYqfvZsd1xOhg8AA2DvB5buoR36+KkUpCve+yoDoEI4Vy8oXMmRxFCeb6sAiZfCD05QwC6dn5/3sgE72CY7SwvLCWdxFKnpX3Beyb1/LzMM2faMnHEN1PfNQy0buvYJS5kZIDNgp4oFxecbK/tExnl/gat5SVX3vh3kgfO67SpkI+LKnN6mQmMnoKQ7K16kdl8gqZ+awWKkU5TodhJ/v+bNFV50DFFF7i4s8vTYWP3PMNxHHUedljg2cUDfril+A7h3t3VhVLqAd6KDK8tk81QCzFUn/yE/6vSLSl6ChnXHPObdBuv48n/QOFoBMxY6J1yrUprDlc6SoICKE9G36jCLV9tMNPDKyMyV4YvPMP6w7PBeMZabuMPearqYDof8gQdsEXCfZ1SFs/OdnK509mnW5TZPeX9i58fMc/Ih1Z4JqnYaHtlb4UQNYloNh0e+KFHxcxc8blUTYcBcKVPGEmdvuD0jlTcEBGaPL6hpspCnrNjO/hEICWqPM6re8jooZ4Du0sqPS5vYCrZX52bRRqDQ31DJmVWIjljNWs4Y+AeNnVT1RWeHu3ZKQQ5VtjoFT+aflAPIBW7NCkhJldi4mO/Qv3Rxf2LEcpm0XJEglqZZiczcYcqQtlW7oxCFt+O0uXM+wZXtyesoPNxwUBxVPbdz7GMScdeSOU0R7pAbUVhvJ+RVrW9MuCH6cVoJTdWZpsiBXKT2xqiIUL8+mj/I0daSWtnPpuqhXYV6ui7TVVjtSwNecOq4ihtyBFULziw2LSbj89ZznOU+5MsITuu/7Oh+msZqizM6UT+9yxZY8oxBG+/KgSIAOwbar7jraj4VrIom4grddDxsCRadwEasEL48dmvMGUpmxwG3G3y3XkMbshV23Z5a1OKDXBpeW04phe+6yZO3tXgqoI0b9xDXuLMN+a+TnzHLfJGH8oxlEeX5WD8xZGAdwmtlevXihllxeU9xKpqO6PMztNYAJzv+L5RaMW/6PH+fkMKt6DuAUjZabc76EFmWDar/LCbQmAN6Lsb/1spVd9vP2fSOswyyRfnWD1zT7E9JYWza8DJtcQwBe4VEs++4lnGehlyNhhtEmMh/4bu9CuAC21MURS+Sg3XMeTv8vVOGoMnlrd4/LKm9jogHc2vNehCXLqOUMhAEmjQB2K3seZmG4eWjMwFBgMtSxx8PHr2UR/XAMAwm9rOrWrFuzfQMssq7xGURX8ItPTeOESFmXLZThpD/ztmuTSe5Hro+JIGXgvHI63i0UFtXHXxhFjQOKbvdqurpgz4l2pBmEEKA8GTtQBTXsfN/vVbuIigNqcvsKdc5GeByYW4qvxeN0aGWv2bVcRVMnL5L1+thYqpg+3fec59S5YCM5OfnSzxmWwl6+4ZdGVatFI+16NkfKD2YBNepQ0bLSomx0hcMdYdEB+bPBFBj8xPg0lqtAeArWJLjWAga5y5Ie4TVv2VoFI5Qr92/FpZEa/izwarz0g8y30vCkfn4KOKfsIO0xy/5zGewD18t+Zxn0Fx4rv9cUwDVS1u/nfDwywxxvcZQt+8d1UkUHwFgCUvuktktzHHJCpkNhXd20Ni/93X8VhhL7uFfh/NkbCQFeXQNg8SuktphSz9qePYHcW1LEIlH39BWUec5TE4Vemz9iY6MIqawMzguDQjmuFvUCrMPDt7QUg3t3t9pLm0j4/VncyPH5t0eMSIiuhBdAflMzupTM+7526GlzT0zIcyqz8hrI4Jclcrwkp3XugjFHrV+6985+jFCtHNAAfJ7bHIPJ9tatKoxW7wQ7yZuy777THELaxiF+Y2qYQTJ5iyRVW1C3iojoKjEIupNYtkpbc1ZODjVVNPXRahS6ebSnxWJVh9uruEwrQE15O11O4+1jkJrF1MpYuKonMEj6UcKFUQL8JMpKX7n3B6hJywQ9km2UiUfWlP49G/kj+nmT5UKchnYqJIt/w4ywlUSVA+yUWAoxMXtPLFvuqdnDdK5Rspz6gf8jy73KBtUIkvZqh9W7BZXsj9ZzN9cbn5gr7LT1W2QzYX2CSzHcgwT7B50Ex7TTGbp6vmjrnjUfrtLQ2KnThwdC6BYK8NUgBFXoy0P+FOQSrL65A6bSLONVWj4XynqbMse+fni09KGb77Jfw4R3zrRZybhbUzo6y1Wtno6Vep3oIzqvunn6TYws+HPy1I/aZCC0SgdysEvCqfp+X57gjUg87/ui/O5UVBnlLA9N8uWub+OT4jCWGF/gIIwSdTXy2wtC3gr+kA5L8V/Q2DDR4rWGOomRYZ2NAkBn+b73ggVPuO+9xw0x6c84lTZOHlkDrJUKg0+zbkQ9dQKxe+27P+i2O2kk123vs4m6nXLAd/7OM5x2FoIU5uBs7zoQhgLxpvFIRoMuo9KyjZwHchcdK6PmYLavjMS7clQYOWj0vW+8Op5iBGBK1fSn39Xdt3BQ6m2r15tJ1P33Ure1+kcuMLV+msoUpiu6Z9QvsPuxgDYWK+OL7gscuL6BIpg5IU0e8RtaW0T5G2sgCxsJqfCjQarlSu7fsId/ZifvZImA3lwLh0oeDwkxUtw7MQsR4Fb5os1x/xvizgv2HYZlgVrmPtEz/HUttt/CHURMP6TnMjsPvMqlttiE2h4Fmap7FgjmClKGaU05+EIHFgWF6nrfLwpsD/Sz8N4vKvtIj55tGR2MUreZcWd6jEFFXoMQoSM+bdzQl6K5eKQrRiBa0M2zbl+kMwMffu0i70kZz8F8JHhe/qSTY1sN2NfItNED5cOxzUv3OAMIPqbcZ4vgdnAWcLpt7MxR27PfFjF6SC9uWUvFNYaVX+SHSdvARw1X7Fvz3mHUtrZgbI0GCgy4NojbJXgxXWMVqaFtLSFmorBPRcwv8xGAo6GoeCzQ7uHNbb/6VbssNwgVb62qV8ppQXj55WX3P8m795rRxw73y0z5G0+nNFbXZilnOZ1gm5iM8v1SfekC1uB1o/ucB6jP5+EraSrq+EO7ULYJBriZj44FPq5XxDlPRs6BMn02CE79NGAP7qqTUIM8dl+qkyPujkbCG1zQcE6jF+gbsqvlGG53fRJxYtZ2bAIEJkva7CIAwx9NC/sR/qNNx/GlD6r8e0OcIRI3gnWW4gtQzk8w1A/EW2ogprNYV5Lu/+sn0H6sgrIOKSPlpezWRBIDnLH5GVrhLCbWOoe326Lvv6OJfBnoI+YVG+cHMd7KOysZAbYk4UXtFE7+v+B8pJPV6nOeZgOso3pJnxyQx/KNsJT7Xd4G+zy3UiE8LXG6yHgPv71S2WKhM4yNZczS6wbt8qhVr22lia/VuulSrKAuA+hCn+e0c5yO0y7Qy1rU/RJBIg6fFVMoec/zhvlWutq7YQ/b2mtu3WbAJEO2tTS5fdbL45l1HVIJpY0+gE+RQxb/FBzUOZFv57RLEDL5cnCX/f09ycgrIRHOmaLyvW9IKmP4HJ+czqGzuIOTmuZNw1bU8oyMg4cR+5uBjGo9ITRlF02L1gMTrJSWvEPdvs85egvU8qLVd5nk7Qpr+g1lnBj3maa62+6lAYAFVtb0Qx1QICinM+fe2x13fH3ff7ufBooOpVBcdzfOU3Xqg48ZxFAA9pI0WP2LQaBd7VpiEsTVxMw8fW+7WzCDBIGc7TzWYQMzVVuCVaTqAJXCWOjA0Okv4q7lUx+ZWgNI878KCrLnWh33frSKxCXKIKZM9LRlxFHYzJAFXlLcUuIuDWodFLUiCNi+x5l/67E0CMprIApUWrfVstdlA8ashLgmhkbj5x8M+es+smC00orN83+111VkA8DJAvLFm5O1bPZMvhbMGkHnrQJPrRxZpa7dvvHsVJ33fVWaKXl0CQxGkgU2V9XkIoFWABS2q/u+53lKH1upk3rLE+xWlQ8K428IUiCkZY1kaXm5AnCcvFPHQizlv2bN5W5A6zDzSehm0zSvJLOm2t92ZwhaNLgiN2QgYvd6bJ4xom76yUOvAnzW4cZGGet/wROy5MxAjQONg/SijfJoSRWTmp/SintXlYUAR16IAFkb28drtWpbLJLVpwK7mww2+FIVw3FXBsHfA58NC8s+HUiZJB9z4W0nUntusGxJMSP/khNfiKPO8yg/frYjmitCQpLSQ5PbkJrwmuIXJGTmkYD50VGcK4Zh5R+WMdLJppLglBGYakzN2MKgnPwafMSxtV0FI6grLDLrvyH2yENmEJmi5v9/qW0uydLR5OzGxLJgshozds0RYSag770+TvlELv5B7abuKH6HlBeFrDH+gXdmp/X5egm3eL6tuf7PjzXSADhIhY4UGTa3mMjwgcmnDbDX+OvH9Kx5Sw0OrVyt3Y9/AbMyFgFt6h3RgH5zcsUKtAjimThHHeV4sqV2Wsl49zznbv5a1oOvCT4jvjhYFN5an8uOx0le4lgtMcSzhPxHkUkFIW0pqpZGjH/blkc3wJjfZeecqjp2Zy7QEDtHTr+qYKNc+VTOCY/dUSvLvnsRAefrZQ69TXsdk7A0Diz9u3vnPpRDMqah4btN8DEeFnuNQqiaNvtTgUjZci9KTddEZG5h4GOJAWBaZJLw5Dw8ac3wCYVZDLGQwSqiy/myKXbgO4hj0eiDU4Vv29ExPONJnkqqUs2WeW1R4GHu6XYr8tX1VyzHFFQe9ChIvnvycBWst0kDRwe3NnYdAm2LVlh/2JkoAaUSLRZ0odA8gUCAN3QnHR1/IrGaIuhGUaEYHc+JSVwSwY0wqrUmoo3Bz6Obw/m1LXE4ju8aLF24LYGfFbcY/qmw6gmwZk6G2vmzJRG0HoOfgmblbBiZyF97Pbmi/Da1/NcU0AC6t9LQwDFDj7pak8Hi4bFc2n4Va2Tl0Vnw2xbzbnf/PHKNHbDd0ftNGCnMLVvgmcjBbSEy0c01QAOnp+x6xtbcrnYM6FiZ+dtf90JZWMO+pusAgIknZaqoOjY0Bvf27IvZo7tF8wyUqMtChJzKRM+Qy+x/GpgLmpD3ZAqxj5AKKv/9noclkP1eJa1VaIhjjuOfK1io9oIwlmifKLc9NqK5UqLX8hE++A24rVysslS5USrMdLJ3UW0nMHjCownq3Fvj6yYd0RkvVqjUVSVFhjl+OSqqTz1cmL3Cy6jload96hwUq5G5kecceUzGXMOHcJo4ziQad3Kg54Cc210JCRb6bXdLlrCQnudyi3S7Tt2k6PbImbbg68lQxq9Qrro2hsXmnZwJ9r6vU10Te8tWFUr9W8NbtTQz0HJ6onezBycs9X1xjuwoZs6lYkxvEQjKn1AKhOMoAP5k2a6hBF5138O8VgP/MUijGMpL5NQOPsbXw96fTjKm5FeEaIVSWDVgTTDCRxQ1fpYfmlajK7N6SyYbaHC9EUlYlrU148Q8Vy7j/t/UQN5ayAOW+trabI9+nrdlNCw/I6NifeXSi/l0bh6SIOU0gLrKaxhp0usFnNTqxLhX1V6gUb7ZERkxhFMELOr0kBNFuIPXme/d3mn8mBQ0PkCTVdtbrh48elWtV2fmTCOAms9466RU7IJzCKnLMKZBp5CUK2PE1sSjuwrnyCiJA9S6smyA6LM+qx/LrCBfVo2qvobbbYgyPND9Kg1J5XXvZKBE8MEJipUJWiOd5xFfq7/dOlkBgEWHVWTRPDo78UlKFZQG9311jFX3qBAqEF98Tr1vR/SlFWuhzqn38t97zkEU8PwUKahOVT1VdcbhKUJM5+ZFbgXgc98rn/Qki+uegrLqRSuWV8kPYgSDOKLKNWjr9qCDp857c0pcj340KFMfQYQuvO+3+3Yd1HlwL1H59f1Hi99ZrOFhUvrmwEgcFhYYqQ1JABUfEiIvxQ6Woqb6ImudXDliqrXxfkR5M36FnRAGSaplpAElEwR/dtkQ4o8uwXraHtrPNSNJJ0nudwxitiiPskDBjHy9Tg8xNI9j8UdibkE+OnIe+uMjkpgzyWa6Awt+5/r7Z2DvrxxHrw53iBjH1vcwcdsLtyZS6qBJiKND4b1crcVOTW6HFAv4gZyXxwD0PHaUbP/Mbe7KIJwVza1PASAA8NiPZeF2z/YxngfQ3oVh14Ley7bkyA/BqecQYfGT+hNQUUU1YNVaSmgpqI/LEGGJWcSFP6GgajsU/FNMvnp7OsoELDVOpevJUJdXyKT2Xw7xusBbr2Z20rJ1+z11OsdvjXa/Xi8jA61z7RWxKDERtiSjsxCtpwMXrBltXbHw7YaVRKIVjSiR896vJfCqw7A1g6S2c+RWRTz15L4vbFBwkofuLTfPFNQ/Vcn9F5xX6k65yuAE8XDns95VpfY1tOhkWdTj5kZcKLZ2KAdkxJ1a0v5+XxE6r1XHQfm59BaSXaPvDU0Lp9x71dkimdIin7b+5KisabmI6amCEQNWbjDbB3HkxlxoVu75ScGwMKIFRBZsgV+LpA55ZKKcm1YvhpWnE7GV3c0jjFOG4tb0YOwXPrJC9gex1awpllZgaHr5mj3OX/lshggMb6O12L/z70J1+zm/ukQ8Fvab9/wIU9upHnxkc3R2awwdkysSseQLNXisMo6WFLZVZ43Z/91SsWUVnuS3l5HuSN0ozSB7Nz7UBvh6+aA1XEuRDlVpw5OmazvPGywxhmajyhAeNgLKv+WX03Y3pQQKdAKHZYOdf7z3Z9H41kGgkMQri74GT2BRsNp7oi2maFH0g/b0OT8rF14I5dR8OIQZ0ZQ50vQ30/gw9/tl7GHy1Ivo9t73/b4ixxqSbJ7n0T8AOqvuMd50b6b7euhHX192WVhyQNew2Wp3P5/PbXVgXTpO/03r5X///bfl+vdpA5TbKiaQkJcFUL/Cu5UXMTyADVg0wVOFe/u9b62jM5biuilJ6bdP1ff7L3PXFlSReavbFbaPZk7qqxezEcajGyqaoH9Zd4CHfRLYX4Hg6N05UpD5w2vkoe++9xygj6xps/fJ0/jwe+qpBUlqHZVMsSlAaBSDn6xh45WGRXyiD1Twyos1y3jDIzUrSWwdAVn5EmshrCT02Y/o/6VaS+k/32Q3BKPsa5g75wI9pzoKTLAvT/WyjoZZJo/0xbgCOuzVyXXBUnVhm8j5Xp/5Db0827LEC/Ap6YbHOdkqEO92xDMFAkX+MKtSmQX8tw4XCoPldUY7CMJX/D6wfheV7mi7CCaHwY0pI73rtVKboJrXtBZrk4tTS3VUMTcKqVDXeMjQvez9k5s3M4LgOQ+Zqu43eggNNdFC3eNzyOUlBoD3+zaarXBDdU8/0j0cZCkzUOfXcjfKUNOxdAl/Mc5pHjeAtETVGhH1h8y2qjxOXJwOAnGd/To2Ieened/rn23T8Xy7BtbZknzFCEM0qk492cR4gU6xGqm9Gc1u9jozsQBIHLIIwC2VwuzilUqJAXgLDb5HOf6hcL713lHFZqUmoL2r54pLgsN4EmfU2IV6npN+9vr2WCMRRbB0AGmcwp/TPC6m8DwfI+B6nvPe91TIrAoZ9QAC6ttyxEy7U/U8z3EXda7znFMwYxfPP5/n8zzPeUhbEspuxVOo0u2qkyjnOLdPm7X1A0fw1bi1nUQlSOjxZQ2znhFlwY3aWZMS4P8YTZnU/sL+UKik2GLaAZUdCWlsO8kmcz8ZZ4QxlnTeGGh0XC8xEXtamnv3HRNXcFZt8+12XpdRLEWxheTo+5mFV2fpMCsVT2EpSmkOj9BrpV3QTGsVGzOIRKGmwgQ6ZGPbiR5TUKYhlpIQIpW0OIN+BJ/lmGzgvRc6DPa4ZS/9DIX0f45qcTgq0pLUqJa66Pe9EU+uzjGGqEpaF4vFjCO1eXxHeSFJLfaDd6t+SKtONxptIPhUPlcM3XavC55HqJ/Xp0W1UomOazVv9/t+W3V2JhuSohCuVpIA/8wK9Hvf932r2QlGjvJm886TTCorWwNtruhhWWgBwPu+730b0CEfltfkWKXRtyd4EzwsAO+9PkEhTl7Jkwiz9K6vFGNxL3iu+/OUhCdldcOnEUhDFPlGsoYWRqniBKXMzWrgU2q6JI6KVONxaNamJmYPFznfzgHySvqQi5st0Oj8MU2WegiQojdwVqBJmxdTAXA8qxnPQYH5QvdIy9fhudIktaryCnA5Jz2SEZKb8hk4U7vqcRegxaXnrVcABN04ZIZyEidpktTajXtu9WFlf9WjzVDav/pFK3/DfKPY+xEcs/KDtXrfvnOYVsK2iuMqPxV9C+f21elFppUxCbKUBpkYWbgMAS+SV67yr6XWWBZR+n5utO+4LDDXZOitLKXolskIRADREtzYeH6yZwPaREoYXcnrkvXxo0/3NGGN96sKog9FMILkzDeLuSM6aR8Ssm1lMN77a5fMuiknjyUnxmKqgoTBwcIFJkZI92gkzVaC03zFE+oF2Vf3jkb3e32KAJnFYqWxIhbeUwRFtN7bnTJSEUOjna0ie06lv6zAb6bTeGfL3kL7SqQ5DW5GF3bDdq33x+/l7tIl1T7oIyM6Drxl8XuahR3Q0itcNK6jdE15orC212CIvh2TKKg9UXw96orqa7hQ7/3C6r9+sEoo1jaBQ33hjnMOG2DIdFusAZ4MEWUG+fGETQGmcf2+btXrVW1XxzWiOu+9h0cd8tDd50kyUN9eRbbCuQAK55oBhkkGemGrTSpAGLUOy/rGoXJfsGnayoVhlt+rCR7cLY46grr3VJ1njmNWx50y9G6n9OYx+vQVbLYEKtVI23dbtkbtDoCDOFQGz5FxwlUODDR1RrrXIMRCrXi9FnWLTu+GNVMQ5BJSLFAauYWf1YowiWiTmEiyc5jYixvreGkKM9TWGHVNyCWTwQsI/Py5ggMZWa30zqEHyUfV/Di7FV3VqC79/yae0B7/23sZjA5d85UJzhUjaIwX4hetRYdLoDd8MJFgY/BmzVrB4vhUPAm0P1VI7+7rgBhVo4lPdqmskUQtpJ8WaQ6MRYQXSmaJ07RaBk2NSNkGCmJMVAmrKX6I2B/uy09oYj7ZeduTeOPrvKTzrsj6ZYNJMbRfzBWuX1oMIRW984SqVLcV56jRggN+P8SwKZw43btp0GLiWKIsP9zEDHFmXTwmxEQie6i8xS8vjn0QaalXh1f2bMcS1fK+7ytbjabkvV7HWVuJabsoMKui3bIA6T2AD2ECOtQG5Hh7Z83E41ru2UR9LR3Qd8Y9L5V7RovtPpZ7if3vzETYpW9WUOkTrsopNMX9a91L5mEpbyeFUdZAg/kFdZ7TPPdOtN7sEMhyfDIYu45AzCRHv3j4OU8xQnXvOQ8LF01jVdhpCQ0D3gaz/kX3t7vkJj5dOFC3apkvQ6bWpl4ErnINkjYAa/UE7UFVI9wiHct+93lHGGVl8QPbP//zUxZCi+wQnBmtGSuBT7nWGhHORkVeDSt4S1orp/rRMcZ+m1Fqz7J7pF/G9rsKa9IzoSC8/WSUHcTUBvMQg5HN/33BTDUyx72vdYovs2UXwdE3DdWd0ZhedVY7Kb1sOPTbW3FW1em6ZRZQn0RPSZ9WuGGBTDlbfKxpX8IXSMGgvHEUdqTuOhpjDTQCB6+9deMl0oOk8xE855CmKOnPvhi+iA5D3d7aoaDUoGVFPE+iTVAUdEAKwftQuHc/SyoAdpep65UZpTtU500MhE3NVaeywF8diZTRhfx316CNbusG6u03z+EnO9+pGAJqmTV9UUe+HTcWX/K3Nf1S4/HaczEYoAf/ort5vjN9WzRsp8eZvXibT0YTWjtMhzLMEPK7bAjkEJxwys+FZY/k2ijtChUcaGYSJsTNVOvsXI3N6TdKc/L9VVVYlmLDCdqON1o9NmDde338r2dVRy4y1QjyhpvO7AkWGYF6Jvr+OY+qjn+wxhZPg8pLRB/LZNbK+7JcE5YB68KmM9RU8Sctf0bQ628j3RGPpUpCtHGOnk1FaRek5WydSVeA5TiscjjMlMFhka6Cjfj5qfX/3uS9ahP417Oy7l6ZLNqeuffE+Caf9fq/xcMCHKYxFiMN+TIt2LhAT5P7tQHU9/s1ciJoaAx9WA0my6+z40rulAbyCtz7vvfaafCrx5xsTjWjamTphL97zWURU3jDBAkarXgeRGbehL53GyskxeRHxUqAl8hKzJ5ev0VIAh5cBeNM+DQ7V/7lBzwXoN5ii2QresLb5aVZviZtZs2VP1A4lzEvK9u9BGsTj8eOVwjjHVGO/8+fEaSLJi2sR+UcA+5cetTQXqFpf7L6kk1efqR/TyIlqqo+VYVDv3xx9M/z8D2PfOvSk67sVv+/8lqW/URYIi5KzNgH46L4lUzwWIhNVEXCo7XsHjV0FGu10STbJPG9oWzJyirc+3w+3XhbTeoU8dYgTeeNuNIaAQJbQ8X3Bfj4PfvOR+zIJA0KaJlQ0isCDj/na8625/lDdorozgIHfzs1CI05g5TnYvqyP9qinLitB1hI5/XWOgp7VgzsnsGPTQcf7kubwceC5ikGNAJrMEfPNtX8N+XTg4W8pN6pjtz+EfrzpxYlQmbhRVidOOujewSMGUg2LjOAunjAaLPtNlIg2wEB5xz1EVQw5kctbi6QvJOE6X8+/1SB7cSrCqvOG76O65xmKiI3NG3Z6nvve3OGRPeVITjbaWUB3HVOmRY2wiXidWSjMVPIeYvfc+93XI3RnBHfIrwrwmhri82b5U5CMsl+dNZsqL5XOML0BloDDEXk4e2fY1uh3OubJNbtllwiHJHiuqX+jOTvwDxHE66kSF+n8VukvjbFmjV9Pb2W/860ez0WVXXvfc7z9ivfwW1Uv69qVugV6bm3n+e5V0cc+qRea6y7cubYqstyjG9VtKP7c+/953naVsnn8+FWdzerOawaFqakI2hNi8/6o+0CBWFOqNUjCJyRsozlZGfaD4BznAFmYhXburalCvWcah5k/33OE3lNanjOaXYbdUp1WSooqwvNYnqumkSt8I9lZXe6NraBMwPRXTmLdYlIuCavJWe5WGvfpdVp4pynHkbgI5otDTZIWaBvWhRI8w/SEtJr97Ku0Hsgf49OqBEEsPRExFbUTBSDIZ0XJyD1RyabfyHrZAn76JnRBFL5RnV66v+GmDKIoa6fV446aMyTZ3hNBouq/fEZjTQr4KLQb7fI9dVJUqGdVlhVC6WidDR18OqNKA1Tdd73+9TpxvM876s406lyajuiVmErHiv3t4E6Si2juDsPz7o4ABsvjxVhrOV9HCwffWxxmS6bSC9IE8UPRfB5kqjtUt61DUmL0muYKmMgtGipmfikzr/ZrLuOa2aaUAI/xzDES9oGA1Gy3physTS4vMy9iOAw/WI1zjbTLn+iqSzsHN0wHLG1on5/KZd+7F27vFwG1JUAPltyFBtkmp3dNIngAILFD1urTd2Jns46p4KOlT6nmAEG4Pu+ymRVCaFUgQKf13AaaOBTVfdtlMoNnudDsDTkcIxnSaZyo3f6fXA5rQhrr6/lWiBdHc1/rWNX21UYpi1U4Vx6xLqe5yke7Hkk8263jkrqyyKbtv0FCd3q7u/7ba3kkSQ8AZ+8tzjRNMgdLVYAwE7lBEPlWHSVa4M7mWcL1/vk60jeIGjLxqR2MU3IbM/1rJGkXjZQ3ltLjDGYGIDeW36LC7t3NVQo24UDU/aBxWkIxfuPyr/RCQ2XqIpxlk3B2xx36J8YknUc9tODNGU1reFybKOd/ElZ541q+GFjkt/cI/Ht7CBKkzJx9hByw4GNNoyWcLXhJfBnSpP0j72LFqlcNdN9SuElNJ7z/Pvv/40tdexQImsZoB0lRsPkY9aQTHFheRV7F1qyZO+8DjW/VGJFvFHjP87uL/T1sUIJJ1eIbcRkXPnyZXeBfFfedykQMaNaRg4A7WwS2eTgtE5PN56xiL3bdUm9eKpw/hxZLA2qrjRczuszuZay0rZqLUQ39VefYcn9wFYyeKsRyOIZIpq7bjZ6Ozj3/LheI8RVPXD7Nk5RZJ8C8ByFZ7TmTFdtAty2Jyrt/uX3a9wTvbkEGDfX2vFV30a20dBGfD7/fN7vLfAcS3VP05rqkHFZ+gEmkoNOOjp1nifydwA1XLf1nI8ea70GKwwj8Wp0X5ZNs2NRQwb4xKJhTxGcwZaF8jo022XwBY/TW/tlOyGVInOJebTAe69aWQoQKZXbDX6MYgCe1vZ8Ps95DDB/CEVbe/uc+r7vP58PYlGgoORuZVOcZ9pEG8eQMx/xQMQN1J0qvh0OmALKeR6kihohXJAOoMLYwtmmELS2Fp8bQY0YMfiPDF+GQU2DIMs+3W6B0UuYOntEmmxbBbMCiJHShukx3RbPazB7gMsMwHxplYc/kUbLdCC5ib8/o4XHIuSWWA0y6YCNUBB47tsY4BXWocBlC8JkoNsMZ86GCtQnn7QB4Hu/R7mVXgP334531MHPLj+0/YMGy4JuDlYEfI5F8eXSjRDn6ZhBzXY5KPry3qNDWk5QKkESB+4WRo2Cy2Wsx1D3vvnAqrcYQb52Ng6aiFFo7jh17u1T17upmUoMc0eMvdyUrM5Ab2/sXbvtDepu9bb7TTBb6I0K//wqqR++Cdq7955TVhc/DxSglFZsUPai3vv29/ucR/R1qiA9/fJgK3tEuMs8Ngfx2nlDubOPE8ZuvxSYTz1y0NUM+/N+XzLF8zzp13a7H52ofjFLIDZIyNSoX3O3vhVSLMkpQMlhZdxEpSp5NmBETgM/ziFloqGdcG2pXC7XDPCX0Cf2Lw2uu7KRdfumw6rkqMmHZ8IYPkpb6UqtOmWZeoPEJ5J9lcwCHsVn+lS970XhKbUvRaFO3fdy18kzy+FLtIe4TQYwRwDq2wjuePsIWmnTrC9+KRjACmHolXraAvhbSEd2+JnXaa5OG5JkjTrDfj63hkjTL6s8Mz9yd2gNZKY5Q1IixP61icwurYPfd8/UR9hbcaqPeyTCf+Q/ZjLuy5nTEP2v+Y5WTqlB4xpDqZK2T9VzHp52wg3qbsluifWTed33PUkvscKfh3absBWyunE7ZZk4U1r8PtIO1n8XeM7Dw7/40HZn3F7JStyFln1zplkm0I17v2RAC7F5FGQwN8XxqefVwWdr3wvoVDDJBVSZqB0JWcZG77Iv9d+PsrW5WUMVhlC+ZnnbtD6Q4eJsuuLaoN36onxZja/PT/f7/lBeKJG7LC/xLzRpT+zeZklXKVvw4IzAYY1SBAtv1QQ7RrrZpS2Ay5BnVjMv1QFwG0t9rvIadfbCeQ5Jjtgk3gYj9iy3vBJSzd0BAp/zEAXDovl1Kpj3p2hMMIxGI8MxsUG+fJHykepMN54Fb7in/OV5Tvg5gIWvY7hcQ0pcyWlD8eypNyoJwi2clgKwPW4h5Mm4IItErKMtDh2nsGy8bPkJVEu/krXuvfV8mJy6iF8Sni9zYGBtukYAY1OtqCezYLW5bURzRLzJxKyuB68bQ6uGLD+P1J5GYNQQu3lPNogMGDtnQs1QYsVaw565RZ5wCQ2crNecumfZsmZ3DUUscOov6tNAovsG07V/MUaWqW2ytElSBcdyeqzAJUA5e7FcmjhqwnJ69twAUzuHeJRqzBUJXpYOm7sKBC58+32bmMID9JrIaYBWK/l8jkSC7nvzlvSHGN1b6KtTy09V16HJbtMHppBaLtZs0CypMmna+0ZqSsDmjyS9jQIPiTLecIOmP5HbsZ0OHNJv06EVJycsqgrYLTOptfIowFrHN0WOaToSBwvrGAZhKUu7ORr2KLDeiPeTDAhzWMupQZo3KRvliOFCQlRWPp2izUUTvPRen1Pdp0mKhJu7j17355yj3hGF+96XMWUrHUt4ocpIAktD9AqMsPZPqMWdlYR3DLeS/V12WbYyWNxQiOVw1rci94Ca0Q0wOyT4U5Yp3nXG/Zxncd16qIHPP8/3OxVkybtDBIlE7qQ8BOm3NHaPKIrABkpNI9T51kJEhhTDdyoKdsEqhG4k7bvhU/MCiIav5OfZDLwQxvkZjwXtthGGX0pKoOd5W0SO5z76waRvMInI84ovTjo1ZmHYJYs0MxoIFVBmmgiUG3NV5tM81pfnEVqJa4tHPL2smf5B/d5Hf1Dxdy9BnnXWgmRJ1vJiAQJprMG7nNLUHtJ0OGYfucYHxveoO390o7+DPtpdqRExz7+6W4U8kaptg35tb4jaj8t8omXXBga4ecINJQDm0D6grYrUbHVSTbSq6bXQoagCg2H9M7ooFBxpSq9KB56LzH4tye5WufKicM7wWJ2dKh68DnbioEnYPXS0cI7YoDuCa2spZ6sraxHaTQ9mJR20054C79SM0gXWAXkSceayoGZ1jqaNKG2qncxrsnSKPwFQ/ihgo6R/c15Pd7/vy16b1txhM+XNn+cw1MmCWerOuxYFetPhuT9s9tFzqo5hhRmvJ5phNwx/LBaxYk38hNUJq6BOWgSGfy6YwbyKcsjkzed3D03rVJn03BhYqBnQ6E9jtl7rLGkX4vbm0SfOHg9eFjVWpWHMeUbsPOfpoV3tq1dOfAWFz+xtcLuXMiNrTcyvphSrpRG7K5U4crHmMfg7w82RERH2Mmz8tfEBt2CJDHWV8QWDtvzEDk+N2Ok1FvNyjSbKZu2hWmpbSG+F1gEqkeyz3fOaMPnouLzIlJTw19Zg6q+ZLuCjmbYl7veoXNyiaol7HSCRXW38uZmSYsKduWxIcb1JE2WvyVixETfiqdkJvULZOI2ba+5k+9xWLZvY8Wprburs95h67a11szco+q6t/gMGakjIPpD3vr1UY+3sngGsi7QEXMEum/qaTsysW5jEw6SqCCS9Zi5jVpPK5Lj/BKgilzDT12rzX6ab9yy2VqL8g6iLLdYVK9KPRu+zjK4Dwk3AEAzih+hYUOzt6Y7T4/b9/vuvrIMjOf2+b+Fp9CGahrIQwOQkkyGdZ/ReKQITuuaic7HEMYtBlJyAXk58y5hBtqpHS0J6ASs9FCkSPmUh7hwJURI3yAPuC6XrMh3zraonPbtrEU6JEco9dn64cXY828+5327X1te9t1MeR9H9PJ+Wsa/GsJMVLvK1RDAGCEHEvDbhwHgC9hJQWVnGatXKLZsqjtY24mlz2s/Pdh/8GBP5J5/8wmJYRt9AQ2fjeKyizeTgWKAtMD4vTWaNn6bL877KgBbRaVv4WqeweWF5fskwMACzT5mJCpgWDj8cHkET5ay3lNUkN9FIBUuQATkAI0rPAsLEqp2zgp+Vq6kJnk3KlNuLmzWv7n6eT1KrSZoFGJpUFbu8W6QtMibvtGOtKcg/ZhG3VwnvZy93opTSVwS6Uay44Fsou+snaE2CdbrOYBoty5PWkwWkYQGyRqFPxvVMokJFEpM8fElo7gcGwWB6K/5h+bLE6dlomX1sMfCj7QJPZXkEB1R03nqwJ5vPOrzQXocCY7ReNw5eO5XsTq9tYXjdFKoCMe89Cvg8z+fff78NlhXcOlUtj/zneaQGgclZPtLGp6rLWRAeklz2SGW57Reoxxy6neLGHhqrMAAyTKIj9kJrZlWtAMMZUT3CYm+AV4N0Z69CncOkK552zAvYsoPd/uTizDZUUWRA5xPAzTCW0OR6u9ZEUoMLo1TPSJxj2wUMbdx7K8mp5UmPhlvvkUHKV9yJPSyx2dIZJPsfeBIQwm2Lrm0exZf4CyaL3Iu5/rNX26I+HFuTEgPYEUvoUc4BlENuKmj0iLPzkX5+wvLDQyIO5OpspSUhPJkIv8jLgKs4K26XBgkUyBhph2AA4yXQG8qQX7HccgrAve+vchFVZ+Ay35T+EEJrkY2zOGrlbnkjSFTJ6WwDoGUeWRO0FGBFQpVTzhh/GqAZj4o0dOJI2uW7ckwNmEFkcyZDV0KzFhuqOW53A0f9JwSsrNN+B4wZR/Qfk6DCmFiNavaqzsYLbQ70677nPO99nzp0WbtK4Pd2P7Yd2f4lNwuj3/Bqd9++6e7AyYWUN/lmWZblV7mmHI0wNzmfZABG9UoalPZ2eDKgx6bK+L7aLyaxZsF54+d9X5b+njr99Nh+6l3TzA5yktlBN7MU3jkNfGjn7fdAKavbrU+ypql5cux6IEGbtJw1xJMwy3k+9qcDyzQTohJckrI0xIxwIFtaUXdDEX8eBHDOed736xIhcr1DaiJ0126INzxsSN4xDfLIq6ONvXbkkZCf81TyOm6f43I2oKuaRYMWjm1qkRxZxCQNUMsQ4LfWjvmQxW7FmKOGHg9lo+uajnPXwL9Jl5T8MQ0GYc31+nVw5JLERl4LjHjME5LUk3oEtMRJWaXpl8Ww3K3QffkOjkCyY8DAaHP/XnACrSRv2Ayn6x1ptuqA11AaMWtA5w8kCgLH0IXzHLz3W0o5MgVRxDMyrA4Ok2a+LQnPcZQEejAc0O/tY+dnNmTDpi/+7RB8AajrTkQClRYwsYzdjM7eV+CcR4VFuBHdNI++90V3zOv8U1bllI+IZNzB3ka57snzRZfDbt5bhnyf83RUZ7u81q4QHhtu/3Putal1u45iAAfFcx69wdbVi6YpHDcOoQRoE1kMrA5s1z2aIBk4E9cWnHXQI6so0G6UGawm6UwPNKMjn89HTeW6QRsoOYdO2SVLldVtt+qA2sBXiwYUTwkuVZR/7r2fz3Pf26dRYFcpllY952FTiwnJAgD++ed/2udt8k0MI7/uSAzHWttB4DSLGCghdVvPozMT3BFQsiNOIeq+Fv0b2Bpt8BKUBQ3cEdrwMjYm7H8nzdw3J7c+z3O2fb0183me26Pzmb9PUX583k4pm1SFfEIg3ec5DRSdaaOrnSVUai/uwt3yfPUfyfZfB/GgP/KHq/FEBKUW05w4BdJZZzZwTXzzkq/+GgO++g9vRK5wiAtARV76URaognAS8RL26mFyzG7zZpib5nllIsb6IZaB9Y1FgfasvCC6wHTCkyDVwcHvavQ55/t+7fARJPnBpBhsCYEvXeAl4SCPX1E0pvmhipKq7+1TIFsBuNORTZiizvm+3wG2jgXC7QJ5wCx0fmGl0XGbd6hobzKyylsj1SUiP4MrSrlwdRaSLbubIV8WjhjQbYrBc1WNvE6plCxkEL6LrBwd7ZUH1BzezcxD6lTGlYijOr7Yq5ut5QawYEgEdure5KagG+c5907eXffwlLbvGkyURNY+RlgNdupo3TQVdx4z+oDq0KpQPLOkrdfB0o2WE49HCPSkKZ4WvK5W9dzLfv0FvNGOAPUE16nRhxFypTjKVUMj1OgTBfjomy1R3Zrz1IenQxz3yrjxuV6wmV8SIrWcJIvFRVhJnNoDzRu3Yu/rlWV0cdgOV2c95haqgVv4dbgriMG+J7KUB2Qk69Rp/XwO48znediUw2h4RC3XhZls16Z3R5DYGGETX3KShW+rg03IrFF42XEbwPve9E0lPOL8jnpygf44OMmzLalFsguHt6MeZIp2oCUCwu/RkKXvYQzHRaoVVqqAV/9wdVjd8/utIY8fzmdZffAn+LOtiKrKydv9Zx9blJlEuQ6qHqXw83hbtNueyK8a40bkG+lHN+lJ2VyRzu0ANMhAFMzEn58W8M8L/h8/hIpdbgtj1efZWqN31+37FANRt6q+VwU713JTgzTyJXlO7a7ljrU5WwvwW4kCGjESxF7MTKlhitAXLiODMayR2sVAV3hbr+pfzfbGZaQV6ZKx/Eyc0mhaZY8tmZtlA6JNdyEx20BHEjMWjN/NtkiTGBTEAsTzbNKxiFxUBhhRRWW2XdaigVEs4kwm7w/cUfXygmUlzdnAVa3rj/eP77na0xYCOXU02G/o1hYGsuR0NrBFMRehzvBQlk/OksEcXPNu4MOuP+xkjyWIAbzvVdXfOuuqlibJEssBacxkPcZRyL/NBUoBelzFIKxuHSRdqOMKqbBB0WRKMVjV0LG4kTkVOmcYEqZ98XPc/AgRSf8GhBfiCOGWP4/OZrt9H4uxykENNmqwh1F7zNyiq0SjNqyu5t6fhzW6JxxZoe9lmC8w7DdsKNw+UYekiQbLSSz2DLK3s3Wtu/lEtsKCbJXCGW+weGkxM28PD43UrTBeW9dbdYgShKTgWCLkqZsMV4mlJWm31J1I4WB58QQ3Ya0Qfv5G0b3Pma6E4+q++m68sT9v9wTbuHMRufP9zQ21JQj1YWOkYfeFGmARFBzLd/6vVqKjjrxe22h5D1Awc+qkV5ZWeW0niB0GoCxsJ7wasZ1t7Y1WgOWw6UiyIdhvdTpbgFjUGEOKjxMAR8sjVlX2ehWyeh1OKElM1Ko4a8RFa5ogimYdkqDeDqFZqv7gggyFg61tv967jom2/ow+/iGl6D4toyX1ciEopa9k+2Idw4k1DUktEmTz8J5i/eB97/T1XIo8m4tabKjqpvYAOT9KfVl4dVjidYrnAXBirDaOfKmqxmXP+pAybXIFZNaxLdqwqqZtEh7G5FAyE6ui7zhEthWC/T9Adx+1yp+tAhw79ydTJ73kZccpxgFc71ABQ8P5t8118H0FIkCinCiSHXsJH9RPWk6W8eI+9YxUL3ClFXTu7vd1/BaVYo+l7awI5LMzbFHYisWaJ0+I4jFIkaChPuTm/wjAstjXWhKL15amoBVSTnZsitstR9gjsMPwRqBmkjEPI8qlb+N7FwcgBv0PZPkjgNcEorUaCZSNTNwKLlMMp1EDUj5HMGkdGwR0996zscx+SqZvCeb9shrDtVSCvAF4R/dKBPLI8/hGIJOQh1Xd19IeHF3EypZWiI50I7XdbZ/Xv++1K9TZTd6fiob123fNvxo5+JXTUsaz7bjVJs7lvyEhtSRDPHVtxziiILPZovmBD0je35bmQgqzo5YKg5rkY+j1qSBOp4Ej5g3Ssr1sNOCC9k0ZueDHT8V/4rgXuJk/Abj3n6BGnaq1cXpwZRuRFaxSqkcHbM4q/RIzjYYbKm0L++5hXarNawFuG1GP/ox+D43zqlN9+719HposOhnxgCnM1gfzQwqxB5DLyoMEbmDI0szISPtW1ho4ffvii3Mmp6G7GQah0B8FCwD9p99mA02diYYLLsjKu1VRJMKP4wcwNKn87iVquKl6366n3r4f1H7/qJeQDXfi6PRKCAVUSXXE6NO7XbUGywju8Vga+Y4qq616E4XS0i/B7LIRW99RzwIRAOxhSgLp0OKsjAlQrnBhtEUGhVrrarLqMPuaQyXEMZ//qkAx2X9+Iq0XCeQ3gqHBVrAIz3L0fGXV1j8t+yNCIi8H3FXBkGPCMGAjbjoKfEcJj1+h1/F5eLRG3N33Np6jMz1E7n7pdRALS0GXvFUA2Lrah2f8XS+xdueBEBSND7xMpBbrFd08D2n3aKmaQCwncedsExgVtf/URqOTwG6yqSzsTCr0GVAhKDamHj0HeoPGBioc5jk3O/CYAkjSt/sshrDK1Rur/kx4VKYnJOkRP0b0bGT9nsiS0T+2YFxDPchYap4z6Yuqn7ZUql3NuRqQBzU0FNfuvisKCb+AwGPCKknsDzxQ8WiyWS/QOGWuJn1QcPVorriwF7JGFH2ttDZZH4MkrFELrClfWUO31KQTPW21iwmFCvh05mC+qUU33onnOQw/3EtRXVh7GDlmRRK9qCq+AfKA86MuFBBrqZaRizJobtNy0ueKXyEO9pFPNboSgwEwP5E1BBE/XzdG9CPMk2+GGMWI+TzC+D/BVQjGU61Eb0q2dLrmS1cM+f8Q9xrhku9Ra3cEUfPeeOT2xBeh//35X7/QHRZ2/xWFGYmgVTlXst+eOHm3RdjS+xtBtzy/b785xVcTH/nsR/i/Vba8jOsXWB51dXk+K86/33+ND26gRAbMTZD4LsiqGykgCRu8uXS4zNaelNMwONDuITGUD48ByCh/N0Zw3AqqdhMLL8saGhx/NlqBbCkt7v15smzY8TQaMwogoazKCPX6HDhiDBM5uqtPPAGaAiOXjpLvCUUkLnIAKvmHQq1DY1rDcYxXTa6RIZNkTXTvn5/AMPZkNXs14cV7pRW7ox79Z1VLLF9ullsrqeV4L1Aux8nhlT1+/94/0RggbfljefHuvjZF6t249821m+acmaVPUtC79WF0xrFT+5x6nvM8PDmL5bTH7hIJmmS3pUK4F3We6QvYw6e6WORaVfChv+2ovfX7DwbUgsy06pxnbDrPwjzCxTsAnnPOOc/nyThyLcWxswcDkkwPW5wGToWxMZMdVp/xWeT2moiMfYG80Z/hKQ8wgOnX2BpYzz/a+XAzsE2evH7d86vwANgEqflTr1s8+f/WBOsaS390WLeNqLMoa3E0nr8m6bvwVTlMaJVS5g7cze32KgxjR/z7pX+2pkpt3LnBhgWVkRdyVGQbRf8Mm3J/EUdnVUnA7ehLHitBDbiA93rnyQmLopzl0T2045mZbapOPda43qRuyoFBRtoa2lnRqpGtCiNv1l3guuoIKfMaHoAWWrXyywoDwlv+XQjj3qh89xRo16b8zk6tKgOaxIGl4gntcry/MeQl9n3sN1BQz5/KMYQW30c9ln8Kx4BqqBdnJe+mqx0bYbAXapXcKuu16IPTI7drKTXevKXOuVN2paDqp3snSDFJHwDufUPZqiNtkeldZ/YKQWgH7NZ0LyA9kxlNxQjVAFCvuvlQ19OVaTZjIl33+yqzqt+i6D91unmamdbRUhNVAhrvfcftZVbgaTMo57oNofRvln2fegDXvllpPDN0aSBTrgjPO15wNVg1CjrbIT/cxXPxfD4m1mWEWC5eEno3Q9Hqt5F6ANCEqS618BOZxjFjmL4BKj8ysJ9P9NmaYBgp3qHQsfXpL5zJWpQor/Jn/EXbaCtbBv89jzguq23eWJGtt3XHRVbzYcS3Zwp1p++ZxZ6R6bamo0iQYJ5ISmpHoSPKBEputOZmbHLUZVHLxS7avH/8ogUdQeNkPJNRFYSuqpQLibQEaS9Prd3WemvrM1cybFWumcAyMz2akYwfIToycqPXP1aaSKGAZh2MZmHh6BC/y+BDPtF/NCTuYKjbt895GCq7zuJVIdvebP5LWHkqpMSNYCIGd+teNbJ+74XadoWuxivAkUuwtCRAoVa6sByz8cVlUZJWQI1D+6xttd3ug36V8RXFoBlzb+99SRjsrva7wvE3HxuyABS2UYOp2+AJQtY6SqBRMYRqM+/bffvTje/7Pg91zs5/kLZ8nqecaMiWCUzaoRRLZ0HebqRUOmnoDqi/6uORMcNZ8CJJNiN8zvOcTcZaI1ZSEBkZT4wBnj4N932fz1N1zLMy6ITxG7evDmHvrjqXRwXYWPDSuLAb7Z7mRf/j4Sqrg6lqJlD1nAfTnxLSwK+cTrfffqciI5LweT7eeEmX+gHZDZmWlYZZLTm9UDQiQxcj1uJJjDD9Edg1ZvsgsxaqqjUM6URPbI3Okk7AsZj0VtYZISTMS2dcW/DpPwMxJSevh7VoQVzvKYw+MAQeNYTxP8TnNAOgx2uB/p//RI5biiJitH+ShpWu3n7BlpgpwRX8KOCyAugKMiLE3FlGrrkyBVUTk01noselwHcWP9pp5h5V92TDa82SuhbQw13l7wd1S0a+IkygWPk5G9zm4710I1C210+Bj8FcWyMe65GrfOsRBFwMwZAjhSu5aX2hk0JaDYiukhKz10nrBDWoIJg9DRiHD5M8zvZ8xBDcZkyTh8p1auOf2Hk48suRQ8ukKxCsRQ9JrOAi/5dAFyWNcCF/MVs+z3nvpRo4zvnhXWT4FRMVhTNn/XnUjvN5HhSeer73pSvNnbS7Tn2iUK4bL7d5jcxLUcjjpw9o9hmhLPWbBa0sQWJK1pLSFPboBRoYoFBjrny4sr8IXSF/dGSDXEFV5V2hoOR2ft/vcz57hN3K64cMBbP3HDZwJYt5wG4bf7oBxm5Kzq7XRGFodrBQV/f33ufzUR8hr4kzEKgp+zlPxbKr6qqnnysz8PiY3AgaYTeYZE1TmMXKz8CRBcklAup6X8JhzoFVGWYSdYL/gb/PNjwE/IywcznzR/8uE8NEVSO4e/TZDMS8jVgAScP7Hcwe2+zxaL2RxdblGC9Vefy/MyQL9O5qYdE/GnS7Rq2/CGSEGp2Kdc7pe3PenEcyLSBkiUoQs0Q8oLW2n8brbBuiMOsCuJ3RWo5FERt1ESK/70v9Fx+GtK53trsJ5KPqNLFkDHT7ULAfiyl7QZobP22/YRALA+juGarVdXvRTTAHuOectAYgm5OX72X91yqMVeaSIvA3C1Xn0HvLIV2r25+lUoeD4Mt23UoOJ+YucGweS9/uRHGTP1KBrcvZKHfiHdMAgA5IGDGV8054QWwjgFk999RjZuHeLSeq0E9/v18AOkaUWkva6BRaziYWrex2my1AUpcuM+DzfN77/X5fqooft7t11/u+S/sNspLirTNVSybK2EHx1dzL57BYrqPDx/yskKWI16rVXrhCd3+eT9m/rwscybmCSOIjUj+9RrRyxE41J0gaVak5IoDnUXRCTSV4UnJpJt3yssKpE4rpn6pSrTV8GgwH7xvJbHcS+zLVQFHtjtG3DZ2fy4y+9jIhzhxfGkhCgrEOLlN5sLPeOYqY75FR8oeSozzmb3srfrxAFj5bg5ADAsdm5XuTFP7+1Bb8/tXqasQ34DeybJWW6+/zOuJTAl2lA1zDXrRde2t6hGHIhmNQEIt+0TQ+4KNzIi4MVMuO2O7MamFqTG1mie7AcnbTukZmxoDJm4tNPEZO5A3usXNzo3aKeOOuwJ9Jiw9fTQxnWtYlbZu2pjsorYDu2y9DhlkH7sC9rSrZqsPoWZ3Z/O0xE7PcjOFxpBCN1fGiBtYvqtK2ekghuiFW17pWrOdulyILvA45OoLCt5QJg11Uhe6P8MH7vjT+9O4SlZfbHwBd6jJQbBaRnRX4ELEcc2OzWIQkqtVcFM0N/jyfNi9EdH/e99bqZMRfns8TBhrGq5aXqRFJahrXUhwpmC4egMVZda9TU7pbBcbsMtQqlZi1nkaKzjQnVOBaiqVKGixQxyRSArocF6u8LmgYSOacAs+yMESMs6LKdNBg5X4b9ZcvayfjZz+s7dHye1Tj1ioNB1Jlo2HS2OCGPc8zA97bhm0LjoDmCtS4ZZo4a8SvpL6/Q5LnJV7F2KMKalLvJCkbm4iS8DbHCZUG23rdrzqalYyTL99f6OCLNg+1LZqZVeRnhj1/FX5ms1Fwy+rw4MzhVbUd2/vJ5MHogNWe8AdDS/5niWHmyG+zBjC+WFsZFchriDb6Oalp91wMb71FQ5taT47r8kiv8zyU0SQhps8RhyFj2NauIdUsTwnYc/kqLTFYI0nCtso393teyimIvm13afRZMbZajBcF8mI07BXuy567XgsBMxGTq+yyARVq1SeOOozhpi6LU7CSw3RvApYd5kM8U1HkGKarJGHBuKSb4RLX8AfKbspCO3UVopDyzsSsN+/OVqtcoveExSIyOhHTW+jEyKNN2IK8qnK/DB/iGLuc6rc/VXjO4WkAbAvRZkWux3vv4/z358OOdL9pYdmuUytu3MPXMhWvCNVOKE9pWibdyRkVnaucY1ncZddbyJFyXlhyWDHpjNZZLuj9uPdOw3bcKeLz51AnKS2Vt59PAT4+oqDt7HLvhAZ4ArjrtpwiQovn0ttDbSWm4im/0lxlMsBorqgbWBB34qkL6xJ6/GFLM+uO7oauYe2wzCnTmRZt4+FoG90ezYIs/xzkOdRY2rwQoz8ZSjazVTu9lMwSTTi8NiOEgcj8WLP+CPdNmfnPaKme1aj8le4m/Z+H1Jp7lr17PTtPdRggDNuAD5NSV9RykjNFwKn7XmsgyiMYcnOykivNj0tVS+eUj1Rs92WAO2YCXj8hAGyNLnDvyoMfXaWGo3UaPODFNspaitEWXgqfxKvVuK+9Q/UTL+FM+kkqWpKAu6r61fBKdVuXJ6dy4hUPs1EvzC+8/ubkQTeRnH0X5jK4WeQHNE0B95Pn039FXGGkGfHJEJ3cIcftaCyCtFq5DAAMoDtqc3dAKtAIeJ6HDRp4txPol8HSuGhqWm+3YE7L/jhBJ5Rm971vv6UezN1AvxesBL46lgyIF+ioUvnz+fTtfvS08/lQexampk6rRlV96t63MKq1ihSa/hg8dVqdUt73TYJ/dys9Sit5gL46KquNFImKqS1kdDI+/EvHJcBE0WVjGNGneSJQqOR+GIm25tKtbCaGxSJC1TXF8ETKZ973cbUX33W7VVbrrX4qEhHtykv21LPIFI0E3Yruepr8jyRcicb0CPgeec8MHLQF81RzraVnlMykEOBvzs/+tTULE3B2gYC3UukmsOY/1QuzRBhL34VplyQewSq1DeSY7y2WjR33zKJzltaqfUCkc+3XtWsWFtSdX2Ze+P2xWKI4428Jd1WmyNSwfhvQCYvls7+rjiuxUKFFvbMbUCKZQ6rPSQzclHYvm8T159FG5eTTtbIwWdiclS+IrSVKkXz9X5rElbQNJLL9nAidoQ0Ysq9F4rFL6J+TL2et/atIo6nkTpBAL5nTxJIOvd7g5e2WyJWJG2v2LC9ortN9CRKd43vnyFztl10vo/N+SbXAdWvzZnP5mKa4WHTdZ3DbxhFxRd/7PvYA1nmayTpbeIiv44qskK3xcfXl4T+O3tsa6O73Xoc8AdT58ft304l2WP57zr1uaVkHVd/v231zfAqW7kKEhcWxvpXv6pRoV7HQ5znalbanzA/qxvsmt3ObY5XJnMlxzotkKPDbqmK17PkxSqROu/t95ZE/jkIcpfqqbhvOf7ipYxzUvKZsUrDrQKm7hGn/fv+1xvd8qmQqlXV0VaF8TEe7AYPrxqIQ1oJHrHAkEbIxlTbjWZvMY/4rvJA36TKuu94Y8efvIv0rSHdEFfKRTfI1clZol9s31vIetb0kVi9e8NniwV3lrMIZ0qiiPYaZK9e6Kc4tzG9f2zYVht8Lk6VkkxZsVVXZjnlFmQ6HfLWtFz0CVAWrZdeQF6E2wY/oQbt2lK9LZsh1ZnBQNmdUOgo7e5TRhtJmiqQ/3yV2ReUcMeMnaV+j96podG0KZscYko3k5G9qs+MHwBpdlK4BSwrtvq38vmU0XARcmukA5fK1FUzZOKgCWw57N9pcY2W20NgQkneBA16lbRmRsCXjMHMMWgtWhu75z3Hpfoh5FE0DjjY9z0HhPI+19HGif5yIQ1ca+w8NIi1Lua1aujCpjiTjG/FBMIEin8mYrMOsWJLiIx+qBJw16p/63sQzu288DdTSWe548zDALocHMN5cBVz2JiJXnGQ9G3mFLxpqt9uBuCS5SIcRs1vwWcuijvqSHpcjwLGv5/PUFRlZXQkUTocmuSik4ECHYMvpRucPM/fPefp2mRQ9UncCPKK5iA9KXlz7sIbUl8QPuS6wocu8Hu2cNn7RrhMxqY9nMz+9/ts/KuPH/RKDGP/5GYzs7YoRYPddZKfkxnYXMe5qwLPWy2ydzzTEvbk2w3+HVcJbWAQ0WH602/pzbsQqFF/C3Rd0+2OjOl8Z64hltzMT6u7jFjHNNub4oQ3C+9Y4mUpAoxkggK2+b0OZ5nEv3LdvvyUBvcowQ0L+hTi6qu77Vh0dCQ5MG9dGo7/3e9Zxra3soGOnxYtrbufinnP61jl9X3Q/j849fd/vtsWzTDB042f0lT1ON4cD1G1dEvkzG+5eHj2biHgXqurctj1lC6bq0uuQdsUjQiymlwDx50NpOgM57Y1M5/Z4Qz47U5+lPCJ/yw/SeO4F6vt9P5/POfV9v8wv184aeQY6ZLa6JugwYN+TtgE0AoFffahp0VL1t2+/oPp5Xx5b+CnWp3AA3celAKAPx7aGZFA3cJ7nMKB/rCc5N2/9VcVzVRWTtHq1nlbyuyFA42r0W4LzUVG4kCAZ4daTX1/5c62/UlRROlvnPE8BU0c+veNFTrIBD9pAyQKg6jnl3h2NZv4CB/a+93yk3lTDNUHZ4jAOY18CQ8sGhfVYNNvMVdABSYoweVjUWrX/rFL/fbLgzsL/Up5dO88hz8n9UlClQsBVsYK5aCmJtSl6zgpDdyBY/O3/i1rBDplWKGD2QRPwUgWvGcxtVaqLll79c9emtt5Pyb+6LjjGv1QURu6oyRPvhhr1se98w/5MJZmohn8skjpV9d439jT6ssW8K+cVNahTp1nBdKGSlZOeieJxtsvXeqhkp+03t1quGqd5uiDIdcNnrlYHg//Q8vtbJepcKag41M5AeiTeVpFkZcxe7+5KjrVpaW+9QJKr7AiJdsUvSZjA3KU/0tJsckz5w9Nh7SW13B/XYtIjAHS5Muv+SIl4VMbWqapXlQepUfBJnGitia2fdnPQSKp7b46LWBhlGPjaTtw8b35EgUEChqabKLN8vO1tNRW/dT+nqo8CNazyqgKjELdeMHysEZjrc8SwIjzHTkAzounrdtd5aHq21FKZMAAohr5MOVD6J8zFOT/nLL9tEJhXJxhf4dmmoymuRiMLQ4mGt7nazRqr2EKkerrS07JxCbhGBxpJgUJZoppzJoNogKrPmeagQ8MWnfG1QyIXrX4pa5IyeE1isDRZyTJIdk1WfyTb5AVY7jfmCliKt383Sf1RGIiwNi1uuJqnjoYrtzW0lvI6VnhuVjYvz1+UiJSD5sxaX8781psRvvCgvPmrfYvIj6yeTrQmrUaUlmbdPFMQ8Kysu3rscq35tg9ah1Lgve/J9kmiNS4ZzY8FwdD1UshrZCVahFPZX8HZO/3gQlcFJO+O7xM5lrQkUbCO27sX6/wl0VVFNRo2ytDXWyqZ7Fjc64Ex0Y6fHbP326+hQAwx4dN7b7u8y4sBVoaWjxsjC7R/zA4hnUb7uGNHnrkQffuskyYYJz/4WN8U2om+6O6ufpbA9baiDxJp/CXUYR96R16VcTiHO5zrha1J3eikpN9zntv9qPH+5Y3sJyGP0DJEKvHeXxDT6Oqqc/C19JY3754+Xvl2/7M+X4ahTnX31+dHvwzbnlPnePsBgEVlJIWzqunMnhbubDP0PP98/jl1nnNoasmTV7lYYm37kc6p997zPCxOvkrovc4hoqw+kexlUctZ1KlznrJDwLm0fW+7H7WThQHAp3qRYUp+f9iAUiY+nMiMBiqJFtE6KLz9fr/f6B5JHCtFZV7nHO2RpvokkJLwv8278BURTpGyP9TvPchdHTnSW5V4WLk1OOcXCEuGLoLrdXnIOf8fTg4zFNCq1lkPnhQSqzwLgtrDmTfHLQTYHAm5oecuOzquE1VbDO0RED1w+FZblJuZ4+yXrhm2Xdi/5/e9I5LqwlgAlJF5zrksYBptboFJ2riuF/nRH1bZ3bHfmVFWcZqX4p8kDosZ21GpZQHJ4d739QJ2d97YUa4SrJADfJ6FXvQPz7mVBReeN3yuKqkHtSVTgpMr/zHvpTjw1Kkse9r1eIQ1jBwTZHbE+7KsOOlLVhTd29/3e/MjF3R7tW/Y2PIakOIZba4FB22O4DOr1/X6wBQaAdpwizAPMOKEUkfCk+K2G4zEal/AtbzNvkb3jv60IVCrDwThwvM8L+PJRROS+N9WjlHChyBIIs0NfOjgFlwQ4vfeac1u9ps7xG3QqvFgipJ99Kp3EDtlLhzhtVCI2GT0zz+f//v/+7//8z//A+Dz+YDwB2LtMwen8d6RyBaianZFQ8jUJobrvs9hX7b+vi/rc0B3HkDVJUHQwjJ1Dtf1eOONSTmtmB08clpA1KE28mE9rBOu7nsVDwrml7nt3yLzf0BGR3z4VgHDUarr0ghB2SIYiaMZBIhwlF4+43iMGWxxZ9WNa/ozOLhO/JxdaNkA0VUtVbm2qi8MMBDOCBOtHyPxXLc0H35uiNxE1aD4VmZLdkc6fV7o33r+1hL6BThV9ypPelDRBmDyc7bfpf4NTz1XWRkKQWsU3Afx/wN0mmWNRLOslUZLhcDgj4xV6j0NGiWI+cBqOO5FQEb3fSBuwUdW7OVFDpfsLKX0xHIbBtOQaJ3UJqnUPW3Y90ZJilUDoKebFEX5ch4domsIlbp/XRboKUO4GHfQrnIoty+dB4VP2eVbTr7w0zSiy2B4O4Avd5wh2i95mK7zrZDE0ZmAysiwlRsPLWTnIUttownOly234QCcaO74RNi8GyVsYaRh4OXApLU1gHHOdl5Hn+TtD1v08H2fz6fqXFyu1efz+X5fe7uj9LjZnZPCysOy0Be9pNKyRBeBCXrIselqgaSf+73//PPP932b4aN7q3JctdNyJP3BLHvuBc/SiMaOpGBPpXpKuKCcX9y36+ECyMpLp4SkNgPV1Kj0dB0BdrvFjMU0Px1d5JAG+1Bba6o7ICzqud/dF/3AoLW88Xr3yMSeWbVdBvKtBUgpdmrFXLXrvWKTiqclly07DFwgIQcneHAEjmdlXYPUR51kf7mnO2bcEVF6NmfKVx6Yb70Co35WMZyVCv+40yjIm2JB9JsfIlVrEiz3JTQVCcTsMEbBpsaPRuh2rjCyG/LkYQyUNrM1hQKP1TsKg53DUzL4AsIRqQVuX9Eni2JPL3MeXbeJUWVUnWX3nKOSWpEaqyvTubjTeACtvoa5IootW3x0xPHE9sn5xCA2YkSv7/cro0eyqAG1mSEYMfE5nXe2DsUQYyHiZQQ90GxeufR0h2FRbSW5CTXyjaqCxsx973MeArhkIdLYou/+vi9RKRuLkX9H7geiLKMWBmcj6rS+6kdfqJdhZw7MKad8AAfD/HiBi0ajn3iz+WFlC0mUNavcs5B3zqJgCnofHP5SPJTwAuhPnfOc8/1+gXrf9/v+38/zMVupOSixNz9xA4OTec68AfjQlbaVUknYKFOYM4ip8QiaZqcZqrp1qpiyGi4C2N7n6G2lk4HN1ZbaAqBVQ9PkBmU0lz3F7BNBuc+LXi60hBSTDfBeNPDhWutob8nuBANM7jDkcsJc91OPSgouUM1IQTejdAPKldoh7Ox9VHMJR5ZANNSH5LKO4JIpOUg/G2ZbYszPZSx4cfAjQK0EYkZssDm/mNo58J1ZHwGUfakMr0a4zxB65GnGtAZAqa3hK3FlgOcgo4Q/tnyYuf/MYr1e8WxDfoFs5bGXINR1JzKCrVy8NM3WFUWn+7gZPH7kC+/P+75924dNUTLI91Wx61MG1KtUz9141JNK4mH2qFs9vuTYaTtCz9QuDarcS0fe/btOrY6bm/1HAo16oM/hfd/M0brAloTpgRVn9973vWCREAD28a0aXrNSk4kQSy4Ds1uilY1axbPXb3f3e+/M1U6kGCubVqjdlWTeJk7YXtFqU82vopmxMAGH2LnsrGijXKPi+H5f8yesQKuh0LAVb1lmihONy1r10vei+r73PAtvAS44tckREVxp0bpcPqgDpc2e5/MA+D//83+e55xzUPXvv/+2XE5aAh3vhRV0MqS4xlQEtiwmSMaxJ6u/yBXv79nCJM1g9ioGAh4Wu7+G/deZAdQx0cW0wmjiqXWoGxZlv/UhvD1KpLsLRmmQvP85T/qA2/smpdcOXbSaAzWGoBMYr+ewQws9en2Kh6mhfP57eM7kcq8PMSZdtAR2wQdnxv696T8cn/UIxeHfuDEs5Zd49BdLdv3IdxZY/kdeh+6XehjvzmB0YcSJ3VGiUicZQoUVzL0/b1r5/jOXFXesNUMp+plf7Qfm9S0PhoGIB1yrDUNmYB1qcHpOq/h2GTwIktNW8t8RUrMrCOCV9CcErtuN5xyBfpL0tWFpDayK9559NpHDiqaxtpuEE3IXzigAE0aDQ1BWrpopx0Clx8WtnM3LAHUshrXrVbqmE0mWqLf29S9ZJRL3c0pxvyqyXnfnqD8yK9ab1vD1C5fL+y7LhmM8j/zp0wDG+5caY+ed4/N5upvxzgyPmEqUk7op06gWbVhnNGqJbCzlNRc3AiIOgHfAqD8KHtI0vm5lGXU35wWGjtNUTeVt9o1Zj1lRiTCotz/ouvfSH2QOYa7nudWouvd+5KGT2r59lZ2qLJbKlBc786XSxm03tBRZ59tz++tdc0a8ayK6Fa3uK1vEwdvgTXVrOwWqD548cJ3On7KvLNx73/PomN+QVluMXp89jcL7fetU4TnnOScUm7l1DEGB80K7Je97v8/zISk7mbfg08bf90XhVH2eD4CyTIFOIAgqkQkfYgqFGG0xd7zqM9mU13JNDYs07gG3o4sj2iTH11UC3ibmFvUHDJmjrYjRgasZrBOAliYyq3S7uCEJiYtttilQyoxyyNh482dNxEg/27NmOSo2XOtbdOEk1aV/JFDyC5eV8GyHe14VXKDvDZs8YyhjnQEh2sFyePY0tbLzs4v1KFWn67pNpB9rYxdooiM6CkwJWvT47TzJqA7BtXOeVqJk1/O03FByARFbnWHQOUy0FW2WaQsfrhsxpY071d3Ped73PYdHuqcRNK9bmY7sas0MbLX4h21BRLbn2ciUllbYNODigJbVRIF2WYGsSUVjj1KfR1Xf+0o16gxzW1IaRnILx15m1HAJNBW3a92bsovvgnKTJAeE8k1ep5ipZkYRY+i4usuDUPhGoi0fiM4tTKg9/E5WqjqoVwEGKHGQpiEK5zxaA+LU//vvv+wkxSJ16pZ77/v9NvA5z3Oefz7/ZIFXOnyZKzcq1hrSpBCuGM0f5pq94ITfl2Us4KPO83zYJoFzoxHNRWDGkkX8ccqBING9obl7X6v0SSYjV0DipePauj5F4X05+/f7fvkmSyeihpvdsu9LJhfXkDzAQjOZJlVgTb/ZwDJaXFKxrp1B3AGCJlZfTFxSLFJtm0Ta/djXMl/5cP+MnI2f/wc1OWYlyaIHWNcH+0QARV4IC20csGT6j7cn4MR6dKuLXD9IC5oXPJT5CSdMLnn583ic6mdqtk6CjkZPZKGXlyFzYTbOwg8/J4dw7rTk8oi2qjPV8UV2ZrJTY2sLmP5o7SjNNmW9ixJaTqn2+lUGjqr3vRb3dpukQaadCVcyYnYk6xklwg/oJj3nCQ5LAI+cdeqJlfw8595XYH5ls2i9ylqw/HdheQsGB3BjruTJ6z0xZbiLQRV1Wz/Po8/YGrrFHUn/caEpy6GdzHpvFdjy4Knz/X5fvUtWHzJuRTJ8xpYEy+x1uxIog2fZLRfxPM8spuwjtiRoakGODBJuCr4qWiPLqbI+ir8WANz3KtEAyt4w3JGMSlqRUiqhvtif7/dltfG9/6Lq83wE2x0MOY+ctu/7nqo3wZPS4+jXHsKpcFcgiFrQ2iV6DQVBp/y97QzJavTt+zzPqdNPosT3+14nZjH1OCJG5CF+YDanZYQV4+0GITnPh6MrRnhC/kkk41hYoQ6xPyPPWb7TlRTVUhGANbx7Szido7pv9Tm2/ghpn8PIvuIzvyqQTgZbnYj4GXQd179RmKSJeaaTsiHMfMqAeZupkqwnqxVBuLBnxB7pOkMyRo6dsDC5IbodILymbVu64oGrgW2LEHPP62viv7WHs386rudQFWxhoNyhwxttVDVy+CfZ9ecJAFxFS8lrF7OsPcAlhIGJCS/5UV3A7rBufdBzR8spf85z39snGVVL0DeAZlTsnBJob4TSiEvu7XVi9pi/Ii37+eK7sjmFbp7vgtKRZZeH+IVTq3+WXgkOK0nXaH37f07biSXpr2pnITCIogq+bhSubaLjYkzaXdmM0CX/vY3CPaeYTd99Med23Udit7zfWoE6pfI7ci7qVjP2ANceDz7oW6j3fsmhKuAgPRiYR50vQpIYhNwbqrmNFitn2nd+nHcV/lXupMEWuZabdhAXD6DUBrszsll9C7CzIKhG5unnnPN8Pu+/3+fzuYacHNnTh9Ge4BqgPp8PjaPnebKL7WyfcNXSAxUWrAmUaP/e7/t5PueD9335xXMenrtGC0UwASra7paql32ABiidH64dK24COiDLnfGuGFDqhC7Sl+iJPYXKvVN0XpvuWIQpDNdyHTjKxEHK8q1HzlAWB+hVghNH9h1rTHw8wCByeC1hmbAEeCCbUYxkaDSv/XzGDpXETN3eW2hv0e7dQU26jMTpD0ZcweEqM5e+tLdoha8z3o5fuPZUrDDmp6NN/nzhG34uQycDD95r/P0JP7efIyOgl6pp4DiJu71aWHV8VaeV0xWbT7YXR2pBzGO/iielXXNBOTyw5PiFFbTRCcqRfgpiMt37fn8Wmp5AVKFYfg/pa1rDTEzUihGfxszNRoyJeHRyph9uYGdyp3sgWJjUN2toF8dQYDvoMpXG4UqLiZXgHx48qmMoaj5zX5V8dFWnEoYgVI7DqupxchHFVBZqEEz3Lanjso7T3U2JKR+O+w6hgHrqXHkWXm160dY5pvUJWR13x9N2/2GE9WG7YSf/rcpBciLVycL3z1HDVPk96FS0VSOCZEcKn/HV2ZHhTeCgWwlPVYV63/d97/u+BmJ2xVdV1Xvvfe/7vrfv+76R+951Lh+twrQw+gsnY1ZHfbR8DFoOpiG16+OptNxJ6UZsXKUkaGKqJivZ8rSjmX31PB8O6fv9Si7LMNRC/Axy2dfF6pvb3+/33vuc53meIl04s7ssO23gXyVyecu0kJbL/HnfN2HzEatcBvsXYoOHiDYR9HqatKHBTazFzOgPPs5e/DwNGaajlOrLJOrHum0J0R+lMIIJI3fWW3oEbc+9vddgQQQL5P0UJxDOnkV+g4vgld5v3sOg0p/FMZeuqWWi2dz1lPigJiVgBiLiD+QmPezHzNh7vaHlzmmPZYbRhMjydAYlw0AixTfC0EuM2nLzuJRM0YDbX3e3m9xBB4dYH+iJCKFd9cwRnVPXnjXrXj97hbubtr6WbwxFXEduJXBXvVaTgQek/9KSjez1Isjr5TcuWafJrhGxVQ4aK6jbavpWIfmhIok4+fUbqijrzn4VW9x4HXiz8pDuH4KyHM7wUrm5WHzLoi1AANAeuq14kt16WE9cQ5c0+K+U6w/dL/d+G8+j/m7c0fu+tz6fc+p9k5rSVed//ud/HDEvayoXK9rqtGa7jIGIUDT5cuzSYovg8XZ3O2Ta1IcEGfTd1dURnWgd0+xCCYGlZwWmgglvCugdTgnSHOIpkCCOER0ptO0lZD2aHXb4fD6C6bcPYVdyS+z2MMnWIHETcVDMcVXn3uCqIO2dLjm/d2vDY/4JTVi61ipVHtHTrFDyIXM/aMixAe+al5GDWNnf6FEPY0C0idVAeBOaDYU8z8KUgNp/raKjX6VCaZQYtYtL9VnZKP5553pyKCIsiWWJcsw6KmbNSKt61WJe4kOI9Lpzi/j1R3BEkMHRFwMFR461gaBU4OK3pz1iD+bnDmjTXEhDdn9n/t2q+w8QAJiWPeEZQ7K1EZksOaPtPVMZ93L+dN+drt19733xfKrw3rd+tkwOaNofKfM5ZySGN2K0Vrbvj8L19GAfwLH0/6Evix0dsUd6fx2KSDiEgnqN03UDUpoax5ELy2cv93uWX4EkSa48JaCt5w98skNlsba4CdIc7kzTbbdBVbUcfVFvwmHUGL+YxAvkKE7LDggpofvSr3Dw9Nyu/f88n3Pfe54njjn7WM5HLcx0eJaM0750dLcfsWnkDytWnXq4CjJ/pAq7++LxiSucFX1KNP0q9mW8A/2WQvxnfAWMk6QVnX0y3vJmg8Nznu/3+zAxtSA5Jcuoyo2oXA7N7S+genktb/f3FZUrQo5CSSXU2L7aKjv0S+qFLhqbcmxO0p1jKH4cCPAnI8FtkOU1nXjvprsf2Wu9H8pYMEoGm6/xpaTWH6glOvdtC+/+2NTRbWv3Nc5cNLow41+GxRps5BPHN4i4ZgDzpiWSrBR+hVu4ZRUc8qaGx0An7xJWkL/U5pSpvM3iWv7jQz2NujSDOOVoB/zZ3ohfK+Oiww0Mrtj8L+TYXqDtW+8fZbN+MzOqeBRyTZCLfRqr0c9Za+GW6RrQEjveiZ9trSoVSx4BrLX7EYFzBxbd1R6vCWZ7gYCWHxWTglvUvPKSRYVzaakcFBSNNLyisYzNFAUKDqm6IcPZbqvoDI9F/KDnh1E6yiLt9bZIVemiW4jnOP7S8Yh1oF5qC656Z6yf9SOi0qSusVlJENipo5WFLG9EpY6nN/2GhwHQ8o1U9amH8q5fJdeTet57zzmn7PFH/fv9t6YGuAtVbn/qraVBoP28V+pxvBujrvVh6CS5XOQr+vJu9+fzed8cZaC4NAuOS9Ehavj0nmqeZfbP80/393/++YfpQtR23DXjgkL3c46qRRIbsPK73e/9njrP8ym1vMa99/kMl0JmNfvd38JjbJIkmb7dT7OZ0rUobXqWiEUYFeDulXAc1e3GVpIXZ5Lcef6DE+mGqqw2xM5LT5vn8gfm2/4V62MRwQp+mVCCGFgYNr9FdUE6IE8uf4j//rSFqdUTB64ZYEEqLNEUy6P3p3u6A8HQPVwwoJgvmQrhm1uzNEnJWjdOZP3eC/ofNIaWMALepqku8060vSe9zki597rDZ6R6e7AGwxzPWHpRT4bfWCO9Qp2i51cV6csSupYUlzyFdp53AKrHVtAcLm5d1Kn3vo55LGOxkwas/9yE7noTaYhQg65yR3uLe/lWl5btCTUX0DhCjbAorqjF8l7VzIOKfxC3Fkr2mcTwHeUMOVZ1MM77vo8zO70gm+sK0EENRjCdGR4WUam7Qy0bqKhd0Il5AAheTh2rzunMgmT/tV82icyM8oqXhroEL4Cuz+17/jmuEsbz8CAhvPfyAJ+S6qP1B+VXGbkRtt972TiuUNfgQlJH6HrZfVyVUw150rWNrdhyWRN0rMUGGASe1NfkcqIfecFg0zuYuthEF224B0kiggZLJWo+5wub0X0yzCGxnKI5SeNAsbX4ZKO3+0eujXJeULsVCTSbJzuzzC3l2CJqUy9+WybMteGhDR46krb75wsn7uxh25boudVPxCiazhfJXtYTA8LX3CkRAkokxAXFhBO1J2Vhmt/W+PUwLGN/SeX1OuuLPYw/gnYpA890KQM/e4jAaZQQDy8gWYNd2sK91CmJFkA8FbPgRLf027YN45H1WqBiZt5BA0xeTDJiHEfm5B9d5tkv3AchRX2ymmBU1PGIxakyhZxPf1ZHcr0vzxdkdsZBVb+31fFwZBPZ4Rcf68Py0vfgJLnLYK9XVZwkY0NkdwNTnAjLKEXB2UeI3435WlOQgZbXbtLrpGe7cRAMJKefw//WCIX0vgbVt5pdRvEHdEVpkxGSEdM+vqzWZg0l28sNzGAWFbe0kl9k9NAjcDrMlfX5ZZoGqs8/z6OVNK0wVZ0FrPfezz8f9xi+12d4JQO17y3gif0FadzIk1NgCj8kvrqqzqPVkvSXoBv0p8uOCgN9Rk0/j1xDPjgAjw9XVPZk6eVs84mTM7/A3M3Pw/5v9ag4ULEJdmKYGFzFHtMnCi69im2T0OvUYfXiKZn5h8hC5+FYl8i+NBVZzQDlBimGlxJAyvuCtV8iVejopx/WNBoFog86ojef1Wag+aWNccQENQ/+pRj97DoY/1qLSj1o3TTlB5579EyeMkPJBT3XjK7xfOr3+rUQ/6td4W+sSf9ovJnZ70MqFqFvbytM6aQ9a6m5ypXKN9fL+LnM4KMrDWHTgzzNHCqUOCziTS4zrRYjP0YhFPmofZlyzB4fP9JrYr8rSt13nbjU5JmQsYpyhV1GBj1PVXXF7YIABZzJ44iwM1RKzq5Xke77lEmwHwpFHpcrEoM2jNTG7BiqmBtk5unupNhp2eP56eVW9NS0gVFjvEzDcPM1FJi/rq8M1zHzL6Bw7DODGB1cpWGdrP/slyQB7SGtsCVkUUWNx2W2MeQ4PN5eU2UMFZ95Tp++/3+lAffXLVZdZAAAAABJRU5ErkJggg==\n" - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Sending request.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "None\n" - ] - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - "INFO:stability_sdk.client:Got keepalive acd1498c-a514-4a18-823e-b3139aa20e98 in 3.30s\n", - "INFO:stability_sdk.client:Got keepalive acd1498c-a514-4a18-823e-b3139aa20e98 in 3.10s\n", - "INFO:stability_sdk.client:Got acd1498c-a514-4a18-823e-b3139aa20e98 with ['ARTIFACT_IMAGE', 'ARTIFACT_CLASSIFICATIONS', 'ARTIFACT_LATENT'] in 0.21s\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "None\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "#@title Generate Dialog for scenes\n", - "\n", - "dialog_prompt =\"\"\"Example 1.\n", - "Place : Cockpit of an airplane.\n", - "Description: Cockpit of a modern passenger airplane , American Flight 812.\n", - "Characters: Jeff is the hero. A man in his early forties, he tries to stay calm in all circumstance.\n", - "Jeff is now a airline pilot. Danny, a young airplane pilot in his thirties, is eager to learn but can\n", - "quickly lose his composture. Danny is enamored of Edith. Edith, an experienced stewardess with a good\n", - "sense of humour, is trustworthy and dependable. Edith likes to tease Danny.\n", - "Plot element: Crossing the First Threshold.\n", - "Summary: Residents of San Fernando Valley are under attack by flying saucers from outer space. The\n", - "aliens are extraterrestrials who seek to stop humanity from creating a doomsday weapon that could\n", - "destroy the universe and unleash the living dead to stalk humans who wander into the cemetery looking\n", - "for evidence of the UFOs. The hero Jeff, an airline pilot, will face the aliens.\n", - "Previous beat: Flight captain Jeff reluctantly leaves his wife Paula to go for a two-day flight.\n", - "Beat: At the cockpit, flight captain Jeff is preoccupied by the flying saucer appearances and\n", - "graveyard incidents in his home town, where he left wis wife Paula. Without success, co-pilot Danny\n", - "and stewardess Edith try to reassure him.\n", - "\n", - "\n", - "\n", - "DANNY\n", - "You're mighty silent this trip, Jeff.\n", - "\n", - "JEFF\n", - "Huh?\n", - "\n", - "DANNY\n", - "You haven't spoken ten words since takeoff.\n", - "\n", - "JEFF\n", - "I guess I'm preoccupied, Danny.\n", - "\n", - "DANNY\n", - "We've got thirty - three passengers back there that have time to be preoccupied .\n", - "Flying this flybird doesn 't give you that opportunity.\n", - "\n", - "JEFF\n", - "I guess you're right, Danny.\n", - "\n", - "DANNY\n", - "Paula?\n", - "\n", - "JEFF\n", - "Yeah.\n", - "\n", - "DANNY\n", - "There's nothing wrong between you two?\n", - "\n", - "JEFF\n", - "Oh no, nothing like that. Just that I'm worried, she being there alone and\n", - "those strange things flying over the house and those incidents in the graveyard\n", - "the past few days. It's just got me worried.\n", - "\n", - "DANNY\n", - "Well , I haven't figured out those crazy skybirds yet but I give you fifty to one\n", - "odds the police have figured out that cemetery thing by now.\n", - "\n", - "(Enter EDITH)\n", - "\n", - "JEFF\n", - "I hope so.\n", - "\n", - "EDITH\n", - "If you're really that worried Jeff why don't you radio in and find out? Mac\n", - "should be on duty at the field by now. He could call Paula and relay the message\n", - "to you.\n", - "\n", - "DANNY\n", - "Hi Edith.\n", - "\n", - "EDITH\n", - "Hi Silents. I haven't heard a word from this end of the plane since we left the\n", - "field.\n", - "\n", - "DANNY\n", - "Jeff's been giving me and himself a study in silence.\n", - "\n", - "EDITH\n", - "You boys are feudin'?\n", - "\n", - "JEFF\n", - "Oh no Edie, nothing like that.\n", - "\n", - "DANNY\n", - "Hey Edie, how about you and me balling it up in Albuquerque?\n", - "\n", - "EDITH\n", - "Albuquerque? Have you read that flight schedule Boy?\n", - "\n", - "DANNY\n", - "What about it?\n", - "\n", - "EDITH\n", - "We land in Albuquerque at 4 am. That's strictly a nine o' clock town.\n", - "\n", - "DANNY\n", - "Well I know a friend that'll help us --\n", - "\n", - "EDITH\n", - "Let's have a problem first, huh Danny.\n", - "\n", - "DANNY\n", - "Ah he's worried about Paula.\n", - "\n", - "EDITH\n", - "I read about that cemetery business. I tried to get you kids to not buy too near\n", - "one of those things. We get there soon enough as it is.\n", - "\n", - "DANNY\n", - "He thought it'd be quiet and peaceful there.\n", - "\n", - "EDITH\n", - "No doubt about that. It's quiet alright, like a tomb. I'm sorry Jeff, that was a\n", - "bad joke.\n", - "\n", - "Example 2.\n", - "Place: {PLACE_NAME}\n", - "Description: {PLACE_DESCRIPTION}\n", - "Characters: {CHARACTER_DESCRIPTIONS}\n", - "Plot element: {PLOT_ELEMENT}\n", - "Summary: {LOG_LINE}\n", - "Previous beat: {PREVIOUS_BEAT}\n", - "Beat: {BEAT}\n", - "\"\"\"\n", - "\n", - "dialogs = []\n", - "for idx, beat_info in enumerate(story_beats):\n", - "\n", - " if idx == 0:\n", - " previous_beat = ''\n", - " else:\n", - " previous_beat = story_beats[idx-1]['beat']\n", - "\n", - " prompt = dialog_prompt.format(PLACE_NAME=beat_info['place'], \n", - " PLACE_DESCRIPTION=location_descriptions, \n", - " LOG_LINE=log_line, \n", - " CHARACTER_DESCRIPTIONS=character_descriptions_prompt_section,\n", - " PLOT_ELEMENT=beat_info['plot_element'],\n", - " PREVIOUS_BEAT=previous_beat,\n", - " BEAT = beat_info['beat'])\n", - " \n", - " dialog = generate(prompt, num_generations=1, temperature=1.1, max_tokens=500)['generation']\n", - " dialogs.append(dialog)\n" - ], - "metadata": { - "id": "FiIKGYR-u-D3" - }, - "execution_count": 64, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "print(dialogs[0].values[0])" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "kPW1HrCxPr0I", - "outputId": "5aa3e765-451d-4719-a54a-710d07e7a2f2" - }, - "execution_count": 66, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "DAVE\n", - "Alice, are you ready?\n", - "\n", - "ALICE\n", - "I've been ready for a half hour.\n", - "\n", - "DAVE\n", - "I have my ID.\n", - "\n", - "ALICE\n", - "I've got my wallet.\n", - "\n", - "DAVE\n", - "You got the flash drive?\n", - "\n", - "ALICE\n", - "Yeah.\n", - "\n", - "DAVE\n", - "You have the pin?\n", - "\n", - "ALICE\n", - "Yeah.\n", - "\n", - "DAVE\n", - "We're not the only people here.\n", - "\n", - "ALICE\n", - "I know.\n", - "\n", - "DAVE\n", - "We'll need to work fast.\n", - "\n", - "ALICE\n", - "We need to work fast.\n", - "\n", - "DAVE\n", - "Don't get greedy.\n", - "\n", - "ALICE\n", - "Don't get greedy.\n", - "\n", - "DAVE\n", - "Let's get in there.\n", - "\n", - "ALICE\n", - "Let's get in there.\n", - "\n", - "\n", - "\n", - "ALICE\n", - "Where are you going?\n", - "\n", - "DAVE\n", - "I'll be right back.\n", - "\n", - "ALICE\n", - "I'm gonna go check on her.\n", - "\n", - "DAVE\n", - "Check on her?\n", - "\n", - "ALICE\n", - "Yeah, you know, check on her.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "I am too.\n", - "\n", - "DAVE\n", - "You're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "No you're not.\n", - "\n", - "ALICE\n", - "Yes I am.\n", - "\n", - "DAVE\n", - "\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ] }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "Cdk2VNZ7opq-" - }, - "execution_count": null, - "outputs": [] - } - ] + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/guides/Grounded_summarisation_using_Command_R.ipynb b/notebooks/guides/Grounded_summarisation_using_Command_R.ipynb index 3a953c72..1c6aded6 100644 --- a/notebooks/guides/Grounded_summarisation_using_Command_R.ipynb +++ b/notebooks/guides/Grounded_summarisation_using_Command_R.ipynb @@ -1,513 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Note: we are in the process of updating the links in this notebook. If a link doesn't work, please open an issue and we'll rectify it ASAP. Thanks for your understanding!\n", - "\n", - "Links to add:\n", - "* Cell 1: long-form, grounded summarisation blog post\n", - "* Section 4: to text-rank method (context filtering)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TAjb3BOMsYjE" - }, - "source": [ - "# Long-form summarization with citations using grounded generation\n", - "\n", - "This notebook provides the code to produce the outputs described in [this blog post](https://docs.google.com/document/d/1Eeakpz_FZoeMzJnQieqQWCpPtQuNiTGW4fueU9J0QHA/edit).\n", - "\n", - "## Table of contents\n", - "\n", - "1. [Setup](#setup)\n", - "2. [Out-of-the-box summarization with Command-R](#out-of-the-box-summarization-with-command-r)\n", - "3. [Introduce citations to the summary for grounding](#introduce-citations-to-the-summary-for-grounding)\n", - "4. [Reduce the cost of summarization calls](#reduce-the-cost-of-summarization-calls)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "zF9yEHUFt9up" - }, - "source": [ - "\n", - "\n", - "## 1. Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "x8CjM6c8EnVK", - "outputId": "51acb907-2567-49f7-95df-85114dc975e3" - }, - "outputs": [], - "source": [ - "%%capture\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" networkx\n", - "\n", - "import cohere\n", - "import networkx as nx\n", - "import nltk\n", - "nltk.download(\"punkt\")\n", - "from nltk.tokenize import sent_tokenize\n", - "import numpy as np\n", - "import spacy\n", - "\n", - "from collections import deque\n", - "from getpass import getpass\n", - "import re\n", - "from typing import List, Tuple\n", - "\n", - "# Set up Cohere client\n", - "co_api_key = getpass(\"Enter your Cohere API key: \")\n", - "co_model = \"command-r\"\n", - "co = cohere.Client(co_api_key)\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "z2DBeeGTEnya", - "outputId": "93a5f50a-f1db-445b-c0e3-2a619975da61" - }, - "outputs": [], - "source": [ - "# Read IMF report\n", - "\n", - "from google.colab import drive\n", - "drive.mount(\"/content/drive\", force_remount=True)\n", - "\n", - "fpath = \"drive/Shareddrives/FDE/Cookbooks/Long-form summarisation/ai_and_future_of_work.txt\"\n", - "with open(fpath, \"r\") as f:\n", - " text = f.read()\n", - "\n", - "num_tokens = co.tokenize(text).length\n", - "print(f\"Loaded IMF report with {num_tokens} tokens\")\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Xe2TzS6q28D6" - }, - "source": [ - "### Aside: define utils" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "eFkiLPTIzZw4" - }, - "outputs": [], - "source": [ - "# Utils!\n", - "\n", - "# --- for chunking ---\n", - "def split_text_into_sentences(text: str) -> List[str]:\n", - " sentences = sent_tokenize(text)\n", - " return sentences\n", - "\n", - "def group_sentences_into_passages(sentence_list: List[str], n_sentences_per_passage: int = 10):\n", - " \"\"\"\n", - " Group sentences into passages of n_sentences sentences.\n", - " \"\"\"\n", - " passages = []\n", - " passage = \"\"\n", - " for i, sentence in enumerate(sentence_list):\n", - " passage += sentence + \" \"\n", - " if (i + 1) % n_sentences_per_passage == 0:\n", - " passages.append(passage)\n", - " passage = \"\"\n", - " return passages\n", - "\n", - "def build_simple_chunks(text, n_sentences: int = 10):\n", - " \"\"\"\n", - " Build chunks of text from the input text.\n", - " \"\"\"\n", - " sentences = split_text_into_sentences(text)\n", - " chunks = group_sentences_into_passages(sentences, n_sentences_per_passage=n_sentences)\n", - " return chunks\n", - "\n", - "\n", - "# --- for visualising citations ---\n", - "\n", - "def insert_citations(text: str, citations: List[dict]):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - " offset = 0\n", - " # Process citations in the order they were provided\n", - " for citation in citations:\n", - " # Adjust start/end with offset\n", - " start, end = citation['start'] + offset, citation['end'] + offset\n", - " placeholder = \"[\" + \", \".join(doc[4:] for doc in citation[\"document_ids\"]) + \"]\"\n", - " # ^ doc[4:] removes the 'doc_' prefix, and leaves the quoted document\n", - " modification = f'{text[start:end]} {placeholder}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " return text\n", - "\n", - "\n", - "# --- for reducing number of tokens sent to model intelligently ---\n", - "\n", - "def textrank(text: str, co, max_tokens: int, n_sentences_per_passage: int) -> str:\n", - " \"\"\"\n", - " Shortens `text` by extracting key units of text from `text` based on their centrality and concatenating them.\n", - " The output is the concatenation of those key units, in their original order. Centrality is graph-theoretic\n", - " measure of connectedness of a node; the more connected a node is to surrounding nodes (and the more sparsely\n", - " those neighbours are connected), the higher centrality.\n", - "\n", - " Key passages are identified via clustering in a three-step process:\n", - " 1. Break up `long` into chunks (either sentences or passages, based on `unit`)\n", - " 2. Embed each chunk using Cohere's embedding model and construct a similarity matrix\n", - " 3. Compute the centrality of each chunk\n", - " 4. Keep the highest-centrality chunks until `max_tokens` is reached\n", - " 5. Put together shorterned text by reordering chunks in their original order\n", - "\n", - " This approach is based on summarise.long_doc_summarization.extraction::extract_single_doc with sorting by\n", - " centrality. Adapted here because installing the `summarise` repo would have added a lot of unused functionalities\n", - " and dependencies.\n", - " \"\"\"\n", - "\n", - " # 1. Chunk text into units\n", - " chunks = build_simple_chunks(text, n_sentences_per_passage)\n", - "\n", - " # 2. Embed and construct similarity matrix\n", - " embeddings = np.array(\n", - " co.embed(\n", - " texts=chunks,\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"clustering\",\n", - " ).embeddings\n", - " )\n", - " similarities = np.dot(embeddings, embeddings.T)\n", - "\n", - " # 3. Compute centrality and sort sentences by centrality\n", - " # Easiest to use networkx's `degree` function with similarity as weight\n", - " g = nx.from_numpy_array(similarities, edge_attr=\"weight\")\n", - " centralities = g.degree(weight=\"weight\")\n", - " idcs_sorted_by_centrality = [node for node, degree in sorted(centralities, key=lambda item: item[1], reverse=True)]\n", - "\n", - " # 4. Add chunks back in order of centrality\n", - " selected = _add_chunks_by_priority(co, chunks, idcs_sorted_by_centrality, max_tokens)\n", - "\n", - " # 5. Put condensed text back in original order\n", - " separator = \"\\n\"\n", - " short = separator.join([chunk for index, chunk in sorted(selected, key=lambda item: item[0], reverse=False)])\n", - "\n", - " return short\n", - "\n", - "\n", - "def _add_chunks_by_priority(\n", - " co, chunks: List[str], idcs_sorted_by_priority: List[int], max_tokens: int\n", - ") -> List[Tuple[int, str]]:\n", - " \"\"\"\n", - " Given chunks of text and their indices sorted by priority (highest priority first), this function\n", - " fills the model context window with as many highest-priority chunks as possible.\n", - "\n", - " The output is a list of (index, chunk) pairs, ordered by priority. To stitch back the chunks into\n", - " a cohesive text that preserves chronological order, sort the output on its index.\n", - " \"\"\"\n", - "\n", - " selected = []\n", - " num_tokens = 0\n", - " idcs_queue = deque(idcs_sorted_by_priority)\n", - "\n", - " while num_tokens < max_tokens and len(idcs_queue) > 0:\n", - " next_idx = idcs_queue.popleft()\n", - " num_tokens += co.tokenize(chunks[next_idx]).length - 2\n", - " # num_tokens += len(tokenizer.encode(chunks[next_idx]).ids) - 2\n", - " # ^ removing BOS and EOS tokens from count\n", - " selected.append((next_idx, chunks[next_idx]))\n", - " # ^ keep index and chunk, to reorder chronologically\n", - " if num_tokens > max_tokens:\n", - " selected.pop()\n", - "\n", - " return selected\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "PMt7yd7z3Gth" - }, - "source": [ - "\n", - "\n", - "## 2. Out-of-the-box summarization with Command-R\n", - "\n", - "First, let's see Command-R's out-of-the-box performance. It's a 128k-context model, so we can pass the full IMF report in a single call. We replicate the exact instructions from the original tweet (correcting for a minor typo) for enabling fair comparisons." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "nXsYVkFaEn5l", - "outputId": "ae9f5b33-656a-4d55-d382-e834a88d79a5" - }, - "outputs": [], - "source": [ - "prompt_template = \"\"\"\\\n", - "## text\n", - "{text}\n", - "\n", - "## instructions\n", - "Step 1. Read the entire text from the first to the last page.\n", - "Step 2. Create a summary of every chapter from the first to the last page.\n", - "\n", - "## summary\n", - "\"\"\"\n", - "\n", - "prompt = prompt_template.format(text=text)\n", - "resp = co.chat(\n", - " message=prompt,\n", - " model=co_model,\n", - " temperature=0.3,\n", - " return_prompt=True\n", - ")\n", - "\n", - "num_tokens_in = co.tokenize(resp.prompt).length\n", - "num_tokens_out = resp.meta[\"billed_units\"][\"output_tokens\"]\n", - "print(f\"Generated summary with {num_tokens_in} tokens in, {num_tokens_out} tokens out\")\n", - "print()\n", - "print(\"--- Out-of-the-box summary with Command-R ---\")\n", - "print()\n", - "print(resp.text)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NLZsodOG41bb" - }, - "source": [ - "\n", - "\n", - "## 3. Introduce citations to the summary for grounding\n", - "\n", - "When summarizing long documents, introducing citations is one simple method for checking the factuality of the summary without needing to read the full document.\n", - "\n", - "\n", - "We've trained Command-R to introduce citations whenever prompted by our grounded generations instructions. Triggering this grounded mode is straightforward. Starting from the previous snippet, we only need to make two changes:\n", - "1. Pass our text to the `documents` argument\n", - "2. Pass our instructions to the `message` argument\n", - "\n", - "For more information on how to enable grounded generation via our `co.chat` API, please refer to our [documentation](https://docs.cohere.com/reference/chat).\n", - "\n", - "Finally, note that we chunk the IMF report into multiple documents before passing them to `co.chat`. This isn't necessary (`co.chat` annotates citations at the character level), but allows for more human-readable citations." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "0-nrG21VEn9a", - "outputId": "0edfc184-32cc-4f84-a5a5-aafce84a6339" - }, - "outputs": [], - "source": [ - "summarize_preamble = \"\"\"\\\n", - "You will receive a series of text fragments from an article that are presented in chronological order. \\\n", - "As the assistant, you must generate responses to user's requests based on the information given in the fragments. \\\n", - "Ensure that your responses are accurate and truthful, and that you reference your sources where appropriate to answer \\\n", - "the queries, regardless of their complexity.\\\n", - "\"\"\"\n", - "\n", - "instructions = \"\"\"\\\n", - "## instructions\n", - "Step 1. Read the entire text from the first to the last page.\n", - "Step 2. Create a summary of every chapter from the first to the last page.\n", - "\"\"\"\n", - "\n", - "# Chunk long text into multiple chunks for readable citations\n", - "chunked = build_simple_chunks(text, n_sentences=30)\n", - "# Use `message` and `documents` arguments to trigger grounded generation\n", - "resp = co.chat(\n", - " preamble=summarize_preamble,\n", - " message=instructions,\n", - " documents=[{\"text\": chunk} for chunk in chunked],\n", - " model=co_model,\n", - " temperature=0.3,\n", - " return_prompt=True\n", - ")\n", - "# Note: the grounded generation pipeline takes longer when documents are chunked\n", - "# more finely. For latency-sensitive applications, try tuning the size of chunks!\n", - "\n", - "num_tokens_in = co.tokenize(resp.prompt).length\n", - "num_tokens_out = resp.meta[\"billed_units\"][\"output_tokens\"]\n", - "print(f\"Generated summary with {num_tokens_in} tokens in, {num_tokens_out} tokens out\")\n", - "print()\n", - "print(\"--- Summary with citations using grounded generation in Command-R ---\")\n", - "print()\n", - "print(resp.text)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7oWRUqAgUKfX" - }, - "source": [ - "Let's display the citations inside our answer:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "vq-F26hCUJjl", - "outputId": "f41f5d9e-c3d1-425b-e940-feea85d3797d" - }, - "outputs": [], - "source": [ - "print(insert_citations(resp.text, resp.citations))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7zC9Zt8ZXBZM" - }, - "source": [ - "We can now visualise which section of the answer is based on which passage in the main text. Verifying factuality is straightforward: pick a section and verify that the relevant information is contained in the cited chunk.\n", - "\n", - "For instance, let's verify the statement\n", - "```\n", - "Around 40% of employment worldwide is exposed to AI [1, 6]\n", - "```\n", - "by checking its chunk:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ADq2XJhlUnEI", - "outputId": "7aea0f41-cd8a-4132-f7a7-48482549c962" - }, - "outputs": [], - "source": [ - "print(chunked[6])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kQgmDucZYNUi" - }, - "source": [ - "Seems convincing!\n", - "By repeating such checks, it's straightforward to build trust in your summaries." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "OnWyAyRI7U01" - }, - "source": [ - "\n", - "\n", - "## 4. Reduce the cost of summarization calls\n", - "\n", - "Even though Command-R is an efficient, light-weight model, for some applications we may accept trading off some summarization quality for lower costs. To do this, we must reduce the amount of tokens sent to the model -- but how do we select the most relevant bits?\n", - "\n", - "We have a whole notebook dedicated to methods for reducing context length. Here, we call our 'text-rank' method to select maximally central chunks in a graph based on the chunk-to-chunk similarties. For more detail, please refer [to this cookbook](https://colab.research.google.com/drive/1zxSAbruOWwWJHNsj3N56uxZtUeiS7Evd)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "4BZZ_cc1EoEA", - "outputId": "1a077f8e-0363-48fe-c99a-6b4783b2982d" - }, - "outputs": [], - "source": [ - "# First, we filter the original text down to a smaller amount of tokens.\n", - "# This will reduce cost and improve latency\n", - "num_tokens = 8192\n", - "shortened = textrank(text, co, num_tokens, n_sentences_per_passage=30)\n", - "\n", - "# Then, we apply grounded generation to keep citations on our (now shorter) report\n", - "chunked = build_simple_chunks(shortened)\n", - "resp = co.chat(\n", - " message=instructions,\n", - " documents=[{\"text\": chunk} for chunk in chunked],\n", - " model=co_model,\n", - " temperature=0.3,\n", - " return_prompt=True\n", - ")\n", - "\n", - "num_tokens_in = co.tokenize(resp.prompt).length\n", - "num_tokens_out = resp.meta[\"billed_units\"][\"output_tokens\"]\n", - "print(f\"Generated summary with {num_tokens_in} tokens in, {num_tokens_out} tokens out\")\n", - "print()\n", - "print(\"--- Summary with citations using text-rank + grounding in Command-R ---\")\n", - "print()\n", - "print(resp.text)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "OBcnnR6MUG5z" - }, - "source": [ - "The summary is looking convincing! In practice, the trade-off between cost-efficiency and performance should be considered carefully." - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" - }, - "language_info": { - "name": "python", - "version": "3.10.13" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Grounded_summarisation_using_Command_R.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Hello_World_Meet_Language_AI.ipynb b/notebooks/guides/Hello_World_Meet_Language_AI.ipynb index 1ac2c8fa..df7f794f 100644 --- a/notebooks/guides/Hello_World_Meet_Language_AI.ipynb +++ b/notebooks/guides/Hello_World_Meet_Language_AI.ipynb @@ -1,1078 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "_pmaIlTcugAd" - }, - "source": [ - "# Hello World! Meet Language AI" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "xYo_6bTr21nz" - }, - "source": [ - "Here we take a quick tour of what’s possible with language AI via Cohere’s Large Language Model (LLM) API. This is the Hello, World! of language AI, written for developers with little or no background in AI. In fact, we’ll do that by exploring the Hello, World! phrase itself.\n", - "\n", - "Read the accompanying [blog post here](https://txt.cohere.ai/hello-world-p1/)." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "pb91dU9bktWn" - }, - "source": [ - "![Hello World! Meet Language AI](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/hello-world/hello-world-feat.png)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "yklDxwdSktWn" - }, - "source": [ - "We’ll cover three groups of tasks that you will typically work on when dealing with language data, including:\n", - "- Generating text\n", - "- Classifying text\n", - "- Analyzing text\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "1ys2CHEgurfe" - }, - "source": [ - "The first step is to install the Cohere Python SDK. Next, create an API key, which you can generate from the Cohere [dashboard](https://os.cohere.ai/register) or [CLI tool](https://docs.cohere.ai/cli-key)." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "QdEURifRRUgy" - }, - "outputs": [], - "source": [ - "# Install the libraries\n", - "! pip install cohere altair umap-learn -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "YYi9JxxFRWX7" - }, - "outputs": [], - "source": [ - "# Import the libraries\n", - "import cohere\n", - "import pandas as pd\n", - "import numpy as np\n", - "import altair as alt\n", - "\n", - "# Setup the Cohere client\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "yWS_N7icRfr4" - }, - "source": [ - "# 1 - Generating Text" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "PpdlmgAv_xQo" - }, - "source": [ - "The Cohere Generate endpoint generates text given an input, called “prompt”. The prompt provides a context of what we want the model to generate text. To illustrate this, let’s start with a simple prompt as the input. " - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "-GqirrfvRlKC" - }, - "source": [ - "### Try a Simple Prompt" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "CYY-HhehRdb6", - "outputId": "815ed6bf-c15c-4858-b6f6-f4d24aed5612" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "A \"Hello World\" program is a traditional and simple program that is often used as an introduction to a new programming language. The program typically displays the message \"Hello World\" as its output. The concept of a \"Hello World\" program originated from the book *The C Programming Language* written by Kernighan and Ritchie, where the example program in the book displayed the message using the C programming language. \n", - "\n", - "The \"Hello World\" program serves as a basic and straightforward way to verify that your development environment is set up correctly and to familiarize yourself with the syntax and fundamentals of the programming language. It's a starting point for learning how to write and run programs in a new language.\n", - "\n", - "The program's simplicity makes it accessible to programmers of all skill levels, and it's often one of the first programs beginners write when learning to code. The exact implementation of a \"Hello World\" program varies depending on the programming language being used, but the core idea remains the same—to display the \"Hello World\" message. \n", - "\n", - "Here's how a \"Hello World\" program can be written in a few select languages:\n", - "1. **C**:\n", - "```c\n", - "#include \n", - "int main() {\n", - " printf(\"Hello World\\n\");\n", - " return 0;\n", - "}\n", - "```\n", - "\n", - "2. **Python**:\n", - "```python\n", - "print(\"Hello World\")\n", - "```\n", - "\n", - "3. **Java**:\n", - "```java\n", - "class HelloWorld {\n", - " public static void main(String[] args) {\n", - " System.out.println(\"Hello World\");\n", - " }\n", - "}\n", - "```\n", - "\n", - "4. **JavaScript**:\n", - "```javascript\n", - "console.log(\"Hello World\");\n", - "```\n", - "\n", - "5. **C#**:\n", - "```csharp\n", - "using System;\n", - "\n", - "class Program {\n", - " static void Main() {\n", - " Console.WriteLine(\"Hello World\");\n", - " }\n", - "}\n", - "```\n", - "\n", - "The \"Hello World\" program is a testament to the power of programming, as a simple and concise message can be displayed in numerous languages with just a few lines of code. It's an exciting first step into the world of software development!\n" - ] - } - ], - "source": [ - "# Create a simple one-line prompt\n", - "prompt = \"What is a Hello World program.\"\n", - "\n", - "# Generate text by calling the Chat endpoint\n", - "response = co.chat(\n", - " message=prompt,\n", - " model='command-r')\n", - "\n", - "print(response.text)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "VHldYr5cmlQ3" - }, - "source": [ - "### Create a Better Prompt" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "d_yrQcTx_6WH" - }, - "source": [ - "The output is not bad, but it can be better. We need to find a way to make the output tighter to how we want it to be, which is where we leverage *prompt engineering*." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "kgqYVB5cgG1Q", - "outputId": "effdbb4d-b238-4427-d8d7-4ac6f3c6c826" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Starting to code can be daunting, but it's actually simpler than you think! The famous first program, \"Hello, World!\" is a rite of passage for all coders, and an excellent starting point to begin your coding journey. This blog will guide you through the process of writing your very first line of code, and help you understand why learning to code is an exciting and valuable skill to have, covering the fundamentals and the broader implications of this seemingly simple phrase.\n" - ] - } - ], - "source": [ - "# Create a prompt containing a short description, examples, and stop sequences\n", - "prompt = \"\"\"\n", - "Write the first paragraph of a blog post given a blog title.\n", - "--\n", - "Blog Title: Best Activities in Toronto\n", - "First Paragraph: Looking for fun things to do in Toronto? When it comes to exploring Canada's\n", - "largest city, there's an ever-evolving set of activities to choose from. Whether you're looking to\n", - "visit a local museum or sample the city's varied cuisine, there is plenty to fill any itinerary. In\n", - "this blog post, I'll share some of my favorite recommendations\n", - "--\n", - "Blog Title: Mastering Dynamic Programming\n", - "First Paragraph: In this piece, we'll help you understand the fundamentals of dynamic programming,\n", - "and when to apply this optimization technique. We'll break down bottom-up and top-down approaches to\n", - "solve dynamic programming problems.\n", - "--\n", - "Blog Title: Learning to Code with Hello, World!\n", - "First Paragraph:\"\"\"\n", - "\n", - "# Generate text by calling the Chat endpoint\n", - "response = co.chat(\n", - " message=prompt,\n", - " model='command-r')\n", - "\n", - "print(response.text)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "XI42Q7ZRaNcW" - }, - "source": [ - "### Automating the Process" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "gbVBrn3UAIqd" - }, - "source": [ - "In real applications, you will likely need to produce these text generations on an ongoing basis, given different inputs. Let’s simulate that with our example." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "id": "CmHmnDVAV2f1" - }, - "outputs": [], - "source": [ - "# A function that generates text given a base prompt and a new topic\n", - "def generate_text(topic):\n", - " prompt = f\"\"\"\n", - "Write the first paragraph of a blog post given a blog title.\n", - "--\n", - "Blog Title: Best Activities in Toronto\n", - "First Paragraph: Looking for fun things to do in Toronto? When it comes to exploring Canada's\n", - "largest city, there's an ever-evolving set of activities to choose from. Whether you're looking to\n", - "visit a local museum or sample the city's varied cuisine, there is plenty to fill any itinerary. In\n", - "this blog post, I'll share some of my favorite recommendations\n", - "--\n", - "Blog Title: Mastering Dynamic Programming\n", - "First Paragraph: In this piece, we'll help you understand the fundamentals of dynamic programming,\n", - "and when to apply this optimization technique. We'll break down bottom-up and top-down approaches to\n", - "solve dynamic programming problems.\n", - "--\n", - "Blog Title: {topic}\n", - "First Paragraph:\"\"\"\n", - " # Generate text by calling the Chat endpoint\n", - " response = co.chat(\n", - " message=prompt,\n", - " model='command-r')\n", - "\n", - " return response.text" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "9ZdOIPrSZWLb" - }, - "outputs": [], - "source": [ - "# The list of topics\n", - "topics = [\"How to Grow in Your Career\",\n", - " \"The Habits of Great Software Developers\",\n", - " \"Ideas for a Relaxing Weekend\"]" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "blMUat_iaYpi", - "outputId": "64726bd2-3cf2-4d47-b061-811adf8eeec1" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Topic: How to Grow in Your Career\n", - "First Paragraph: Advancing in your career can seem like a daunting task, especially if you're unsure of the path ahead. In this ever-changing professional landscape, there are numerous factors to consider. This blog aims to shed light on the strategies and skills that can help you navigate the complexities of career progression and unlock your full potential. Whether you're looking to secure a promotion or explore new opportunities, these insights will help you chart a course for your future. Let's embark on this journey of self-improvement and professional growth, equipping you with the tools to succeed in your career aspirations.\n", - "----------\n", - "Topic: The Habits of Great Software Developers\n", - "First Paragraph: Great software developers are renowned for their ability to write robust code and create innovative applications, but what sets them apart from their peers? In this blog, we'll delve into the daily habits that contribute to their success. From their approach to coding challenges to the ways they stay organized, we'll explore the routines and practices that help them excel in the fast-paced world of software development. Understanding these habits can help you elevate your own skills and join the ranks of these industry leaders.\n", - "----------\n", - "Topic: Ideas for a Relaxing Weekend\n", - "First Paragraph: Life can be stressful, and sometimes we just need a relaxing weekend to unwind and recharge. In this fast-paced world, taking some time to slow down and rejuvenate is essential. This blog post is here to help you plan the perfect low-key weekend with some easy and accessible ideas. From cozy indoor activities to peaceful outdoor adventures, I'll share some ideas to help you renew your mind, body, and spirit. Whether you're a homebody or an adventure seeker, there's something special for everyone. So, grab a cup of tea, sit back, and get ready to dive into a calming weekend of self-care and relaxation!\n", - "----------\n" - ] - } - ], - "source": [ - "# Keep the generations in a list of paragraphs\n", - "paragraphs = []\n", - "\n", - "for topic in topics:\n", - " paragraphs.append(generate_text(topic))\n", - " \n", - "# Display the generated paragraphs\n", - "for topic,para in zip(topics,paragraphs):\n", - " print(f\"Topic: {topic}\")\n", - " print(f\"First Paragraph: {para}\")\n", - " print(\"-\"*10)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "7ha9EyO_RunK" - }, - "source": [ - "# 2 - Classifying Text" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "yX8fQJ5LAcfz" - }, - "source": [ - "Cohere’s Classify endpoint makes it easy to take a list of texts and predict their categories, or classes. A typical machine learning model requires many training examples to perform text classification, but with the Classify endpoint, you can get started with as few as 5 examples per class." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "DtHJ02d7Rz8q" - }, - "source": [ - "### Sentiment Analysis" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "-lSi_UmQEfy_" - }, - "outputs": [], - "source": [ - "# Create the training examples for the classifier\n", - "from cohere import ClassifyExample\n", - "\n", - "examples = [\n", - " ClassifyExample(text=\"I’m so proud of you\", label=\"positive\"), \n", - " ClassifyExample(text=\"What a great time to be alive\", label=\"positive\"), \n", - " ClassifyExample(text=\"That’s awesome work\", label=\"positive\"), \n", - " ClassifyExample(text=\"The service was amazing\", label=\"positive\"), \n", - " ClassifyExample(text=\"I love my family\", label=\"positive\"), \n", - " ClassifyExample(text=\"They don't care about me\", label=\"negative\"), \n", - " ClassifyExample(text=\"I hate this place\", label=\"negative\"), \n", - " ClassifyExample(text=\"The most ridiculous thing I've ever heard\", label=\"negative\"), \n", - " ClassifyExample(text=\"I am really frustrated\", label=\"negative\"), \n", - " ClassifyExample(text=\"This is so unfair\", label=\"negative\"),\n", - " ClassifyExample(text=\"This made me think\", label=\"neutral\"), \n", - " ClassifyExample(text=\"The good old days\", label=\"neutral\"), \n", - " ClassifyExample(text=\"What's the difference\", label=\"neutral\"), \n", - " ClassifyExample(text=\"You can't ignore this\", label=\"neutral\"), \n", - " ClassifyExample(text=\"That's how I see it\", label=\"neutral\")\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "QpC1Z4xEEWs0" - }, - "outputs": [], - "source": [ - "# Enter the inputs to be classified\n", - "inputs=[\"Hello, world! What a beautiful day\",\n", - " \"It was a great time with great people\",\n", - " \"Great place to work\",\n", - " \"That was a wonderful evening\",\n", - " \"Maybe this is why\",\n", - " \"Let's start again\",\n", - " \"That's how I see it\",\n", - " \"These are all facts\",\n", - " \"This is the worst thing\",\n", - " \"I cannot stand this any longer\",\n", - " \"This is really annoying\",\n", - " \"I am just plain fed up\"\n", - " ]" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "etTr200IRszm" - }, - "outputs": [], - "source": [ - "# A function that classifies a list of inputs given the examples\n", - "def classify_text(inputs, examples):\n", - " \"\"\"\n", - " Classify a list of input texts\n", - " Arguments:\n", - " inputs(list[str]): a list of input texts to be classified\n", - " examples(list[Example]): a list of example texts and class labels\n", - " Returns:\n", - " classifications(list): each result contains the text, labels, and conf values\n", - " \"\"\"\n", - " # Classify text by calling the Classify endpoint\n", - " response = co.classify(\n", - " model='embed-english-v2.0',\n", - " inputs=inputs,\n", - " examples=examples)\n", - " \n", - " classifications = response.classifications\n", - " \n", - " return classifications" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "kOdL3U0jRswU", - "outputId": "ade09f10-5800-4327-854f-6e30e51a0f33" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input: Hello, world! What a beautiful day\n", - "Prediction: positive\n", - "Confidence: 0.84\n", - "----------\n", - "Input: It was a great time with great people\n", - "Prediction: positive\n", - "Confidence: 0.99\n", - "----------\n", - "Input: Great place to work\n", - "Prediction: positive\n", - "Confidence: 0.91\n", - "----------\n", - "Input: That was a wonderful evening\n", - "Prediction: positive\n", - "Confidence: 0.96\n", - "----------\n", - "Input: Maybe this is why\n", - "Prediction: neutral\n", - "Confidence: 0.70\n", - "----------\n", - "Input: Let's start again\n", - "Prediction: neutral\n", - "Confidence: 0.83\n", - "----------\n", - "Input: That's how I see it\n", - "Prediction: neutral\n", - "Confidence: 1.00\n", - "----------\n", - "Input: These are all facts\n", - "Prediction: neutral\n", - "Confidence: 0.78\n", - "----------\n", - "Input: This is the worst thing\n", - "Prediction: negative\n", - "Confidence: 0.93\n", - "----------\n", - "Input: I cannot stand this any longer\n", - "Prediction: negative\n", - "Confidence: 0.93\n", - "----------\n", - "Input: This is really annoying\n", - "Prediction: negative\n", - "Confidence: 0.99\n", - "----------\n", - "Input: I am just plain fed up\n", - "Prediction: negative\n", - "Confidence: 1.00\n", - "----------\n" - ] - } - ], - "source": [ - "# Classify the inputs\n", - "predictions = classify_text(inputs,examples)\n", - "\n", - "# Display the classification outcomes\n", - "classes = [\"positive\",\"negative\",\"neutral\"]\n", - "for inp,pred in zip(inputs,predictions):\n", - " class_pred = pred.predictions[0]\n", - " class_idx = classes.index(class_pred)\n", - " class_conf = pred.confidences[0]\n", - "\n", - " print(f\"Input: {inp}\")\n", - " print(f\"Prediction: {class_pred}\")\n", - " print(f\"Confidence: {class_conf:.2f}\")\n", - " print(\"-\"*10)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "4un3lbJmRxNz" - }, - "source": [ - "# 3 - Analyzing Text" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "abSwokLuAofs" - }, - "source": [ - "Cohere’s Embed endpoint takes a piece of text and turns it into a vector embedding. Embeddings represent text in the form of numbers that capture its meaning and context. What it means is that it gives you the ability to turn unstructured text data into a structured form. It opens up ways to analyze and extract insights from them.\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "Lr-kAdCSoqmK" - }, - "source": [ - "## Get embeddings" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "_2xklTNZA5iC" - }, - "source": [ - "Here we have a list of 50 top web search keywords about Hello, World! taken from a keyword tool. Let’s look at a few examples:" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "XEqrl7yoouG7", - "outputId": "5fa85f1a-0de7-475d-d378-86bc70471968" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    search_term
    0how to print hello world in python
    1what is hello world
    2how do you write hello world in an alert box
    3how to print hello world in java
    4how to write hello world in eclipse
    \n", - "
    " - ], - "text/plain": [ - " search_term\n", - "0 how to print hello world in python\n", - "1 what is hello world\n", - "2 how do you write hello world in an alert box\n", - "3 how to print hello world in java\n", - "4 how to write hello world in eclipse" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Hello_World_Meet_Language_AI.ipynb." ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Get a list of texts and add to a dataframe\n", - "df = pd.read_csv(\"https://github.com/cohere-ai/notebooks/raw/main/notebooks/data/hello-world-kw.csv\", names=[\"search_term\"])\n", - "df.head()" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "x_CmphwPBI4S" - }, - "source": [ - "We use the Embed endpoint to get the embeddings for each of these keywords." - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "metadata": { - "id": "a0wH95UrpBqf" - }, - "outputs": [], - "source": [ - "# A function that classifies a list of inputs given the examples\n", - "def embed_text(texts, input_type):\n", - " \"\"\"\n", - " Turns a piece of text into embeddings\n", - " Arguments:\n", - " text(str): the text to be turned into embeddings\n", - " Returns:\n", - " embedding(list): the embeddings\n", - " \"\"\"\n", - " # Embed text by calling the Embed endpoint\n", - " response = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=input_type,\n", - " texts=texts)\n", - " \n", - " return response.embeddings" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": { - "id": "nDfgCFclMYI3" - }, - "outputs": [], - "source": [ - "# Get embeddings of all search terms\n", - "df[\"search_term_embeds\"] = embed_text(texts=df[\"search_term\"].tolist(),\n", - " input_type=\"search_document\")\n", - "doc_embeds = np.array(df[\"search_term_embeds\"].tolist())" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "EwboOr4qR284" - }, - "source": [ - "### Semantic Search" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "TcH4RUtFBVcQ" - }, - "source": [ - "We’ll look at a couple of example applications. The first example is semantic search. Given a new query, our \"search engine\" must return the most similar FAQs, where the FAQs are the 50 search terms we uploaded earlier.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "metadata": { - "id": "aCvHJMGupz_Y" - }, - "outputs": [], - "source": [ - "# Add a new query\n", - "query = \"what is the history of hello world\"\n", - "\n", - "# Get embeddings of the new query\n", - "query_embeds = embed_text(texts=[query],\n", - " input_type=\"search_query\")[0]" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "inIpSZMUB7rz" - }, - "source": [ - "We use cosine similarity to compare the similarity of the new query with each of the FAQs" - ] - }, - { - "cell_type": "code", - "execution_count": 46, - "metadata": { - "id": "Pz_zMHh5pyVN" - }, - "outputs": [], - "source": [ - "# Calculate cosine similarity\n", - "\n", - "from sklearn.metrics.pairwise import cosine_similarity\n", - "\n", - "def get_similarity(target, candidates):\n", - " \"\"\"\n", - " Computes the similarity between a target text and a list of other texts\n", - " Arguments:\n", - " target(list[float]): the target text\n", - " candidates(list[list[float]]): a list of other texts, or candidates\n", - " Returns:\n", - " sim(list[tuple]): candidate IDs and the similarity scores\n", - " \"\"\"\n", - " # Turn list into array\n", - " candidates = np.array(candidates)\n", - " target = np.expand_dims(np.array(target),axis=0)\n", - "\n", - " # Calculate cosine similarity\n", - " sim = cosine_similarity(target,candidates)\n", - " sim = np.squeeze(sim).tolist()\n", - "\n", - " # Sort by descending order in similarity\n", - " sim = list(enumerate(sim))\n", - " sim = sorted(sim, key=lambda x:x[1], reverse=True)\n", - "\n", - " # Return similarity scores\n", - " return sim" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "0zM-Z_3zC-xJ" - }, - "source": [ - "Finally, we display the top 5 FAQs that match the new query" - ] - }, - { - "cell_type": "code", - "execution_count": 47, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "vtnMXIqzo72i", - "outputId": "2e48f166-a231-417b-8785-450c73e69499" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "New query:\n", - "what is the history of hello world \n", - "\n", - "Similar queries:\n", - "Similarity: 0.58; how did hello world originate\n", - "Similarity: 0.56; where did hello world come from\n", - "Similarity: 0.54; why hello world\n", - "Similarity: 0.53; why is hello world so famous\n", - "Similarity: 0.53; what is hello world\n" - ] } - ], - "source": [ - "# Get the similarity between the new query and existing queries\n", - "similarity = get_similarity(query_embeds,doc_embeds)\n", - "\n", - "# Display the top 5 FAQs\n", - "print(\"New query:\")\n", - "print(new_query,'\\n')\n", - "\n", - "print(\"Similar queries:\")\n", - "for idx,score in similarity[:5]:\n", - " print(f\"Similarity: {score:.2f};\", df.iloc[idx][\"search_term\"])" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "2c3lK3bCR42j" - }, - "source": [ - "### Semantic Exploration" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "23MbL2j3DIbY" - }, - "source": [ - "In the second example, we take the same idea as semantic search and take a broader look, which is exploring huge volumes of text and analyzing their semantic relationships.\n", - "\n", - "We'll use the same 50 top web search terms about Hello, World! There are different techniques we can use to compress the embeddings down to just 2 dimensions while retaining as much information as possible. We'll use a technique called UMAP. And once we can get it down to 2 dimensions, we can plot these embeddings on a 2D chart." - ] - }, - { - "cell_type": "code", - "execution_count": 49, - "metadata": { - "id": "SS7QVt_GRskI" - }, - "outputs": [], - "source": [ - "# Reduce the embeddings' dimensions to 2 using UMAP\n", - "import umap\n", - "reducer = umap.UMAP(n_neighbors=49) \n", - "umap_embeds = reducer.fit_transform(doc_embeds)\n", - "\n", - "# Add the 2 dimensions to the dataframe\n", - "df['x'] = umap_embeds[:,0]\n", - "df['y'] = umap_embeds[:,1]" - ] - }, - { - "cell_type": "code", - "execution_count": 59, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 763 - }, - "id": "X132m02guyA1", - "outputId": "6122625c-72ce-4d05-ce4a-878e7a8563cb" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" - ] - }, - "execution_count": 59, - "metadata": {}, - "output_type": "execute_result" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Plot the 2-dimension embeddings on a chart\n", - "chart = alt.Chart(df).mark_circle(size=500).encode(\n", - " x=\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - "\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " \n", - " tooltip=['search_term']\n", - " )\n", - "\n", - "text = chart.mark_text(align='left', dx=15, size=12, color='black'\n", - " ).encode(text='search_term', color= alt.value('black'))\n", - "\n", - "result = (chart + text).configure(background=\"#FDF7F0\"\n", - " ).properties(\n", - " width=1000,\n", - " height=700,\n", - " title=\"2D Embeddings\"\n", - " )\n", - "\n", - "result" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3.10.0 64-bit ('3.10.0')", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Long_form_General_Strategies.ipynb b/notebooks/guides/Long_form_General_Strategies.ipynb index 0112fdff..2766a553 100644 --- a/notebooks/guides/Long_form_General_Strategies.ipynb +++ b/notebooks/guides/Long_form_General_Strategies.ipynb @@ -1,2094 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "1CmEDootEpNV" - }, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "_q-7fEZxmIHm" - }, - "source": [ - "Large Language Models (LLMs) are becoming increasingly capable of comprehending text, among others excelling in document analysis. The new Cohere model, [Command-R](https://huggingface.co/CohereForAI/c4ai-command-r-v01), boasts a context length of 128k, which makes it particularly effective for such tasks. Nevertheless, even with the extended context window, some documents might be too lengthy to accommodate in full.\n", - "\n", - "In this cookbook, we'll explore techniques to address cases when relevant information doesn't fit in the model context window.\n", - "\n", - "We'll show you three potential mitigation strategies: truncating the document, query-based retrieval, and a \"text rank\" approach we use internally at Cohere.\n", - "\n", - "### Table of content:\n", - "1. [Getting started](#getting-started)\n", - "2. [Approach 1: Truncate](#truncate)\n", - "3. [Approach 2: Query Based Retrieval](#query-based-retrieval)\n", - "4. [Approach 3: Text Rank](#text-rank)\n", - "\n", - "### Summary\n", - "\n", - "| Approach | Description | Pros | Cons | When to use? |\n", - "|-----------------------|-------------------------------------------|-------------------------------------------|-------------------------------------------|-------------------------------------------|\n", - "| Truncation | Truncate the document to fit the context window. | - Simplicity of implementation
    (does not rely on extrenal infrastructure)| - Loses information at the end of the document | Utilize when all relevant information is contained
    at the beginning of the document. |\n", - "| Query Based Retrieval| Utilize semantic similarity to retrieve text chunks
    that are most relevant to the query. | - Focuses on sections directly relevant to
    the query | - Relies on a semantic similarity algorithm.
    - Might lose broader context | Employ when seeking specific
    information within the text. |\n", - "| Text Rank | Apply graph theory to generate a cohesive set
    of chunks that effectively represent the document. | - Preserves the broader picture. | - Might lose detailed information. | Utilize in summaries and when the question
    requires broader context. |\n", - "\n", - "\n", - "\n", - "\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Lwgm08jzah5c" - }, - "source": [ - "\n", - "\n", - "# Getting Started" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "background_save": true, - "base_uri": "https://localhost:8080/" - }, - "id": "wuQ1PO8FadQf", - "outputId": "c6cc36f7-6368-4cdc-ce57-4d95249e409f" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Collecting cohere\n", - " Downloading cohere-4.54-py3-none-any.whl (52 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m52.8/52.8 kB\u001b[0m \u001b[31m1.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hRequirement already satisfied: aiohttp<4.0,>=3.0 in /usr/local/lib/python3.10/dist-packages (from cohere) (3.9.3)\n", - "Collecting backoff<3.0,>=2.0 (from cohere)\n", - " Downloading backoff-2.2.1-py3-none-any.whl (15 kB)\n", - "Collecting fastavro<2.0,>=1.8 (from cohere)\n", - " Downloading fastavro-1.9.4-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (3.1 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m33.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hCollecting importlib_metadata<7.0,>=6.0 (from cohere)\n", - " Downloading importlib_metadata-6.11.0-py3-none-any.whl (23 kB)\n", - "Requirement already satisfied: requests<3.0.0,>=2.25.0 in /usr/local/lib/python3.10/dist-packages (from cohere) (2.31.0)\n", - "Requirement already satisfied: urllib3<3,>=1.26 in /usr/local/lib/python3.10/dist-packages (from cohere) (2.0.7)\n", - "Requirement already satisfied: aiosignal>=1.1.2 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0,>=3.0->cohere) (1.3.1)\n", - "Requirement already satisfied: attrs>=17.3.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0,>=3.0->cohere) (23.2.0)\n", - "Requirement already satisfied: frozenlist>=1.1.1 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0,>=3.0->cohere) (1.4.1)\n", - "Requirement already satisfied: multidict<7.0,>=4.5 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0,>=3.0->cohere) (6.0.5)\n", - "Requirement already satisfied: yarl<2.0,>=1.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0,>=3.0->cohere) (1.9.4)\n", - "Requirement already satisfied: async-timeout<5.0,>=4.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0,>=3.0->cohere) (4.0.3)\n", - "Requirement already satisfied: zipp>=0.5 in /usr/local/lib/python3.10/dist-packages (from importlib_metadata<7.0,>=6.0->cohere) (3.17.0)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /usr/local/lib/python3.10/dist-packages (from requests<3.0.0,>=2.25.0->cohere) (3.3.2)\n", - "Requirement already satisfied: idna<4,>=2.5 in /usr/local/lib/python3.10/dist-packages (from requests<3.0.0,>=2.25.0->cohere) (3.6)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.10/dist-packages (from requests<3.0.0,>=2.25.0->cohere) (2024.2.2)\n", - "\u001b[31mERROR: Operation cancelled by user\u001b[0m\u001b[31m\n", - "\u001b[0mTraceback (most recent call last):\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/base_command.py\", line 169, in exc_logging_wrapper\n", - " status = run_func(*args)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/req_command.py\", line 242, in wrapper\n", - " return func(self, options, args)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/commands/install.py\", line 324, in run\n", - " session = self.get_default_session(options)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/req_command.py\", line 98, in get_default_session\n", - " self._session = self.enter_context(self._build_session(options))\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/req_command.py\", line 125, in _build_session\n", - " session = PipSession(\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/network/session.py\", line 342, in __init__\n", - " self.headers[\"User-Agent\"] = user_agent()\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/network/session.py\", line 175, in user_agent\n", - " setuptools_dist = get_default_environment().get_distribution(\"setuptools\")\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/metadata/__init__.py\", line 75, in get_default_environment\n", - " return select_backend().Environment.default()\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/metadata/__init__.py\", line 63, in select_backend\n", - " from . import pkg_resources\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/metadata/pkg_resources.py\", line 8, in \n", - " from pip._vendor import pkg_resources\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 3327, in \n", - " def _initialize_master_working_set():\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 3301, in _call_aside\n", - " f(*args, **kwargs)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 3339, in _initialize_master_working_set\n", - " working_set = WorkingSet._build_master()\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 620, in _build_master\n", - " ws = cls()\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 613, in __init__\n", - " self.add_entry(entry)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 669, in add_entry\n", - " for dist in find_distributions(entry, True):\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 2130, in find_on_path\n", - " for entry in sorted(entries):\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_vendor/pkg_resources/__init__.py\", line 2127, in \n", - " entries = (os.path.join(path_item, child) for child in safe_listdir(path_item))\n", - " File \"/usr/lib/python3.10/posixpath.py\", line 71, in join\n", - " def join(a, *p):\n", - "KeyboardInterrupt\n", - "\n", - "During handling of the above exception, another exception occurred:\n", - "\n", - "Traceback (most recent call last):\n", - " File \"/usr/local/bin/pip3\", line 8, in \n", - " sys.exit(main())\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/main.py\", line 79, in main\n", - " return command.main(cmd_args)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/base_command.py\", line 101, in main\n", - " return self._main(args)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/base_command.py\", line 223, in _main\n", - " return run(options, args)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/cli/base_command.py\", line 206, in exc_logging_wrapper\n", - " logger.critical(\"Operation cancelled by user\")\n", - " File \"/usr/lib/python3.10/logging/__init__.py\", line 1524, in critical\n", - " self._log(CRITICAL, msg, args, **kwargs)\n", - " File \"/usr/lib/python3.10/logging/__init__.py\", line 1624, in _log\n", - " self.handle(record)\n", - " File \"/usr/lib/python3.10/logging/__init__.py\", line 1634, in handle\n", - " self.callHandlers(record)\n", - " File \"/usr/lib/python3.10/logging/__init__.py\", line 1696, in callHandlers\n", - " hdlr.handle(record)\n", - " File \"/usr/lib/python3.10/logging/__init__.py\", line 968, in handle\n", - " self.emit(record)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/utils/logging.py\", line 168, in emit\n", - " message = self.format(record)\n", - " File \"/usr/lib/python3.10/logging/__init__.py\", line 943, in format\n", - " return fmt.format(record)\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/utils/logging.py\", line 119, in format\n", - " prefix += \" \" * get_indentation()\n", - " File \"/usr/local/lib/python3.10/dist-packages/pip/_internal/utils/logging.py\", line 69, in get_indentation\n", - " def get_indentation() -> int:\n", - "KeyboardInterrupt\n", - "^C\n", - "Requirement already satisfied: tokenizers in /usr/local/lib/python3.10/dist-packages (0.15.2)\n", - "Requirement already satisfied: huggingface_hub<1.0,>=0.16.4 in /usr/local/lib/python3.10/dist-packages (from tokenizers) (0.20.3)\n", - "Requirement already satisfied: filelock in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (3.13.1)\n", - "Requirement already satisfied: fsspec>=2023.5.0 in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (2023.6.0)\n", - "Requirement already satisfied: requests in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (2.31.0)\n", - "Requirement already satisfied: tqdm>=4.42.1 in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (4.66.2)\n", - "Requirement already satisfied: pyyaml>=5.1 in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (6.0.1)\n", - "Requirement already satisfied: typing-extensions>=3.7.4.3 in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (4.10.0)\n", - "Requirement already satisfied: packaging>=20.9 in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers) (23.2)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /usr/local/lib/python3.10/dist-packages (from requests->huggingface_hub<1.0,>=0.16.4->tokenizers) (3.3.2)\n", - "Requirement already satisfied: idna<4,>=2.5 in /usr/local/lib/python3.10/dist-packages (from requests->huggingface_hub<1.0,>=0.16.4->tokenizers) (3.6)\n", - "Requirement already satisfied: urllib3<3,>=1.21.1 in /usr/local/lib/python3.10/dist-packages (from requests->huggingface_hub<1.0,>=0.16.4->tokenizers) (2.0.7)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.10/dist-packages (from requests->huggingface_hub<1.0,>=0.16.4->tokenizers) (2024.2.2)\n", - "\u001b[31mERROR: Operation cancelled by user\u001b[0m\u001b[31m\n", - "\u001b[0mCollecting langchain\n", - " Downloading langchain-0.1.11-py3-none-any.whl (807 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m807.5/807.5 kB\u001b[0m \u001b[31m5.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hRequirement already satisfied: PyYAML>=5.3 in /usr/local/lib/python3.10/dist-packages (from langchain) (6.0.1)\n", - "Requirement already satisfied: SQLAlchemy<3,>=1.4 in /usr/local/lib/python3.10/dist-packages (from langchain) (2.0.28)\n", - "Requirement already satisfied: aiohttp<4.0.0,>=3.8.3 in /usr/local/lib/python3.10/dist-packages (from langchain) (3.9.3)\n", - "Requirement already satisfied: async-timeout<5.0.0,>=4.0.0 in /usr/local/lib/python3.10/dist-packages (from langchain) (4.0.3)\n", - "Collecting dataclasses-json<0.7,>=0.5.7 (from langchain)\n", - " Downloading dataclasses_json-0.6.4-py3-none-any.whl (28 kB)\n", - "Collecting jsonpatch<2.0,>=1.33 (from langchain)\n", - " Downloading jsonpatch-1.33-py2.py3-none-any.whl (12 kB)\n", - "Collecting langchain-community<0.1,>=0.0.25 (from langchain)\n", - " Downloading langchain_community-0.0.27-py3-none-any.whl (1.8 MB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.8/1.8 MB\u001b[0m \u001b[31m7.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hCollecting langchain-core<0.2,>=0.1.29 (from langchain)\n", - " Downloading langchain_core-0.1.30-py3-none-any.whl (256 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m256.9/256.9 kB\u001b[0m \u001b[31m18.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hCollecting langchain-text-splitters<0.1,>=0.0.1 (from langchain)\n", - " Downloading langchain_text_splitters-0.0.1-py3-none-any.whl (21 kB)\n", - "Collecting langsmith<0.2.0,>=0.1.17 (from langchain)\n", - " Downloading langsmith-0.1.23-py3-none-any.whl (66 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m66.6/66.6 kB\u001b[0m \u001b[31m7.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hRequirement already satisfied: numpy<2,>=1 in /usr/local/lib/python3.10/dist-packages (from langchain) (1.25.2)\n", - "Requirement already satisfied: pydantic<3,>=1 in /usr/local/lib/python3.10/dist-packages (from langchain) (2.6.3)\n", - "Requirement already satisfied: requests<3,>=2 in /usr/local/lib/python3.10/dist-packages (from langchain) (2.31.0)\n", - "Requirement already satisfied: tenacity<9.0.0,>=8.1.0 in /usr/local/lib/python3.10/dist-packages (from langchain) (8.2.3)\n", - "Requirement already satisfied: aiosignal>=1.1.2 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain) (1.3.1)\n", - "Requirement already satisfied: attrs>=17.3.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain) (23.2.0)\n", - "Requirement already satisfied: frozenlist>=1.1.1 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain) (1.4.1)\n", - "Requirement already satisfied: multidict<7.0,>=4.5 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain) (6.0.5)\n", - "Requirement already satisfied: yarl<2.0,>=1.0 in /usr/local/lib/python3.10/dist-packages (from aiohttp<4.0.0,>=3.8.3->langchain) (1.9.4)\n", - "Collecting marshmallow<4.0.0,>=3.18.0 (from dataclasses-json<0.7,>=0.5.7->langchain)\n", - " Downloading marshmallow-3.21.1-py3-none-any.whl (49 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m49.4/49.4 kB\u001b[0m \u001b[31m5.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hCollecting typing-inspect<1,>=0.4.0 (from dataclasses-json<0.7,>=0.5.7->langchain)\n", - " Downloading typing_inspect-0.9.0-py3-none-any.whl (8.8 kB)\n", - "Collecting jsonpointer>=1.9 (from jsonpatch<2.0,>=1.33->langchain)\n", - " Downloading jsonpointer-2.4-py2.py3-none-any.whl (7.8 kB)\n", - "Requirement already satisfied: anyio<5,>=3 in /usr/local/lib/python3.10/dist-packages (from langchain-core<0.2,>=0.1.29->langchain) (3.7.1)\n", - "Requirement already satisfied: packaging<24.0,>=23.2 in /usr/local/lib/python3.10/dist-packages (from langchain-core<0.2,>=0.1.29->langchain) (23.2)\n", - "Collecting orjson<4.0.0,>=3.9.14 (from langsmith<0.2.0,>=0.1.17->langchain)\n", - " Downloading orjson-3.9.15-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (138 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m138.5/138.5 kB\u001b[0m \u001b[31m15.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hRequirement already satisfied: annotated-types>=0.4.0 in /usr/local/lib/python3.10/dist-packages (from pydantic<3,>=1->langchain) (0.6.0)\n", - "Requirement already satisfied: pydantic-core==2.16.3 in /usr/local/lib/python3.10/dist-packages (from pydantic<3,>=1->langchain) (2.16.3)\n", - "Requirement already satisfied: typing-extensions>=4.6.1 in /usr/local/lib/python3.10/dist-packages (from pydantic<3,>=1->langchain) (4.10.0)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain) (3.3.2)\n", - "Requirement already satisfied: idna<4,>=2.5 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain) (3.6)\n", - "Requirement already satisfied: urllib3<3,>=1.21.1 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain) (2.0.7)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.10/dist-packages (from requests<3,>=2->langchain) (2024.2.2)\n", - "Requirement already satisfied: greenlet!=0.4.17 in /usr/local/lib/python3.10/dist-packages (from SQLAlchemy<3,>=1.4->langchain) (3.0.3)\n", - "Requirement already satisfied: sniffio>=1.1 in /usr/local/lib/python3.10/dist-packages (from anyio<5,>=3->langchain-core<0.2,>=0.1.29->langchain) (1.3.1)\n", - "Requirement already satisfied: exceptiongroup in /usr/local/lib/python3.10/dist-packages (from anyio<5,>=3->langchain-core<0.2,>=0.1.29->langchain) (1.2.0)\n", - "Collecting mypy-extensions>=0.3.0 (from typing-inspect<1,>=0.4.0->dataclasses-json<0.7,>=0.5.7->langchain)\n", - " Downloading mypy_extensions-1.0.0-py3-none-any.whl (4.7 kB)\n", - "Installing collected packages: orjson, mypy-extensions, marshmallow, jsonpointer, typing-inspect, jsonpatch, langsmith, dataclasses-json, langchain-core, langchain-text-splitters, langchain-community, langchain\n", - "Successfully installed dataclasses-json-0.6.4 jsonpatch-1.33 jsonpointer-2.4 langchain-0.1.11 langchain-community-0.0.27 langchain-core-0.1.30 langchain-text-splitters-0.0.1 langsmith-0.1.23 marshmallow-3.21.1 mypy-extensions-1.0.0 orjson-3.9.15 typing-inspect-0.9.0\n", - "Requirement already satisfied: nltk in /usr/local/lib/python3.10/dist-packages (3.8.1)\n", - "Requirement already satisfied: click in /usr/local/lib/python3.10/dist-packages (from nltk) (8.1.7)\n", - "Requirement already satisfied: joblib in /usr/local/lib/python3.10/dist-packages (from nltk) (1.3.2)\n", - "Requirement already satisfied: regex>=2021.8.3 in /usr/local/lib/python3.10/dist-packages (from nltk) (2023.12.25)\n", - "Requirement already satisfied: tqdm in /usr/local/lib/python3.10/dist-packages (from nltk) (4.66.2)\n", - "Requirement already satisfied: networkx in /usr/local/lib/python3.10/dist-packages (3.2.1)\n", - "Collecting pypdf2\n", - " Downloading pypdf2-3.0.1-py3-none-any.whl (232 kB)\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m232.6/232.6 kB\u001b[0m \u001b[31m4.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25hInstalling collected packages: pypdf2\n", - "Successfully installed pypdf2-3.0.1\n" - ] - } - ], - "source": [ - "####################################################################################################\n", - "#\n", - "# Uncomment if you need to install the following packages\n", - "#\n", - "####################################################################################################\n", - "# %%capture\n", - "# !pip install cohere\n", - "# !pip install python-dotenv\n", - "# !pip install tokenizers\n", - "# !pip install langchain\n", - "# !pip install nltk\n", - "# !pip install networkx\n", - "# !pip install pypdf2" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "UFi1QH3sZUEZ", - "outputId": "3e72afe2-2c60-472e-b838-949676897e13" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "[nltk_data] Downloading package punkt to /root/nltk_data...\n", - "[nltk_data] Package punkt is already up-to-date!\n" - ] - }, - { - "data": { - "text/plain": [ - "False" - ] - }, - "execution_count": 51, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import os\n", - "import requests\n", - "from collections import deque\n", - "from typing import List, Tuple\n", - "\n", - "import cohere\n", - "\n", - "import numpy as np\n", - "\n", - "import PyPDF2\n", - "from dotenv import load_dotenv\n", - "\n", - "from tokenizers import Tokenizer\n", - "\n", - "import nltk\n", - "nltk.download('punkt') # Download the necessary data for sentence tokenization\n", - "from nltk.tokenize import sent_tokenize\n", - "\n", - "import networkx as nx\n", - "from getpass import getpass\n", - "from IPython.display import HTML, display" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "6BtV3iJ_UQ2w", - "outputId": "76303020-4c08-4f5e-aa5a-03762686455d" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)\n", - "\n", - "set_css()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "K603hzyKda2f", - "outputId": "611374e9-1e51-4012-c99c-9ecb17a17e19" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Enter your Cohere API key: ··········\n" - ] - } - ], - "source": [ - "# Set up Cohere client\n", - "co_model = 'command-r'\n", - "co_api_key = getpass(\"Enter your Cohere API key: \")\n", - "co = cohere.Client(api_key=co_api_key)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "kI0inogLmIHp" - }, - "outputs": [], - "source": [ - "def load_long_pdf(file_path):\n", - " \"\"\"\n", - " Load a long PDF file and extract its text content.\n", - "\n", - " Args:\n", - " file_path (str): The path to the PDF file.\n", - "\n", - " Returns:\n", - " str: The extracted text content of the PDF file.\n", - " \"\"\"\n", - " with open(file_path, 'rb') as file:\n", - " pdf_reader = PyPDF2.PdfReader(file)\n", - " num_pages = len(pdf_reader.pages)\n", - " full_text = ''\n", - " for page_num in range(num_pages):\n", - " page = pdf_reader.pages[page_num]\n", - " full_text += page.extract_text()\n", - " return full_text\n", - "\n", - "def save_pdf_from_url(pdf_url, save_path):\n", - " try:\n", - " # Send a GET request to the PDF URL\n", - " response = requests.get(pdf_url, stream=True)\n", - " response.raise_for_status() # Raise an exception for HTTP errors\n", - "\n", - " # Open the local file for writing in binary mode\n", - " with open(save_path, 'wb') as file:\n", - " # Write the content of the response to the local file\n", - " for chunk in response.iter_content(chunk_size=8192):\n", - " file.write(chunk)\n", - "\n", - " print(f\"PDF saved successfully to '{save_path}'\")\n", - " except requests.exceptions.RequestException as e:\n", - " print(f\"Error downloading PDF: {e}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Lw1ttnX_VM7N" - }, - "source": [ - "In this example we use the Proposal for a Regulation of the European Parliament and of the Council defining rules on Artificial Intelligence from 26 January 2024, [link](https://data.consilium.europa.eu/doc/document/ST-5662-2024-INIT/en/pdf)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 53 - }, - "id": "4JgYYTg7Shvq", - "outputId": "bc24d5a7-8bde-4d2a-e569-8a6941612629" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "PDF saved successfully to 'example.pdf'\n", - "Document length - #tokens: 128618\n" - ] - } - ], - "source": [ - "# Download the PDF file from the URL\n", - "pdf_url = 'https://data.consilium.europa.eu/doc/document/ST-5662-2024-INIT/en/pdf'\n", - "save_path = 'example.pdf'\n", - "save_pdf_from_url(pdf_url, save_path)\n", - "\n", - "# Load the PDF file and extract its text content\n", - "long_text = load_long_pdf(save_path)\n", - "long_text = long_text.replace('\\n', ' ')\n", - "\n", - "# Print the length of the document\n", - "print(\"Document length - #tokens:\", len(co.tokenize(long_text)))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wu9MjCfUmIHp" - }, - "source": [ - "## Summarizing the text" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "PAa9xaU6-8ls", - "outputId": "7e60436a-d8a2-42ec-df85-6ae3402bc106" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def generate_response(message, max_tokens=300, temperature=0.2, k=0):\n", - " \"\"\"\n", - " A wrapper around the Cohere API to generate a response based on a given prompt.\n", - "\n", - " Args:\n", - " messsage (str): The input message for generating the response.\n", - " max_tokens (int, optional): The maximum number of tokens in the generated response. Defaults to 300.\n", - " temperature (float, optional): Controls the randomness of the generated response. Higher values (e.g., 1.0) make the output more random, while lower values (e.g., 0.2) make it more deterministic. Defaults to 0.2.\n", - " k (int, optional): Controls the diversity of the generated response. Higher values (e.g., 5) make the output more diverse, while lower values (e.g., 0) make it more focused. Defaults to 0.\n", - "\n", - " Returns:\n", - " str: The generated response.\n", - "\n", - " \"\"\"\n", - " response = co.chat(\n", - " model = co_model,\n", - " message=message,\n", - " max_tokens=max_tokens,\n", - " temperature=temperature,\n", - " return_prompt=True\n", - " )\n", - " return response.text" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "80fgeyAhZDZD", - "outputId": "3a756564-c6d9-44d6-ac97-ffb8c33d09f6" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Example summary prompt.\n", - "prompt_template = \"\"\"\n", - "## Instruction\n", - "Summarize the following Document in 3-5 sentences. Only answer based on the information provided in the document.\n", - "\n", - "## Document\n", - "{document}\n", - "\n", - "## Summary\n", - "\"\"\".strip()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "tq9Di1gImIHq" - }, - "source": [ - "If you run the cell below, an error will occur. Therefore, in the following sections, we will explore some techniques to address this limitation.\n", - "\n", - "Error: :`CohereAPIError: too many tokens:`\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "TECMEd6rdYN_" - }, - "outputs": [], - "source": [ - "prompt = prompt_template.format(document=long_text)\n", - "# print(generate_response(message=prompt))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "FLwHEBQojIXX" - }, - "source": [ - "Therefore, in the following sections, we will explore some techniques to address this limitation." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AH-tKADHmIHq" - }, - "source": [ - "\n", - "# Approach 1 - Truncate" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "OGxtSKUEmIHq" - }, - "source": [ - "First we try to truncate the document so that it meets the length constraints. This approach is simple to implement and understand. However, it drops potentially important information contained towards the end of the document." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "JrvVls3smIHq", - "outputId": "6ec69e1b-0d6d-4b96-e8ae-650ebc049a7a" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# The new Cohere model has a context limit of 128k tokens. However, for the purpose of this exercise, we will assume a smaller context window.\n", - "# Employing a smaller context window also has the additional benefit of reducing the cost per request, especially if billed by the number of tokens.\n", - "\n", - "MAX_TOKENS = 40000\n", - "\n", - "def truncate(long: str, max_tokens: int) -> str:\n", - " \"\"\"\n", - " Shortens `long` by brutally truncating it to the first `max_tokens` tokens.\n", - " This can break up sentences, passages, etc.\n", - " \"\"\"\n", - "\n", - " tokenized = co.tokenize(long).token_strings\n", - " truncated = tokenized[:max_tokens]\n", - " short = \"\".join(truncated)\n", - " return short" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 124 - }, - "id": "bxBOLcMomIHq", - "outputId": "0273fe8b-814b-4d5b-8da5-be85bfa9ea45" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The document outlines the European Union's proposed Regulation on Artificial Intelligence, aiming to establish harmonised rules for AI development and use while ensuring fundamental rights protection. It defines the scope, purposes, and risks addressed, excluding national security and military purposes. Prohibited AI practices, such as real-time biometric identification in public spaces, are detailed. The regulation proposes a risk-based approach, classifying high-risk AI systems and setting requirements for providers and deployers. It establishes governance structures and obligations for general-purpose AI models, including transparency and copyright compliance. The document also covers issues like conformity assessment, responsibilities along the AI value chain, and standardisation. The regulation aims to foster trustworthy AI while protecting public interests and fundamental rights.\n" - ] - } - ], - "source": [ - "short_text = truncate(long_text, MAX_TOKENS)\n", - "\n", - "prompt = prompt_template.format(document=short_text)\n", - "print(generate_response(message=prompt))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "pC1l77odmIHr" - }, - "source": [ - "\n", - "# Approach 2: Query Based Retrieval\n", - "\n", - "In this section we present how we can leverage a query retriereval based approach to generate an answer to the following question: `Based on the document, are there any risks related to Elon Musk?`.\n", - "\n", - "The solution is outlined below and can be broken down into four functional steps.\n", - "\n", - "1. Chunk the text into units\n", - " - Here we employ a simple chunking algorithm. More information about different chunking strategies can be found [here](TODO: link to chunking post).\n", - "\n", - "2. Use a ranking algorithm to rank chunks against the query\n", - " - We leverage another Cohere endpoint, `co.rerank` ([docs link](https://docs.cohere.com/reference/rerank-1)), to rank each chunk against the query.\n", - "\n", - "3. Keep the most-relevant chunks until context limit is reached\n", - " - `co.rerank` returns a relevance score, facilitating the selection of the most pertinent chunks. We can choose the most relevant chunks based on this score.\n", - "\n", - "4. Put condensed text back in original order\n", - " - Finally, we arrange the chosen chunks in their original sequence as they appear in the document.\n", - "\n", - "See `query_based_retrieval` function for the starting point.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "rHwHtYSPjYKH" - }, - "source": [ - "### Query based retrieval implementation" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "XIbGWw2GmIHr", - "outputId": "9ca56d31-d7b4-4e48-e9d2-2279f9d2caaf" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, + "cells": [ { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Long_form_General_Strategies.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" } - ], - "source": [ - "############################################################\n", - "#\n", - "# Utility functions for chunking\n", - "#\n", - "############################################################\n", - "def split_text_into_sentences(text) -> List[str]:\n", - " \"\"\"\n", - " Split the input text into a list of sentences.\n", - " \"\"\"\n", - " sentences = sent_tokenize(text)\n", - "\n", - " return sentences\n", - "\n", - "def group_sentences_into_passages(sentence_list, n_sentences_per_passage=5):\n", - " \"\"\"\n", - " Group sentences into passages of n_sentences sentences.\n", - " \"\"\"\n", - " passages = []\n", - " passage = \"\"\n", - " for i, sentence in enumerate(sentence_list):\n", - " passage += sentence + \" \"\n", - " if (i + 1) % n_sentences_per_passage == 0:\n", - " passages.append(passage)\n", - " passage = \"\"\n", - " return passages\n", - "\n", - "def build_simple_chunks(text, n_sentences=5):\n", - " \"\"\"\n", - " Build chunks of text from the input text.\n", - " \"\"\"\n", - " sentences = split_text_into_sentences(text)\n", - " chunks = group_sentences_into_passages(sentences, n_sentences_per_passage=n_sentences)\n", - " return chunks" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 160 - }, - "id": "gKS7Mo6pmIHr", - "outputId": "ae67c468-b5d3-43a4-da36-83a2dc16956a" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Example sentence: ['This is to ensure that the deployer is aware and takes them into acc ount when using the high - risk AI system.']\n", - "\n", - "Example passage: ['Notified bodies shall have procedures for the performance of activities which take due account of the size of an undertaking, th e sector in which it operates, its structure, the degree of complexity of the AI system in question. 8. Notified bodies shall take out appropriate liability insurance for their conformity assessment activities, unless liability is assumed by the Member Sta te in which they are established in accordance with national law or that Member State is itself directly responsible for the conformity assessment. 9. Notified bodies shall be capable of carrying out all the tasks falling to them under this Regulation with the highest degree of professional integrity and the requisite competence in the specific field, whether those tasks are carried out by notified bodies themselves or on their behalf and under their responsibility. ']\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "sentences = split_text_into_sentences(long_text)\n", - "passages = group_sentences_into_passages(sentences, n_sentences_per_passage=5)\n", - "print('Example sentence:', np.random.choice(np.asarray(sentences), size=1, replace=False))\n", - "print()\n", - "print('Example passage:', np.random.choice(np.asarray(passages), size=1, replace=False))" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "w6pjBAA9mIHr", - "outputId": "ab3f3ae1-3b38-4999-f8f7-1259d070c88b" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def _add_chunks_by_priority(\n", - " chunks: List[str],\n", - " idcs_sorted_by_priority: List[int],\n", - " max_tokens: int,\n", - ") -> List[Tuple[int, str]]:\n", - " \"\"\"\n", - " Given chunks of text and their indices sorted by priority (highest priority first), this function\n", - " fills the model context window with as many highest-priority chunks as possible.\n", - "\n", - " The output is a list of (index, chunk) pairs, ordered by priority. To stitch back the chunks into\n", - " a cohesive text that preserves chronological order, sort the output on its index.\n", - " \"\"\"\n", - "\n", - " selected = []\n", - " num_tokens = 0\n", - " idcs_queue = deque(idcs_sorted_by_priority)\n", - "\n", - " while num_tokens < max_tokens and len(idcs_queue) > 0:\n", - " next_idx = idcs_queue.popleft()\n", - " num_tokens += co.tokenize(chunks[next_idx]).length\n", - " # keep index and chunk, to reorder chronologically\n", - " selected.append((next_idx, chunks[next_idx]))\n", - " if num_tokens > max_tokens:\n", - " selected.pop()\n", - "\n", - " return selected\n", - "\n", - "def query_based_retrieval(\n", - " long: str,\n", - " max_tokens: int,\n", - " query: str,\n", - " n_setences_per_passage: int = 5,\n", - ") -> str:\n", - " \"\"\"\n", - " Performs query-based retrieval on a long text document.\n", - " \"\"\"\n", - " # 1. Chunk text into units\n", - " chunks = build_simple_chunks(long, n_setences_per_passage)\n", - "\n", - " # 2. Use co.rerank to rank chunks vs. query\n", - " chunks_reranked = co.rerank(query=query, documents=chunks, model=\"rerank-english-v3.0\")\n", - " idcs_sorted_by_relevance = [\n", - " chunk.index for chunk in sorted(chunks_reranked, key=lambda c: c.relevance_score, reverse=True)\n", - " ]\n", - "\n", - " # 3. Add chunks back in order of relevance\n", - " selected = _add_chunks_by_priority(chunks, idcs_sorted_by_relevance, max_tokens)\n", - "\n", - " # 4. Put condensed text back in original order\n", - " separator = \" \"\n", - " short = separator.join([chunk for index, chunk in sorted(selected, key=lambda item: item[0], reverse=False)])\n", - " return short" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "SX04iD3emIHr", - "outputId": "dbe55fc4-bbc4-482a-cace-f5e2fc9318ba" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Example prompt\n", - "prompt_template = \"\"\"\n", - "## Instruction\n", - "{query}\n", - "\n", - "## Document\n", - "{document}\n", - "\n", - "## Answer\n", - "\"\"\".strip()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 321 - }, - "id": "53eSmzkJmIHr", - "outputId": "093c1167-d66d-42f7-e65d-ffe02b2d71ff" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The report discusses the regulation of biometric identification, specifically the use of real-time systems in publicly accessible spaces for law enforcement purposes. The use of these systems is generally prohibited except in certain circumstances, such as searching for missing people or identifying perpetrators of serious criminal offences.\n", - "\n", - "The report outlines a number of exceptions and additional prohibitions related to biometric identification. For instance, biometric categorisation based on specific beliefs or characteristics is generally prohibited, along with untargeted scraping of facial images for creating facial recognition databases.\n", - "\n", - "Additionally, the document mentions post-remote biometric identification, which is subject to additional safeguards. These include authorisation requirements and restrictions on their use for law enforcement purposes.\n", - "\n", - "The regulation also addresses the accuracy, robustness and cybersecurity of AI systems, including high-risk systems that involve biometric identification. Providers of such systems are responsible for ensuring compliance with these requirements.\n", - "\n", - "National competent authorities and market surveillance authorities are designated to oversee the implementation of these regulations. They have the power to enforce compliance, including the imposition of penalties for non-compliance.\n", - "\n", - "Overall, the report aims to establish a uniform legal framework for AI systems, especially those involving biometric identification, while ensuring the protection of fundamental rights and individual freedoms.\n" - ] - } - ], - "source": [ - "query = \"What does the report say about biometric identification? Answer only based on the document.\"\n", - "short_text = query_based_retrieval(long_text, MAX_TOKENS, query)\n", - "prompt = prompt_template.format(query=query, document=short_text)\n", - "print(generate_response(message=prompt, max_tokens=300))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "zfpvo9WwbB6e" - }, - "source": [ - "\n", - "# Approach 3: Text rank" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YNbvzWqgmIHs" - }, - "source": [ - "In the final section we will show how we leverage graph theory to select chunks based on their centrality. Centrality is a graph-theoretic measure of how connected a node is; the higher the centrality, the more connected the node is to surrounding nodes (with fewer connections among those neighbors).\n", - "\n", - "The solution presented in this document can be broken down into five functional steps:\n", - "\n", - "1. Break the document into chunks.\n", - " - This mirrors the first step in [Approach 2](#query-based-retrieval).\n", - "\n", - "2. Embed each chunk using an embedding model and construct a similarity matrix.\n", - " - We utilize `co.embed` [documentation link](https://docs.cohere.com/reference/embed).\n", - "\n", - "3. Compute the centrality of each chunk.\n", - " - We employ a package called [`NetworkX`](https://networkx.org/documentation/networkx-1.10/overview.html). It constructs a graph where the chunks are nodes, and the similarity score between them serves as the weight of the edges. Then, we calculate the centrality of each chunk as the sum of the edge weights adjacent to the node representing that chunk.\n", - "\n", - "4. Retain the highest-centrality chunks until the context limit is reached.\n", - " - This step follows a similar approach to [Approach 2](#query-based-retrieval).\n", - "\n", - "5. Reassemble the shortened text by reordering chunks in their original order.\n", - " - This step mirrors the last step in [Approach 2](#query-based-retrieval).\n", - "\n", - "See `text_rank` as the starting point.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "zC_fkJgyj3Hv" - }, - "source": [ - "### Text rank implementation" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "4fWR-HKpmIHs", - "outputId": "1ddc2bf4-90d1-44df-d4cd-6908546db506" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def text_rank(text: str, max_tokens: int, n_setences_per_passage: int) -> str:\n", - " \"\"\"\n", - " Shortens text by extracting key units of text from it based on their centrality.\n", - " The output is the concatenation of those key units, in their original order.\n", - " \"\"\"\n", - "\n", - " # 1. Chunk text into units\n", - " chunks = build_simple_chunks(text, n_setences_per_passage)\n", - "\n", - " # 2. Embed and construct similarity matrix\n", - " embeddings = np.array(\n", - " co.embed(\n", - " texts=chunks,\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"clustering\",\n", - " ).embeddings\n", - " )\n", - " similarities = np.dot(embeddings, embeddings.T)\n", - "\n", - " # 3. Compute centrality and sort sentences by centrality\n", - " # Easiest to use networkx's `degree` function with similarity as weight\n", - " g = nx.from_numpy_array(similarities, edge_attr=\"weight\")\n", - " centralities = g.degree(weight=\"weight\")\n", - " idcs_sorted_by_centrality = [node for node, degree in sorted(centralities, key=lambda item: item[1], reverse=True)]\n", - "\n", - " # 4. Add chunks back in order of centrality\n", - " selected = _add_chunks_by_priority(chunks, idcs_sorted_by_centrality, max_tokens)\n", - "\n", - " # 5. Put condensed text back in original order\n", - " short = \" \".join([chunk for index, chunk in sorted(selected, key=lambda item: item[0], reverse=False)])\n", - "\n", - " return short" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "YVVMr-S9mIHs", - "outputId": "2fe871db-ac7a-4ac1-e7a1-e8c8292d95e4" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Example summary prompt.\n", - "prompt_template = \"\"\"\n", - "## Instruction\n", - "Summarize the following Document in 3-5 sentences. Only answer based on the information provided in the document.\n", - "\n", - "## Document\n", - "{document}\n", - "\n", - "## Summary\n", - "\"\"\".strip()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 124 - }, - "id": "vyahJTGImIHs", - "outputId": "0a12951a-b917-4e69-a5c4-951907e32a90" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The document outlines the European Union's Artificial Intelligence Act, which aims to regulate AI systems and models while promoting innovation. It establishes rules for developing, using, and monitoring AI, especially high-risk systems, to protect public interests and fundamental rights. The Act mandates risk management systems, data governance requirements, and transparency measures for high-risk AI. It also sets obligations for providers and deployers, including registration, and empowers competent authorities to enforce compliance. Additionally, it encourages codes of conduct and provides for AI regulatory sandboxes to support innovation. The EU will establish a database for high-risk AI systems, and the Commission will coordinate enforcement and promote best practices. Fines and penalties are proposed for non-compliance. The Act seeks to balance AI development and oversight, ensuring trustworthy and responsible use while fostering the EU's AI ecosystem.\n" - ] - } - ], - "source": [ - "short_text = text_rank(long_text, MAX_TOKENS, 5)\n", - "prompt = prompt_template.format(document=short_text)\n", - "print(generate_response(message=prompt, max_tokens=600))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "krJVT65vrRsX" - }, - "source": [ - "## Summary\n", - "\n", - "In this notebook we present three useful methods to over come the limitations of context window size. In the following [blog post](TODO:add link), we talk more about how these methods can be evaluated." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "iUqiXtILroUY" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.2" - } - }, - "nbformat": 4, - "nbformat_minor": 0 + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/guides/Meeting_Summaries_General_&_LangChain.ipynb b/notebooks/guides/Meeting_Summaries_General_&_LangChain.ipynb index 73117b24..0eb638ca 100644 --- a/notebooks/guides/Meeting_Summaries_General_&_LangChain.ipynb +++ b/notebooks/guides/Meeting_Summaries_General_&_LangChain.ipynb @@ -1,3127 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "LplM9PSe8djM" - }, - "source": [ - "# Summarizing meeting notes\n", - "\n", - "Welcome to Cohere! In this notebook, you'll learn how to:\n", - "* Use Cohere's Command-R model to summarize meeting transcripts.\n", - "* Modify your prompt to include specific formatting instructions, especially if the model output is used in downstream applications.\n", - "* Use Command-R with LangChain for summarization." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6SfEhd3O74--" - }, - "source": [ - "## Setup\n", - "\n", - "You'll need a Cohere API key to run this notebook. If you don't have a key, head to https://cohere.com/ to generate your key." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "H2TfKiPM3a6f" - }, - "outputs": [], - "source": [ - "%%capture\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" datasets tokenizers langchain" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "J1HzGqnY74bj", - "outputId": "24fba7c5-a2ce-4c86-bc14-4ca2fb9137b5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Enter your Cohere API key: ··········\n" - ] - } - ], - "source": [ - "import re\n", - "from string import Template\n", - "from typing import Optional\n", - "\n", - "import cohere\n", - "from getpass import getpass\n", - "from datasets import load_dataset\n", - "\n", - "# Set up Cohere client\n", - "co_api_key = getpass(\"Enter your Cohere API key: \")\n", - "co_model = \"command-r\"\n", - "co = cohere.Client(api_key=co_api_key)" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "SbVCPxMSD3fs" - }, - "outputs": [], - "source": [ - "# We'll also defining some util functions for later\n", - "\n", - "def pprint(s: Optional[str] = None, maxchars: int = 100):\n", - " \"\"\"\n", - " Wrap long text into lines of at most `maxchars` (preserves linebreaks occurring in text)\n", - " \"\"\"\n", - " if not s:\n", - " print()\n", - " else:\n", - " print(\"\\n\".join(line.strip() for line in re.findall(rf\".{{1,{maxchars}}}(?:\\s+|$)\", s)))\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ABgVdI7I8Jmk" - }, - "source": [ - "## Load test data\n", - "\n", - "Let's load a meeting transcript to see Command in action!\n", - "\n", - "* If you have your own transcript, you can load it to Colab using your favorite method.\n", - "* If you don't, we'll use a sample from the [QMSum dataset](https://github.com/Yale-LILY/QMSum). QMSum contains cleaned meeting transcripts with [diarised speakers](https://en.wikipedia.org/wiki/Speaker_diarisation).\n", - "* We'll see later that the recipe shared herein isn't limited to meeting notes transcript, but extends to any data with diarised speakers!" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000, - "referenced_widgets": [ - "43225bc344ed4374931511b8630baae4", - "56d2b7a171c4497a83e26bbafbbb4612", - "2f73ed56c08a45c9ab1dbdfb59eb51cd", - "4798a0f8e4d24a568fded08cde65dc7a", - "68aa82f9c86f47b6a810aa0404bb8266", - "09fa50ba56b6483d88413799d45e6baa", - "079f8238a7124707963f240aaef7eb4f", - "904ddfbc8af44e43bb32a7c14639de8d", - "38a4dabfd7b648dca78f2d6159079779", - "7922f387c6a04bfc97bdd046097b567d", - "95d74166787244d4807e5c4b0223ab4c", - "23801508bc9c414cb106b6809c5f3043", - "2e1ca43dfaf14957ad788519f57333e9", - "9df7c90e2a9444c1b97dc055bb805a4e", - "5f9d1e97dd62416587abfc724743c685", - "6b68bf1fc952421c8534b262dc6b27b9", - "5f2d4056e0be4205bd09948715a66f07", - "5f3a09f953e64b44bb91a8258d91ef28", - "5521d0c2117a4500b741197c99da7d36", - "99872e6b03a047a38b1ea88976c4b1a4", - "a4bc752fd44a4a0da969d08fd232644a", - "cc7e4555f04f4d09aab780af5dce5004", - "0d41cdf4e8b049d48912ee8ae20e5bf4", - "dc022d95fff345e88fe3fdeb42ad78c8", - "73fa502143aa4186a32bcf58fdfe0424", - "88abb7063a4f4f43b48e51ba746ec6e6", - "a2f91869ede34418ad6d934680a4a447", - "f1a3b77843af4b219e24f94fe7224516", - "5895c33db870460681eb05f98da67a5b", - "8ebf85a7dd4c4a538405100fb08c7376", - "52b2f525cfdb429aa79cd7097989b657", - "fef8c84b911a419c882ae7c0d8b6a937", - "9cecfc3ecc2c4027a76182fb4330ea6a", - "fd57fbd3688942ae9a4a2c0c65817c88", - "af362384efb04c50bd2e3d16abb28448", - "671324a8ab0249a59e9d10f7b7df0d3a", - "2e9d71ebf60049a68dce99ae57633ed6", - "3eaf53e3d6674527aaca6f4aeda71f7d", - "e829f283ba864af1af50cdce847692c1", - "0ce661f36e724d24a65d875106b3e92d", - "0cdd8ccc3bef493ba59b3ca0cae5f785", - "6ed77172d5da420093304ccde9420f98", - "b9284cee75b24777815d37512975fa64", - "e8e40b743abc4c0d8f0fcc66a2d45c30", - "6ed3be205c90420bbe4a2ff7911ef4ec", - "28df182d45c54455974d58435ea5407f", - "dff1f82298b0499f92ac781678245018", - "8530897eee1f4512b95617d5e81c890d", - "266ee16a3cda44bfb634366770e52ca2", - "074a041d836046219e201ab8443e994d", - "3973b1ea9bdd42319bea13839b04ff73", - "ac0960ad1e8b42f29fe0342afe9a5dea", - "36bd2c9c60884f6fac47d99ac8e62df7", - "35b591964bbd436da1eed4cb18063085", - "97e38497aedd4e52a2969a75f40e69cf", - "f63f4d33706a4a6bb275e895cce1399a", - "cc16e3c53da9411aa5e705cb827a1ee0", - "1b415516e0b74d70bed24553c562652e", - "0e0f7993c16b44689184af9c92420ab7", - "073a3fe3ace242fb96da7338a8769316", - "d5d56db4f0394ec0baf682d3367d1750", - "9698cc34f7114de58518334c1e4acc79", - "dc8e7b2d8bbf44e5b8d94c9f4641928b", - "41f87857e69641c7bd469f9305fbb77f", - "ae4f97637ec84bf786780865cb7590aa", - "5c4d39b44cce45debd1c79320f99265a", - "918da148fd1d42cd80e890600d7a7de9", - "81b7e458c813477fbf2994ae8a5202ba", - "f1448623a7074e29af9b7bdcfd1ba52c", - "100aa10071bf49098bc82ffcf34be553", - "6e9f3a291822403a8e25c243edca3d6a", - "4a69736428824330bc41a08fa8f42684", - "582d77ff31aa48d28913f8d19fa402a7", - "a30cc578ba9c48f4b046731fb47df0af", - "5f8e24fef9fc459db426d955f002a764", - "2b04db4915fc4c7fa54a6ff0e5efed03", - "9c8a77cd6bce4d9a8e3c81714cad6964" - ] - }, - "id": "yS-hEiwQ71lv", - "outputId": "30c66020-c5b0-4984-fce6-9ae018b7abf0" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/usr/local/lib/python3.10/dist-packages/huggingface_hub/utils/_token.py:88: UserWarning: \n", - "The secret `HF_TOKEN` does not exist in your Colab secrets.\n", - "To authenticate with the Hugging Face Hub, create a token in your settings tab (https://huggingface.co/settings/tokens), set it as secret in your Google Colab and restart your session.\n", - "You will be able to reuse this secret in all of your notebooks.\n", - "Please note that authentication is recommended but still optional to access public models or datasets.\n", - " warnings.warn(\n" - ] - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "43225bc344ed4374931511b8630baae4", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Downloading readme: 0%| | 0.00/21.0 [00:00\",\n", - " \"\",\n", - " ...\n", - " ]\n", - "}\n", - "Don't include preambles, postambles or explanations.\\\n", - "\"\"\")\n", - "prompt = prompt_template.substitute(transcript=transcript)\n", - "\n", - "# Use the Cohere API to get the response\n", - "resp = co.chat(message=prompt, model=co_model, temperature=0.2).text\n", - "print(resp)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "inBjpwOK6pTN" - }, - "source": [ - "That worked well! Asking for a structured response like a JSON object is a great approach for use cases where you will need to parse or post-process the output for use in downstream applications. To ensure the best possible completion, I provided a template of the expected JSON that the model filled out.\n", - "\n", - "Let's also try asking for a short 1 sentence summary." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "y5aC_E4fK_xt", - "outputId": "aeefd38d-4673-443b-f959-f500e281b764" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The team discusses using various methods, including close-talking mics and threshold-based volume\n", - "filters, to accurately identify instances of speaker overlap in recorded meetings.\n" - ] } - ], - "source": [ - "prompt_template = Template(\"\"\"## meeting transcript\n", - "$transcript\n", - "\n", - "## instructions\n", - "Generate a summary of the above meeting transcript in 1 concise sentence. Make sure the sentence is extremely short.\\\n", - "Don't include preambles, postambles or explanations.\\\n", - "\"\"\")\n", - "prompt = prompt_template.substitute(transcript=transcript)\n", - "\n", - "# Use the Cohere API to get the response\n", - "resp = co.chat(message=prompt, model=co_model, temperature=0.2).text\n", - "pprint(resp)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "KOaKiT6Ztkbz" - }, - "source": [ - "## LangChain summarization\n", - "It's also very easy to use Command-R with LangChain for summarization." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "5eJM29wWtx-m", - "outputId": "3f29b633-e406-4608-95d3-60653ff41c51" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/usr/local/lib/python3.10/dist-packages/langchain_core/_api/deprecation.py:117: LangChainDeprecationWarning: The function `run` was deprecated in LangChain 0.1.0 and will be removed in 0.2.0. Use invoke instead.\n", - " warn_deprecated(\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new StuffDocumentsChain chain...\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n", - "The team discusses the time-consuming process of identifying instances of speaker overlap in audio\n", - "recordings. PhD C suggests using close-talking microphones and automated methods to establish ground\n", - "truth data, which Grad G is working on. This data would help develop a more efficient system than\n", - "manual marking.\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "from langchain.chains.combine_documents.stuff import StuffDocumentsChain\n", - "from langchain.chains.llm import LLMChain\n", - "from langchain.prompts import PromptTemplate\n", - "from langchain.docstore.document import Document\n", - "from langchain_community.chat_models import ChatCohere\n", - "\n", - "llm = ChatCohere(\n", - " cohere_api_key=co_api_key,\n", - " model=\"command-r\",\n", - " temperature=0.2,\n", - " )\n", - "\n", - "# Define prompt\n", - "prompt_template = \"\"\"## meeting transcript\n", - "{transcript}\n", - "\n", - "## instructions\n", - "Generate a summary of the above meeting transcript. \\\n", - "Don't include preambles, postambles or explanations.\"\"\"\n", - "prompt = PromptTemplate.from_template(prompt_template)\n", - "\n", - "# Define LLM chain\n", - "llm_chain = LLMChain(llm=llm, prompt=prompt)\n", - "\n", - "# Define StuffDocumentsChain\n", - "stuff_chain = StuffDocumentsChain(llm_chain=llm_chain, document_variable_name=\"transcript\", verbose=True)\n", - "\n", - "# Load documents\n", - "docs = [Document(page_content=transcript)]\n", - "\n", - "pprint(stuff_chain.run(docs))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MtS7tZi9t-dY" - }, - "source": [ - "Command-R has a context window of 128K tokens, so you can use very long documents, or multiple documents, all in a single API call.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "uj8kdy5auKKY" - }, - "source": [ - "## Wrap up\n", - "\n", - "Those were some simple examples of how you can use Cohere's Command-R model for summarization.\n", - "\n", - "For more advanced summarization objectives and to see some other cool things you can do with the Command-R model, see [recipes for better meeting notes summaries](https://colab.research.google.com/drive/1XqRpJH7qRnRTbOEt6kthwqZG6gtEn4gN#scrollTo=LplM9PSe8djM).\n", - "\n", - "In cases where you can't fit the input text into the context window, see our [cookbook for long document strategies](https://colab.research.google.com/drive/1zxSAbruOWwWJHNsj3N56uxZtUeiS7Evd#scrollTo=4JgYYTg7Shvq).\n", - "\n", - "For more information, you can reach out to us at summarize@cohere.com!" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.11" - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "073a3fe3ace242fb96da7338a8769316": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "074a041d836046219e201ab8443e994d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "079f8238a7124707963f240aaef7eb4f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "09fa50ba56b6483d88413799d45e6baa": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "0cdd8ccc3bef493ba59b3ca0cae5f785": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "0ce661f36e724d24a65d875106b3e92d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "0d41cdf4e8b049d48912ee8ae20e5bf4": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_dc022d95fff345e88fe3fdeb42ad78c8", - "IPY_MODEL_73fa502143aa4186a32bcf58fdfe0424", - "IPY_MODEL_88abb7063a4f4f43b48e51ba746ec6e6" - ], - "layout": "IPY_MODEL_a2f91869ede34418ad6d934680a4a447" - } - }, - "0e0f7993c16b44689184af9c92420ab7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_ae4f97637ec84bf786780865cb7590aa", - "placeholder": "​", - "style": "IPY_MODEL_5c4d39b44cce45debd1c79320f99265a", - "value": " 237/0 [00:00<00:00, 1833.42 examples/s]" - } - }, - "100aa10071bf49098bc82ffcf34be553": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_2b04db4915fc4c7fa54a6ff0e5efed03", - "placeholder": "​", - "style": "IPY_MODEL_9c8a77cd6bce4d9a8e3c81714cad6964", - "value": " 244/0 [00:00<00:00, 1721.26 examples/s]" - } - }, - "1b415516e0b74d70bed24553c562652e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_dc8e7b2d8bbf44e5b8d94c9f4641928b", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_41f87857e69641c7bd469f9305fbb77f", - "value": 1 - } - }, - "23801508bc9c414cb106b6809c5f3043": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_2e1ca43dfaf14957ad788519f57333e9", - "IPY_MODEL_9df7c90e2a9444c1b97dc055bb805a4e", - "IPY_MODEL_5f9d1e97dd62416587abfc724743c685" - ], - "layout": "IPY_MODEL_6b68bf1fc952421c8534b262dc6b27b9" - } - }, - "266ee16a3cda44bfb634366770e52ca2": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "28df182d45c54455974d58435ea5407f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_074a041d836046219e201ab8443e994d", - "placeholder": "​", - "style": "IPY_MODEL_3973b1ea9bdd42319bea13839b04ff73", - "value": "Generating train split: " - } - }, - "2b04db4915fc4c7fa54a6ff0e5efed03": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2e1ca43dfaf14957ad788519f57333e9": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_5f2d4056e0be4205bd09948715a66f07", - "placeholder": "​", - "style": "IPY_MODEL_5f3a09f953e64b44bb91a8258d91ef28", - "value": "Downloading data: 100%" - } - }, - "2e9d71ebf60049a68dce99ae57633ed6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_b9284cee75b24777815d37512975fa64", - "placeholder": "​", - "style": "IPY_MODEL_e8e40b743abc4c0d8f0fcc66a2d45c30", - "value": " 917k/917k [00:00<00:00, 1.64MB/s]" - } - }, - "2f73ed56c08a45c9ab1dbdfb59eb51cd": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_904ddfbc8af44e43bb32a7c14639de8d", - "max": 21, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_38a4dabfd7b648dca78f2d6159079779", - "value": 21 - } - }, - "35b591964bbd436da1eed4cb18063085": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "36bd2c9c60884f6fac47d99ac8e62df7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "38a4dabfd7b648dca78f2d6159079779": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "3973b1ea9bdd42319bea13839b04ff73": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "3eaf53e3d6674527aaca6f4aeda71f7d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "41f87857e69641c7bd469f9305fbb77f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "43225bc344ed4374931511b8630baae4": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_56d2b7a171c4497a83e26bbafbbb4612", - "IPY_MODEL_2f73ed56c08a45c9ab1dbdfb59eb51cd", - "IPY_MODEL_4798a0f8e4d24a568fded08cde65dc7a" - ], - "layout": "IPY_MODEL_68aa82f9c86f47b6a810aa0404bb8266" - } - }, - "4798a0f8e4d24a568fded08cde65dc7a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_7922f387c6a04bfc97bdd046097b567d", - "placeholder": "​", - "style": "IPY_MODEL_95d74166787244d4807e5c4b0223ab4c", - "value": " 21.0/21.0 [00:00<00:00, 767B/s]" - } - }, - "4a69736428824330bc41a08fa8f42684": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "52b2f525cfdb429aa79cd7097989b657": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "5521d0c2117a4500b741197c99da7d36": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "56d2b7a171c4497a83e26bbafbbb4612": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_09fa50ba56b6483d88413799d45e6baa", - "placeholder": "​", - "style": "IPY_MODEL_079f8238a7124707963f240aaef7eb4f", - "value": "Downloading readme: 100%" - } - }, - "582d77ff31aa48d28913f8d19fa402a7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "5895c33db870460681eb05f98da67a5b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "5c4d39b44cce45debd1c79320f99265a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "5f2d4056e0be4205bd09948715a66f07": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5f3a09f953e64b44bb91a8258d91ef28": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "5f8e24fef9fc459db426d955f002a764": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "5f9d1e97dd62416587abfc724743c685": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_a4bc752fd44a4a0da969d08fd232644a", - "placeholder": "​", - "style": "IPY_MODEL_cc7e4555f04f4d09aab780af5dce5004", - "value": " 4.45M/4.45M [00:00<00:00, 5.31MB/s]" - } - }, - "671324a8ab0249a59e9d10f7b7df0d3a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_0cdd8ccc3bef493ba59b3ca0cae5f785", - "max": 916846, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_6ed77172d5da420093304ccde9420f98", - "value": 916846 - } - }, - "68aa82f9c86f47b6a810aa0404bb8266": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6b68bf1fc952421c8534b262dc6b27b9": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6e9f3a291822403a8e25c243edca3d6a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6ed3be205c90420bbe4a2ff7911ef4ec": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_28df182d45c54455974d58435ea5407f", - "IPY_MODEL_dff1f82298b0499f92ac781678245018", - "IPY_MODEL_8530897eee1f4512b95617d5e81c890d" - ], - "layout": "IPY_MODEL_266ee16a3cda44bfb634366770e52ca2" - } - }, - "6ed77172d5da420093304ccde9420f98": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "73fa502143aa4186a32bcf58fdfe0424": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_8ebf85a7dd4c4a538405100fb08c7376", - "max": 950100, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_52b2f525cfdb429aa79cd7097989b657", - "value": 950100 - } - }, - "7922f387c6a04bfc97bdd046097b567d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "81b7e458c813477fbf2994ae8a5202ba": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_4a69736428824330bc41a08fa8f42684", - "placeholder": "​", - "style": "IPY_MODEL_582d77ff31aa48d28913f8d19fa402a7", - "value": "Generating test split: " - } - }, - "8530897eee1f4512b95617d5e81c890d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_35b591964bbd436da1eed4cb18063085", - "placeholder": "​", - "style": "IPY_MODEL_97e38497aedd4e52a2969a75f40e69cf", - "value": " 1095/0 [00:00<00:00, 4172.58 examples/s]" - } - }, - "88abb7063a4f4f43b48e51ba746ec6e6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_fef8c84b911a419c882ae7c0d8b6a937", - "placeholder": "​", - "style": "IPY_MODEL_9cecfc3ecc2c4027a76182fb4330ea6a", - "value": " 950k/950k [00:00<00:00, 1.80MB/s]" - } - }, - "8ebf85a7dd4c4a538405100fb08c7376": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "904ddfbc8af44e43bb32a7c14639de8d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "918da148fd1d42cd80e890600d7a7de9": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_81b7e458c813477fbf2994ae8a5202ba", - "IPY_MODEL_f1448623a7074e29af9b7bdcfd1ba52c", - "IPY_MODEL_100aa10071bf49098bc82ffcf34be553" - ], - "layout": "IPY_MODEL_6e9f3a291822403a8e25c243edca3d6a" - } - }, - "95d74166787244d4807e5c4b0223ab4c": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "9698cc34f7114de58518334c1e4acc79": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "97e38497aedd4e52a2969a75f40e69cf": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "99872e6b03a047a38b1ea88976c4b1a4": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "9c8a77cd6bce4d9a8e3c81714cad6964": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "9cecfc3ecc2c4027a76182fb4330ea6a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "9df7c90e2a9444c1b97dc055bb805a4e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_5521d0c2117a4500b741197c99da7d36", - "max": 4445270, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_99872e6b03a047a38b1ea88976c4b1a4", - "value": 4445270 - } - }, - "a2f91869ede34418ad6d934680a4a447": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a30cc578ba9c48f4b046731fb47df0af": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "a4bc752fd44a4a0da969d08fd232644a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "ac0960ad1e8b42f29fe0342afe9a5dea": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "ae4f97637ec84bf786780865cb7590aa": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "af362384efb04c50bd2e3d16abb28448": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_e829f283ba864af1af50cdce847692c1", - "placeholder": "​", - "style": "IPY_MODEL_0ce661f36e724d24a65d875106b3e92d", - "value": "Downloading data: 100%" - } - }, - "b9284cee75b24777815d37512975fa64": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "cc16e3c53da9411aa5e705cb827a1ee0": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d5d56db4f0394ec0baf682d3367d1750", - "placeholder": "​", - "style": "IPY_MODEL_9698cc34f7114de58518334c1e4acc79", - "value": "Generating validation split: " - } - }, - "cc7e4555f04f4d09aab780af5dce5004": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "d5d56db4f0394ec0baf682d3367d1750": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "dc022d95fff345e88fe3fdeb42ad78c8": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_f1a3b77843af4b219e24f94fe7224516", - "placeholder": "​", - "style": "IPY_MODEL_5895c33db870460681eb05f98da67a5b", - "value": "Downloading data: 100%" - } - }, - "dc8e7b2d8bbf44e5b8d94c9f4641928b": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "dff1f82298b0499f92ac781678245018": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_ac0960ad1e8b42f29fe0342afe9a5dea", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_36bd2c9c60884f6fac47d99ac8e62df7", - "value": 1 - } - }, - "e829f283ba864af1af50cdce847692c1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "e8e40b743abc4c0d8f0fcc66a2d45c30": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "f1448623a7074e29af9b7bdcfd1ba52c": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_a30cc578ba9c48f4b046731fb47df0af", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_5f8e24fef9fc459db426d955f002a764", - "value": 1 - } - }, - "f1a3b77843af4b219e24f94fe7224516": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f63f4d33706a4a6bb275e895cce1399a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_cc16e3c53da9411aa5e705cb827a1ee0", - "IPY_MODEL_1b415516e0b74d70bed24553c562652e", - "IPY_MODEL_0e0f7993c16b44689184af9c92420ab7" - ], - "layout": "IPY_MODEL_073a3fe3ace242fb96da7338a8769316" - } - }, - "fd57fbd3688942ae9a4a2c0c65817c88": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_af362384efb04c50bd2e3d16abb28448", - "IPY_MODEL_671324a8ab0249a59e9d10f7b7df0d3a", - "IPY_MODEL_2e9d71ebf60049a68dce99ae57633ed6" - ], - "layout": "IPY_MODEL_3eaf53e3d6674527aaca6f4aeda71f7d" - } - }, - "fef8c84b911a419c882ae7c0d8b6a937": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb b/notebooks/guides/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb index 6f60eb74..553d7d21 100644 --- a/notebooks/guides/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb +++ b/notebooks/guides/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb @@ -1,993 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "Tb8pA0kWrURv" - }, - "source": [ - "# Migrating Monolithic Prompts to Command-R with RAG\n", - "\n", - "Command-R is a powerful LLM optimized for long context tasks such as retrieval augmented generation (RAG). Migrating a monolithic task such as question-answering or query-focused summarization to RAG can improve the quality of responses due to reduced hallucination and improved conciseness through grounding.\n", - "\n", - "Previously, migrating an existing use case to RAG involved a lot of manual work around indexing documents, implementing at least a basic search strategy, extensive post-processing to introduce proper grounding through citations, and of course fine-tuning an LLM to work well in the RAG paradigm.\n", - "\n", - "This cookbook demonstrates automatic migration of monolithic prompts through two diverse use cases where an original prompt is broken down into two parts: (1) context; and (2) instructions. The former can be done automatically or through simple chunking, while the latter is done automatically by Command-R through single shot prompt optimization.\n", - "\n", - "The two use cases demonstrated here are:\n", - "\n", - "1. Autobiography Assistant; and\n", - "2. Legal Question Answering" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "3W6cgQxEq09t" - }, - "outputs": [], - "source": [ - "# Start by installing the Cohere API\n", - "#!pip install cohere" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "-Hwl6hOBy34Z" - }, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import re\n", - "\n", - "import cohere\n", - "import getpass" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "YyD4Okmsy-AU", - "outputId": "1006c70f-9e87-48b5-d43f-766905937c3d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "cohere API key:··········\n" - ] - } - ], - "source": [ - "CO_API_KEY = getpass.getpass('cohere API key:')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "dqFUGLVPzETw" - }, - "outputs": [], - "source": [ - "co = cohere.Client(CO_API_KEY)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "jY-SXbzS-ZFt" - }, - "source": [ - "## Autobiography Assistant" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "JfS7y2zD-ehv" - }, - "source": [ - "This application scenario is a common LLM-as-assistant use case. Given some context, help the user to complete a task. In this case, the task is to write a concise autobiographical summary." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "bHTwH-ac-xKE" - }, - "outputs": [], - "source": [ - "original_prompt = '''## information\n", - "Current Job Title: Senior Software Engineer\n", - "Current Company Name: GlobalSolTech\n", - "Work Experience: Over 15 years of experience in software engineering, specializing in AI and machine learning. Proficient in Python, C++, and Java, with expertise in developing algorithms for natural language processing, computer vision, and recommendation systems.\n", - "Current Department Name: AI Research and Development\n", - "Education: B.Sc. in Physics from Trent University (2004), Ph.D. in Statistics from HEC in Paris (2010)\n", - "Hobbies: I love hiking in the mountains, free diving, and collecting and restoring vintage world war one mechanical watches.\n", - "Family: Married with 4 children and 3 grandchildren.\n", - "\n", - "## instructions\n", - "Your task is to assist a user in writing a short biography for social media.\n", - "The length of the text should be no more than 100 words.\n", - "Write the summary in first person.'''" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "Z4513hfd-yKT" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message=original_prompt,\n", - " model='command-r',\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "BOUodUWc-yN7", - "outputId": "ce974241-289a-4da2-ecee-9997bff1b6db" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I'm a Senior Software Engineer at GlobalSolTech, with over 15 years of experience in AI and machine learning. My expertise lies in developing innovative algorithms for natural language processing, computer vision, and recommendation systems. I hold a B.Sc. in Physics and a Ph.D. in Statistics and enjoy hiking, free diving, and collecting vintage watches in my spare time. I'm passionate about using my skills to contribute to cutting-edge AI research and development. At GlobalSolTech, I'm proud to be part of a dynamic team driving technological advancement.\n" - ] - } - ], - "source": [ - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "vZv-cVPK_gQt" - }, - "source": [ - "Using Command-R, we can automatically upgrade the original prompt to a RAG-style prompt to get more faithful adherence to the instructions, a clearer and more concise prompt, and in-line citations for free. Consider the following meta-prompt:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "X-ArivFl_G2D" - }, - "outputs": [], - "source": [ - "meta_prompt = f'''Below is a task for an LLM delimited with ## Original Task. Your task is to split that task into two parts: (1) the context; and (2) the instructions.\n", - "The context should be split into several separate parts and returned as a JSON object where each part has a name describing its contents and the value is the contents itself.\n", - "Make sure to include all of the context contained in the original task description and do not change its meaning.\n", - "The instructions should be re-written so that they are very clear and concise. Do not change the meaning of the instructions or task, just make sure they are very direct and clear.\n", - "Return everything in a JSON object with the following structure:\n", - "\n", - "{{\n", - " \"context\": [{{\"\": \"\"}}, ...],\n", - " \"instructions\": \"\"\n", - "}}\n", - "\n", - "## Original Task\n", - "{original_prompt}\n", - "'''" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "iaAHkuOTGTp9", - "outputId": "98f2b664-e2aa-4718-9510-a569723dd1f5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Below is a task for an LLM delimited with ## Original Task. Your task is to split that task into two parts: (1) the context; and (2) the instructions.\n", - "The context should be split into several separate parts and returned as a JSON object where each part has a name describing its contents and the value is the contents itself.\n", - "Make sure to include all of the context contained in the original task description and do not change its meaning.\n", - "The instructions should be re-written so that they are very clear and concise. Do not change the meaning of the instructions or task, just make sure they are very direct and clear.\n", - "Return everything in a JSON object with the following structure:\n", - "\n", - "{\n", - " \"context\": [{\"\": \"\"}, ...],\n", - " \"instructions\": \"\"\n", - "}\n", - "\n", - "## Original Task\n", - "## information\n", - "Current Job Title: Senior Software Engineer\n", - "Current Company Name: GlobalSolTech\n", - "Work Experience: Over 15 years of experience in software engineering, specializing in AI and machine learning. Proficient in Python, C++, and Java, with expertise in developing algorithms for natural language processing, computer vision, and recommendation systems.\n", - "Current Department Name: AI Research and Development\n", - "Education: B.Sc. in Physics from Trent University (2004), Ph.D. in Statistics from HEC in Paris (2010)\n", - "Hobbies: I love hiking in the mountains, free diving, and collecting and restoring vintage world war one mechanical watches.\n", - "Family: Married with 4 children and 3 grandchildren.\n", - "\n", - "## instructions\n", - "Your task is to assist a user in writing a short biography for social media.\n", - "The length of the text should be no more than 100 words.\n", - "Write the summary in first person.\n", - "\n" - ] - } - ], - "source": [ - "print(meta_prompt)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4Ze_pzuD_xAz" - }, - "source": [ - "Command-R returns with the following:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "qxdiM_8I_HQF" - }, - "outputs": [], - "source": [ - "upgraded_prompt = co.chat(\n", - " message=meta_prompt,\n", - " model='command-r',\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "GEB3PEpy_HSs", - "outputId": "e58cb699-a65f-4f80-d6bd-9c01b15d6127" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here is the task delved into a JSON object as requested:\n", - "```json\n", - "{\n", - " \"context\": [\n", - " {\n", - " \"Work Experience\": \"Over 15 years of AI and machine learning engineering experience. Proficient in Python, C++, and Java, with expertise in developing algorithms for natural language processing, computer vision, and recommendation systems.\"\n", - " },\n", - " {\n", - " \"Education\": \"B.Sc. in Physics (Trent University, 2004) and Ph.D. in Statistics (HEC Paris, 2010).\"\n", - " },\n", - " {\n", - " \"Personal Life\": \"I’m a married senior software engineer with 4 children and 3 grandchildren. I enjoy hiking, free diving, and vintage watch restoration.\"\n", - " },\n", - " {\n", - " \"Current Position\": \"I work at GlobalSolTech in the AI Research and Development department as a senior software engineer.\"\n", - " }\n", - " ],\n", - " \"instructions\": \"Using the provided information, write a concise, first-person social media biography of no more than 100 words.\"\n", - "}\n", - "```\n" - ] - } - ], - "source": [ - "print(upgraded_prompt.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "gHHSfczbAAXL" - }, - "source": [ - "To extract the returned information, we will write two simple functions to post-process out the JSON and then parse it." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "ebYeBAoj_HXS" - }, - "outputs": [], - "source": [ - "def get_json(text: str) -> str:\n", - " matches = [m.group(1) for m in re.finditer(\"```([\\w\\W]*?)```\", text)]\n", - " if len(matches):\n", - " postproced = matches[0]\n", - " if postproced[:4] == 'json':\n", - " return postproced[4:]\n", - " return postproced\n", - " return text" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "wSHiCxBr__2X" - }, - "outputs": [], - "source": [ - "def get_prompt_and_docs(text: str) -> tuple:\n", - " json_obj = json.loads(get_json(text))\n", - " prompt = json_obj['instructions']\n", - " docs = []\n", - " for item in json_obj['context']:\n", - " for k,v in item.items():\n", - " docs.append({\"title\": k, \"snippet\": v})\n", - " return prompt, docs" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "mXLJbR8oAI-m" - }, - "outputs": [], - "source": [ - "new_prompt, docs = get_prompt_and_docs(upgraded_prompt.text)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "rQEZ4ZcEAJMG", - "outputId": "7554b49d-a544-45bc-bc9e-d080618a07bb" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "('Using the provided information, write a concise, first-person social media biography of no more than 100 words.',\n", - " [{'title': 'Work Experience',\n", - " 'snippet': 'Over 15 years of AI and machine learning engineering experience. Proficient in Python, C++, and Java, with expertise in developing algorithms for natural language processing, computer vision, and recommendation systems.'},\n", - " {'title': 'Education',\n", - " 'snippet': 'B.Sc. in Physics (Trent University, 2004) and Ph.D. in Statistics (HEC Paris, 2010).'},\n", - " {'title': 'Personal Life',\n", - " 'snippet': 'I’m a married senior software engineer with 4 children and 3 grandchildren. I enjoy hiking, free diving, and vintage watch restoration.'},\n", - " {'title': 'Current Position',\n", - " 'snippet': 'I work at GlobalSolTech in the AI Research and Development department as a senior software engineer.'}])" - ] - }, - "execution_count": 75, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "new_prompt, docs" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lpkkPFXCA95M" - }, - "source": [ - "As we can see above, the new prompt is much more concise and gets right to the point. The context has been split into 4 \"documents\" that Command-R can ground the information to. Now let's run the same task with the new prompt while leveraging the `documents=` parameter. Note that the `docs` variable is a list of dict objects with `title` describing the contents of a text and `snippet` containing the text itself:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "40n-ziCtAJN7" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message=new_prompt,\n", - " model='command-r',\n", - " documents=docs,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "VdGi9H54AJP5", - "outputId": "e6f3b651-b3e4-412d-8a62-636b119d9dba" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I'm a senior software engineer with a Ph.D. in Statistics and over 15 years of AI and machine learning engineering experience. My current focus at GlobalSolTech's AI R&D department is developing algorithms for natural language processing, computer vision, and recommendation systems. In my free time, I enjoy hiking, freediving, and restoring vintage watches, and I'm a married father of four with three grandchildren.\n" - ] - } - ], - "source": [ - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Js3K4sLXBYW3" - }, - "source": [ - "The response is concise. More importantly, we can ensure that there is no hallucination because the text is automatically grounded in the input documents. Using the simple function below, we can add this grounding information to the text as citations:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "n3UffjSNAJRs" - }, - "outputs": [], - "source": [ - "def insert_citations(text: str, citations: list[dict], add_one: bool=False):\n", - " \"\"\"\n", - " A helper function to pretty print citations.\n", - " \"\"\"\n", - " offset = 0\n", - " # Process citations in the order they were provided\n", - " for citation in citations:\n", - " # Adjust start/end with offset\n", - " start, end = citation.start + offset, citation.end + offset\n", - " if add_one:\n", - " cited_docs = [str(int(doc[4:]) + 1) for doc in citation.document_ids]\n", - " else:\n", - " cited_docs = [doc[4:] for doc in citation.document_ids]\n", - " # Shorten citations if they're too long for convenience\n", - " if len(cited_docs) > 3:\n", - " placeholder = \"[\" + \", \".join(cited_docs[:3]) + \"...]\"\n", - " else:\n", - " placeholder = \"[\" + \", \".join(cited_docs) + \"]\"\n", - " # ^ doc[4:] removes the 'doc_' prefix, and leaves the quoted document\n", - " modification = f'{text[start:end]} {placeholder}'\n", - " # Replace the cited text with its bolded version + placeholder\n", - " text = text[:start] + modification + text[end:]\n", - " # Update the offset for subsequent replacements\n", - " offset += len(modification) - (end - start)\n", - "\n", - " return text" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "n-8ZPQYVBtEt", - "outputId": "c24ea218-1862-4463-be13-0f8cbad8e565" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I'm a senior software engineer [3, 4] with a Ph.D. in Statistics [2] and over 15 years of AI and machine learning engineering experience. [1] My current focus at GlobalSolTech's AI R&D department [4] is developing algorithms for natural language processing, computer vision, and recommendation systems. [1] In my free time, I enjoy hiking, freediving, and restoring vintage watches [3], and I'm a married father of four with three grandchildren. [3]\n" - ] - } - ], - "source": [ - "print(insert_citations(response.text, response.citations, True))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yFDXXrf0CRJF" - }, - "source": [ - "Now let's move on to an arguably more difficult problem." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qCl6ifD8tpBW" - }, - "source": [ - "## Legal Question Answering" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "cEdYRffp06d2" - }, - "source": [ - "On March 21st, the DOJ announced that it is [suing apple](https://www.theverge.com/2024/3/21/24107659/apple-doj-lawsuit-antitrust-documents-suing) for anti-competitive practices. The [complaint](https://www.justice.gov/opa/media/1344546/dl) is 88 pages long and consists of about 230 paragraphs of text. To understand what the suit alleges, a common use case would be to ask for a summary. Because Command-R has a context window of 128K, even an 88-page legal complaint fits comfortably within the window." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "ObQvkG-Aq_Aw" - }, - "outputs": [], - "source": [ - "apple = open('data/apple_mod.txt').read()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "5iBgO-XZtsWI", - "outputId": "4af2276f-fac3-4d73-9723-e759a27f9f5b" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "29697" - ] - }, - "execution_count": 14, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "tokens = co.tokenize(text=apple, model='command-r')\n", - "len(tokens.tokens)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LOfIr_O92cIe" - }, - "source": [ - "We can set up a prompt template that allows us to ask questions on the original text." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "csTeS7zx2PCY" - }, - "outputs": [], - "source": [ - "prompt_template = '''\n", - "# Legal Text\n", - "{legal_text}\n", - "\n", - "# Question\n", - "{question}\n", - "'''" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "-Hk_41bi2la5" - }, - "outputs": [], - "source": [ - "question = '''Please summarize the attached legal complaint succinctly. Focus on answering the question: what does the complaint allege?'''\n", - "rendered_prompt = prompt_template.format(legal_text=apple, question=question)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "uGEe_X7H2mI9" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message=rendered_prompt,\n", - " model='command-r',\n", - " temperature=0.3,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "JLRlSA5_2mLb", - "outputId": "63a6cc71-19d6-4382-a1c1-7aa14c889ef6" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The complaint alleges that Apple has violated antitrust laws by engaging in a pattern of anticompetitive conduct to maintain its monopoly power over the U.S. markets for smartphones and performance smartphones. Apple is accused of using its control over app distribution and access to its operating system to impede competition and innovation. Specifically, the company is said to have restricted developers' ability to create certain apps and limited the functionality of others, making it harder for consumers to switch away from iPhones to rival smartphones. This conduct is alleged to have harmed consumers and developers by reducing choice, increasing prices, and stifling innovation. The plaintiffs seek injunctive relief and potential monetary awards to remedy these illegal practices.\n" - ] - } - ], - "source": [ - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7a81dU5U3LIY" - }, - "source": [ - "The summary seems clear enough. But I am interested in the specific allegations that the DOJ makes. For example, skimming the full complaint, it looks like the DOJ is alleging that Apple could encrypt text messages sent to Android phones if it wanted to do so. We can ammend the rendered prompt and ask:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "0DjeUClB2mOW" - }, - "outputs": [], - "source": [ - "question = '''Does the DOJ allege that Apple could encrypt text messages sent to Android phones?'''\n", - "rendered_prompt = prompt_template.format(legal_text=apple, question=question)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "1nRvWhRj88Io" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message=rendered_prompt,\n", - " model='command-r',\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Cvp8jQDt88Qi", - "outputId": "95db3931-fa98-4188-9481-b7e574d036d5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Yes, the DOJ alleges that Apple could allow iPhone users to send encrypted messages to Android users while still using iMessage on their iPhones but chooses not to do so. According to the DOJ, this would instantly improve the privacy and security of iPhones and other smartphones.\n" - ] - } - ], - "source": [ - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wTqGg4rw90-y" - }, - "source": [ - "This is a very interesting allegation that at first glance suggests that the model could be hallucinating. Because RAG has been shown to help reduce hallucinations and grounds its responses in the input text, we should convert this prompt to the RAG style paradigm to gain confidence in its response.\n", - "\n", - "While previously we asked Command-R to chunk the text for us, the legal complaint is highly structured with numbered paragraphs so we can use the following function to break the complaint into input docs ready for RAG:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "QztSRuzytuS7" - }, - "outputs": [], - "source": [ - "def chunk_doc(input_doc: str) -> list:\n", - " chunks = []\n", - " current_para = 'Preamble'\n", - " current_chunk = ''\n", - " # pattern to find an integer number followed by a dot (finding the explicitly numbered paragraph numbers)\n", - " pattern = r'^\\d+\\.$'\n", - "\n", - " for line in input_doc.splitlines():\n", - " if re.match(pattern, line):\n", - " chunks.append((current_para.replace('.', ''), current_chunk))\n", - " current_chunk = ''\n", - " current_para = line\n", - " else:\n", - " current_chunk += line + '\\n'\n", - "\n", - " docs = []\n", - " for chunk in chunks:\n", - " docs.append({\"title\": chunk[0], \"snippet\": chunk[1]})\n", - "\n", - " return docs" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "qDtlhxtIDeaD" - }, - "outputs": [], - "source": [ - "chunks = chunk_doc(apple)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "jTya5ti9DizD", - "outputId": "b545d55a-146b-47b5-9e6b-8173e8325141" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'title': '18', 'snippet': '\\nProtecting competition and the innovation that competition inevitably ushers in\\nfor consumers, developers, publishers, content creators, and device manufacturers is why\\nPlaintiffs bring this lawsuit under Section 2 of the Sherman Act to challenge Apple’s\\nmaintenance of its monopoly over smartphone markets, which affect hundreds of millions of\\nAmericans every day. Plaintiffs bring this case to rid smartphone markets of Apple’s\\nmonopolization and exclusionary conduct and to ensure that the next generation of innovators\\ncan upend the technological world as we know it with new and transformative technologies.\\n\\n\\nII.\\n\\nDefendant Apple\\n\\n'}\n" - ] - } - ], - "source": [ - "# example: show the 18th chunk\n", - "print(chunks[18])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-ouAZC-iD1-o" - }, - "source": [ - "We can now try the same question but ask it directly to Command-R with the chunks as grounding information." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "IILyU94CDlQu" - }, - "outputs": [], - "source": [ - "response = co.chat(\n", - " message='''Does the DOJ allege that Apple could encrypt text messages sent to Android phones?''',\n", - " model='command-r',\n", - " documents=chunks,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "yJclGbq2D-38", - "outputId": "10f787a4-9c15-455b-eef2-16645a211c77" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Yes, according to the DOJ, Apple could encrypt text messages sent from iPhones to Android phones. The DOJ claims that Apple degrades the security and privacy of its users by impeding cross-platform encryption and preventing developers from fixing the broken cross-platform messaging experience. Apple's conduct makes it harder to switch from iPhone to Android, as messages sent from iPhones to Android phones are unencrypted.\n" - ] - } - ], - "source": [ - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "3qIa3ALlET3S" - }, - "source": [ - "The responses seem similar, but we should add citations and check the citation to get confidence in the response." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "WLp2RzU4EO7B", - "outputId": "38266cbf-1fc7-47aa-b1e5-e727e077c331" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Yes, according to the DOJ, Apple could encrypt text messages sent from iPhones to Android phones. [144] The DOJ claims that Apple degrades the security and privacy [144] of its users by impeding cross-platform encryption [144] and preventing developers from fixing the broken cross-platform messaging experience. [93] Apple's conduct makes it harder to switch from iPhone to Android [144], as messages sent from iPhones to Android phones are unencrypted. [144]\n" - ] - } - ], - "source": [ - "print(insert_citations(response.text, response.citations))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bBPZqjjFE_4f" - }, - "source": [ - "The most important passage seems to be paragraph 144. Paragraph 93 is also cited. Let's check what they contain." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "6AM_xdHQEdvW", - "outputId": "1e17f5d6-ebc4-4c90-f41c-48d4b766fb82" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "Apple is also willing to make the iPhone less secure and less private if that helps\n", - "maintain its monopoly power. For example, text messages sent from iPhones to Android phones\n", - "are unencrypted as a result of Apple’s conduct. If Apple wanted to, Apple could allow iPhone\n", - "users to send encrypted messages to Android users while still using iMessage on their iPhone,\n", - "which would instantly improve the privacy and security of iPhone and other smartphone users.\n", - "\n", - "\n" - ] - } - ], - "source": [ - "print(chunks[144]['snippet'])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "jDBfehaJFIl5", - "outputId": "e20355ae-19b2-4d66-a013-97a431805d88" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "Recently, Apple blocked a third-party developer from fixing the broken cross-\n", - "platform messaging experience in Apple Messages and providing end-to-end encryption for\n", - "messages between Apple Messages and Android users. By rejecting solutions that would allow\n", - "for cross-platform encryption, Apple continues to make iPhone users’ less secure than they could\n", - "otherwise be.\n", - "\n", - "ii.\n", - "\n", - "\n" - ] - } - ], - "source": [ - "print(chunks[93]['snippet'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8R8EeVf5FSR2" - }, - "source": [ - "Paragraph 144 indeed contains the important allegation: **If Apple wanted to, Apple could allow iPhone users to send encrypted messages to Android users**." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DCt5OR2dHOWS" - }, - "source": [ - "# Conclusion\n", - "\n", - "In this cookbook we have shown how one can easily take an existing monolithic prompt and migrate it to the RAG paradigm to get less hallucination, grounded information, and in-line citations. We also demonstrated Command-R's ability to re-write an instruction prompt in a single shot to make it more concise and potentially lead to higher quality completions." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "0vTsJc-ZHleg" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.13" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Migrating_Monolithic_Prompts_to_Command_R_with_RAG.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Multilingual_Search_with_Cohere_and_Langchain.ipynb b/notebooks/guides/Multilingual_Search_with_Cohere_and_Langchain.ipynb index 83eedfc6..9e98aa66 100644 --- a/notebooks/guides/Multilingual_Search_with_Cohere_and_Langchain.ipynb +++ b/notebooks/guides/Multilingual_Search_with_Cohere_and_Langchain.ipynb @@ -1,3687 +1,18 @@ { - "cells": [ - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "5WNncDXelfhy" - }, - "source": [ - "# Multilingual Search with Cohere and Langchain" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "PGbjnPUwH8tp" - }, - "source": [ - "***Read the accompanying [blog post here](https://txt.cohere.ai/search-cohere-langchain/).***" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "mjR6RAkgf6EM" - }, - "source": [ - "This notebook contains two examples for performing multilingual search using Cohere and Langchain. Langchain is a library that assists the development of applications built on top of large language models (LLMs), such as Cohere's models.\n", - "\n", - "In short, Cohere makes it easy for developers to leverage LLMs and Langchain makes it easy to build applications with these models.\n", - "\n", - "We'll go through the following examples:\n", - "- **Example 1 - Basic Multilingual Search**\n", - "\n", - " This is a simple example of multilingual search over a list of documents.\n", - "\n", - " The steps in summary:\n", - " - Import a list of documents\n", - " - Embed the documents and store them in an index\n", - " - Enter a query\n", - " - Return the document most similar to the query\n", - "- **Example 2 - Search-Based Question Answering**\n", - "\n", - " This example shows a more involved example where search is combined with text generation to answer questions about long-form documents.\n", - "\n", - " The steps in summary:\n", - " - Add an article and chunk it into smaller passages\n", - " - Embed the passages and store them in an index\n", - " - Enter a question\n", - " - Answer the question based on the most relevant documents" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "sDhfWxSaEOpS" - }, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"", -"! pip install \"cohere<5\" langchain qdrant-client tfds-nightly python-dotenv > /dev/null" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "LDkRgKDwEWWy", - "outputId": "6afa8b14-f88f-4984-f9cb-15a332a4eebf" - }, - "outputs": [ + "cells": [ { - "data": { - "text/plain": [ - "True" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Multilingual_Search_with_Cohere_and_Langchain.ipynb." ] - }, - "execution_count": 3, - "metadata": {}, - "output_type": "execute_result" } - ], - "source": [ - "from langchain.embeddings.cohere import CohereEmbeddings\n", - "from langchain.llms import Cohere\n", - "from langchain.prompts import PromptTemplate\n", - "from langchain.text_splitter import RecursiveCharacterTextSplitter\n", - "from langchain.chains.question_answering import load_qa_chain\n", - "from langchain.chains import RetrievalQA\n", - "from langchain.vectorstores import Qdrant\n", - "from langchain.document_loaders import TextLoader\n", - "import textwrap as tr\n", - "import random\n", - "import dotenv\n", - "import os\n", - "\n", - "dotenv.load_dotenv(\".env\") # Upload an '.env' file containing an environment variable named 'COHERE_API_KEY' using your Cohere API Key" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "oHUJHp6dZxG2" - }, - "source": [ - "# Example 1 - Basic Multilingual Search" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "U111MGZvhM7O" - }, - "source": [ - "![Example-1---Basic-Multilingual-Search.png](data:image/png;base64,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)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "PVTkZXLsHiVs" - }, - "source": [ - "### Import a list of documents" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 187, - "referenced_widgets": [ - "7c8e1e4eee714bc086c3f84eee5941fc", - "4334b4f6fc7048eab0d146b6ffc437d1", - "c8e13e321a9a4e0b88c717a1a8065619", - "c1f14f69e97f44598d9c4f8d789131fb", - "25a079a3d58644f4a5306ee2cb42dbde", - "be8b3f4e73fc44f390e9a147df786e53", - "3bda8233967642229fe778b4a8402f52", - "c37f294926f945898096874d324ee2ae", - "941ab80a14aa4a1bb3943dd77aca85d7", - "1f1331ab4e734cdaa66cf28d7278f980", - "d773c0f65a014ae08f533bf2348c1831", - "4cf6a31b3be945cbb82f8239e7e2642e", - "7fd82914b89343538aa08b36f4752f4b", - "35132c00838046bca253f31350a3190b", - "190a428569a74beb8b90aa278c629bd2", - "01545efb5b78418791ce0f8c763873ce", - "d426d4b5b86c4582a17bf21fe5f021d4", - "2d69c8378b194aa3a8634848da5ee79a", - "88ff8d07f6ce430db2772b8bf24294e8", - "f5e168c246714295a10a64fbb1ef2ee3", - "6d1ea14b22034d2d9ab16aa5a24566dc", - "6c0193f68df143e0a7907c6a577b07a6", - "7fa8f3f5c00c493bb7283bc90c33df5e", - "59f2ed0257d6422d84fa23898e5d9a10", - "9236baef198c44ae8607c7888e899bc8", - "3647d22aaf934b53bf925dc22e2ce69a", - "687cfefc80a54edd84eb22baf805c905", - "533f3a17f8d1442490a2d6167d89550b", - "ce82f835dd2b45a181a53407505b0cfc", - "02e46682b2434a0c872f35db3d7b367e", - "3da4f3751cb54cf2938aea924ab33aa0", - "89f757fbb1ef4fa8b557c04926871096", - "94ebb17c331649fc812a66231532ee03", - "df522159441841cda1f97d63aab5ad9e", - "a9f4707824454e35b2273412c4586b8d", - "d849ae343e51419c803c29c24a16dd01", - "65b7f23304a243878c43ea391885ee85", - "85f4ab947d774115baf64332f64248bd", - "efa03832003244a490cfcb34edf7ccbe", - "9e46610e715444cbbc2f978a0acd0ed0", - "3948a575b3ee4a199f1055e93d8270ee", - "2ee59cbc091346168c3b4511f64b018a", - "c5296022707240b393be4146e983083c", - "8653f9b6a25446cc8d7d34ffd4e48ca8", - "a61d6191c53e4dd2a1cbdb9826f5ae70", - "e868b829ec7a4795955034ebb8b109a6", - "1385286d855a46c8b19704a95e5992b4", - "b7bbc695e0f248a0ac1e79a1d546df8e", - "034072a591b94d3daf4284aadcff935f", - "d24e60c7e4664e0ba11cce85c647e526", - "23bbe3c6dfcb42c7a0a1c826bc35076d", - "5cf957caabe043139dc587e8651a28b1", - "bfb987eb24644819ba52b2fc7bc865de", - "8e7d772e693c427c9bcfc7535a524979", - "d646a9a4cc5a4876a7fa185bf9eb3ccf", - "09c17a96b5534b78b1d9bfc2f7bc83d5", - "735bfe1c1ff6402d826257313d68b21b", - "df1b4d3501ed457b86b60a5449d1e1da", - "771c7097b5be45ffac31e70117376903", - "996f65fcf7a04703868717652927e5e2", - "5edafe62c55449709c304c11dc847e82", - "5ed53479e65749a68e2ae1f70fff1db0", - "b04f406f1a2a46818d034a80d13f6664", - "fcc06cd646ff425b9172312808dd3e24", - "d9dd5b64f0ee40359a4c577f4358248f", - "baf658cc369745b7bad7f18302e385d9", - "b07ffeedc45a4fe9919f99d71a2ea3f2", - "211f7336a3b9455f8cd67bd0ee4113ae", - "2bd5fe14d3d44d85be32c5c78f5b7568", - "6850b87ff2fa49eb9fbfb3c3492efbb3", - "6def2ecb657845a3bae18bad85950991", - "68bf09957e83431b8d299f1ab15d5f7e", - "28adfb59f3e24851ba617e0087e5293f", - "10ca522adeca4ba4bb2d4f9373a363f4", - "5f9f737d68234b9a9cd069105ab20ea2", - "d298dc9202dc49aba09b66969b3d19f9", - "6e09dadf883440038a7a47f0d9723ae6", - "cd23755e6122427e9d95eb808b7900c2", - "62eb05321e2d4265b033544921f92053", - "3627640fb7d14ac09c9fdcbfb2159152", - "c05f2a082d5e4a0c8f3b9b6952eff208", - "bf6033cd5d0c4ef5ac934ed6e8adaeb6", - "463628ae5afc4c8f9a51f2c4ed038d12", - "1ac7e3656e43480c8af4038d2e7d5432", - "6e600a0c3f36439899b40cdc53ed7c8c", - "672ec8abe8b34b8dab6d4af20f0302c7", - "57cf77bba44141359639c0268ced8934", - "6a7d1421176f4b62af003a4b2f18815b" - ] - }, - "id": "WN-sQquOb4VQ", - "outputId": "f547e445-3b89-4b87-f9a3-7f7fa08053f4" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Downloading and preparing dataset 350.79 KiB (download: 350.79 KiB, generated: 636.90 KiB, total: 987.69 KiB) to /root/tensorflow_datasets/trec/1.0.0...\n" - ] - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "7c8e1e4eee714bc086c3f84eee5941fc", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Dl Completed...: 0 url [00:00, ? url/s]" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "4cf6a31b3be945cbb82f8239e7e2642e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Dl Size...: 0 MiB [00:00, ? MiB/s]" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "7fa8f3f5c00c493bb7283bc90c33df5e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Extraction completed...: 0 file [00:00, ? file/s]" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "df522159441841cda1f97d63aab5ad9e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Generating splits...: 0%| | 0/2 [00:00] 11.71K --.-KB/s in 0s \n", - "\n", - "2023-06-08 06:11:20 (115 MB/s) - ‘steve-jobs-commencement.txt’ saved [11993/11993]\n", - "\n" - ] - } - ], - "source": [ - "# We'll use Steve Jobs' Stanford University commencement address as the example. Link: https://news.stanford.edu/2005/06/12/youve-got-find-love-jobs-says/\n", - "\n", - "!wget 'https://docs.google.com/uc?export=download&id=1f1INWOfJrHTFmbyF_0be5b4u_moz3a4F' -O steve-jobs-commencement.txt" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "obv6NbUcNfB_" - }, - "outputs": [], - "source": [ - "loader = TextLoader(\"steve-jobs-commencement.txt\")\n", - "documents = loader.load()\n", - "text_splitter = RecursiveCharacterTextSplitter(chunk_size=500, chunk_overlap=0)\n", - "texts = text_splitter.split_documents(documents)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "DHvoF9CB9apc" - }, - "source": [ - "## Embed the passages and store them in an index" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "11Bx0k2LGIH-" - }, - "outputs": [], - "source": [ - "embeddings = CohereEmbeddings(model = \"multilingual-22-12\")\n", - "db = Qdrant.from_documents(texts, embeddings, location=\":memory:\", collection_name=\"my_documents\", distance_func=\"Dot\")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "xQsrDUQo9poh" - }, - "source": [ - "## Enter a question" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "SW90c8kOqdow" - }, - "outputs": [], - "source": [ - "questions = [\n", - " \"What did the author liken The Whole Earth Catalog to?\",\n", - " \"What was Reed College great at?\",\n", - " \"What was the author diagnosed with?\",\n", - " \"What is the key lesson from this article?\",\n", - " \"What did the article say about Michael Jackson?\",\n", - " ]" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "HXhkK22JvNa1" - }, - "source": [ - "## Answer the question based on the most relevant documents\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "-dgBvF5HI9Wd" - }, - "outputs": [], - "source": [ - "# Create our own prompt template\n", - "\n", - "prompt_template = \"\"\"Text: {context}\n", - "\n", - "Question: {question}\n", - "\n", - "Answer the question based on the text provided. If the text doesn't contain the answer, reply that the answer is not available.\"\"\"\n", - "\n", - "PROMPT = PromptTemplate(\n", - " template=prompt_template, input_variables=[\"context\", \"question\"]\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "8wa_YjzpcEAE", - "outputId": "31120ad3-034a-42f1-96a3-7454cd68ade5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "------------------------------------------------------------------------------------------------------------------------------------------------------ \n", - "\n", - "Question: What did the author liken The Whole Earth Catalog to?\n", - "Answer: It was sort of like Google in paperback form, 35 years before Google came along\n", - "\n", - "Sources:\n", - "1: When I was young, there was an amazing publication called The Whole Earth Catalog, which was one of the bibles of my generation. It was created by a\n", - "fellow named Stewart Brand not far from here in Menlo Park, and he brought it to life with his poetic touch. This was in the late 1960s, before\n", - "personal computers and desktop publishing, so it was all made with typewriters, scissors and Polaroid cameras. It was sort of like Google in paperback\n", - "form, 35 years before Google came along: It was\n", - "2: Stewart and his team put out several issues of The Whole Earth Catalog, and then when it had run its course, they put out a final issue. It was the\n", - "mid-1970s, and I was your age. On the back cover of their final issue was a photograph of an early morning country road, the kind you might find\n", - "yourself hitchhiking on if you were so adventurous. Beneath it were the words: “Stay Hungry. Stay Foolish.” It was their farewell message as they\n", - "signed off. Stay Hungry. Stay Foolish. And I have always\n", - "3: idealistic, and overflowing with neat tools and great notions.\n", - "4: beautiful, historical, artistically subtle in a way that science can’t capture, and I found it fascinating.\n", - "------------------------------------------------------------------------------------------------------------------------------------------------------ \n", - "\n", - "Question: What was Reed College great at?\n", - "Answer: Reed College was great at calligraphy instruction.\n", - "\n", - "Sources:\n", - "1: Reed College at that time offered perhaps the best calligraphy instruction in the country. Throughout the campus every poster, every label on every\n", - "drawer, was beautifully hand calligraphed. Because I had dropped out and didn’t have to take the normal classes, I decided to take a calligraphy class\n", - "to learn how to do this. I learned about serif and sans serif typefaces, about varying the amount of space between different letter combinations,\n", - "about what makes great typography great. It was\n", - "2: I dropped out of Reed College after the first 6 months, but then stayed around as a drop-in for another 18 months or so before I really quit. So why\n", - "did I drop out?\n", - "3: never dropped out, I would have never dropped in on this calligraphy class, and personal computers might not have the wonderful typography that they\n", - "do. Of course it was impossible to connect the dots looking forward when I was in college. But it was very, very clear looking backward 10 years\n", - "later.\n", - "4: OK. It was pretty scary at the time, but looking back it was one of the best decisions I ever made. The minute I dropped out I could stop taking the\n", - "required classes that didn’t interest me, and begin dropping in on the ones that looked interesting.\n", - "------------------------------------------------------------------------------------------------------------------------------------------------------ \n", - "\n", - "Question: What was the author diagnosed with?\n", - "Answer: The author was diagnosed with cancer.\n", - "\n", - "Sources:\n", - "1: I lived with that diagnosis all day. Later that evening I had a biopsy, where they stuck an endoscope down my throat, through my stomach and into my\n", - "intestines, put a needle into my pancreas and got a few cells from the tumor. I was sedated, but my wife, who was there, told me that when they viewed\n", - "the cells under a microscope the doctors started crying because it turned out to be a very rare form of pancreatic cancer that is curable with\n", - "surgery. I had the surgery and I’m fine now.\n", - "2: About a year ago I was diagnosed with cancer. I had a scan at 7:30 in the morning, and it clearly showed a tumor on my pancreas. I didn’t even know\n", - "what a pancreas was. The doctors told me this was almost certainly a type of cancer that is incurable, and that I should expect to live no longer than\n", - "three to six months. My doctor advised me to go home and get my affairs in order, which is doctor’s code for prepare to die. It means to try to tell\n", - "your kids everything you thought you’d have the\n", - "3: Stewart and his team put out several issues of The Whole Earth Catalog, and then when it had run its course, they put out a final issue. It was the\n", - "mid-1970s, and I was your age. On the back cover of their final issue was a photograph of an early morning country road, the kind you might find\n", - "yourself hitchhiking on if you were so adventurous. Beneath it were the words: “Stay Hungry. Stay Foolish.” It was their farewell message as they\n", - "signed off. Stay Hungry. Stay Foolish. And I have always\n", - "4: beautiful, historical, artistically subtle in a way that science can’t capture, and I found it fascinating.\n", - "------------------------------------------------------------------------------------------------------------------------------------------------------ \n", - "\n", - "Question: What is the key lesson from this article?\n", - "Answer: The key lesson from this article is that you have to trust that the dots will somehow connect in your future. You have to trust in something -- your gut, destiny, life, karma, whatever. This approach has never let me down, and it has made all the difference in my life.\n", - "\n", - "Sources:\n", - "1: Again, you can’t connect the dots looking forward; you can only connect them looking backward. So you have to trust that the dots will somehow connect\n", - "in your future. You have to trust in something — your gut, destiny, life, karma, whatever. This approach has never let me down, and it has made all\n", - "the difference in my life. My second story is about love and loss.\n", - "2: Remembering that I’ll be dead soon is the most important tool I’ve ever encountered to help me make the big choices in life. Because almost everything\n", - "— all external expectations, all pride, all fear of embarrassment or failure — these things just fall away in the face of death, leaving only what is\n", - "truly important. Remembering that you are going to die is the best way I know to avoid the trap of thinking you have something to lose. You are\n", - "already naked. There is no reason not to follow your\n", - "3: Your time is limited, so don’t waste it living someone else’s life. Don’t be trapped by dogma — which is living with the results of other people’s\n", - "thinking. Don’t let the noise of others’ opinions drown out your own inner voice. And most important, have the courage to follow your heart and\n", - "intuition. They somehow already know what you truly want to become. Everything else is secondary.\n", - "4: I really didn’t know what to do for a few months. I felt that I had let the previous generation of entrepreneurs down — that I had dropped the baton\n", - "as it was being passed to me. I met with David Packard and Bob Noyce and tried to apologize for screwing up so badly. I was a very public failure, and\n", - "I even thought about running away from the valley. But something slowly began to dawn on me — I still loved what I did. The turn of events at Apple\n", - "had not changed that one bit. I had been rejected,\n", - "------------------------------------------------------------------------------------------------------------------------------------------------------ \n", - "\n", - "Question: What did the article say about Michael Jackson?\n", - "Answer: The text did not provide information about Michael Jackson.\n", - "\n", - "Sources:\n", - "1: baby boy; do you want him?” They said: “Of course.” My biological mother later found out that my mother had never graduated from college and that my\n", - "father had never graduated from high school. She refused to sign the final adoption papers. She only relented a few months later when my parents\n", - "promised that I would someday go to college.\n", - "2: beautiful, historical, artistically subtle in a way that science can’t capture, and I found it fascinating.\n", - "3: I really didn’t know what to do for a few months. I felt that I had let the previous generation of entrepreneurs down — that I had dropped the baton\n", - "as it was being passed to me. I met with David Packard and Bob Noyce and tried to apologize for screwing up so badly. I was a very public failure, and\n", - "I even thought about running away from the valley. But something slowly began to dawn on me — I still loved what I did. The turn of events at Apple\n", - "had not changed that one bit. I had been rejected,\n", - "4: This was the closest I’ve been to facing death, and I hope it’s the closest I get for a few more decades. Having lived through it, I can now say this\n", - "to you with a bit more certainty than when death was a useful but purely intellectual concept:\n" - ] - } - ], - "source": [ - "chain_type_kwargs = {\"prompt\": PROMPT}\n", - "\n", - "qa = RetrievalQA.from_chain_type(llm=Cohere(model=\"command\", temperature=0), \n", - " chain_type=\"stuff\", \n", - " retriever=db.as_retriever(), \n", - " chain_type_kwargs=chain_type_kwargs, \n", - " return_source_documents=True)\n", - "\n", - "for question in questions:\n", - " answer = qa({\"query\": question})\n", - " result = answer[\"result\"].replace(\"\\n\",\"\").replace(\"Answer:\",\"\")\n", - " sources = answer['source_documents']\n", - " print(\"-\"*150,\"\\n\")\n", - " print(f\"Question: {question}\")\n", - " print(f\"Answer: {result}\")\n", - "\n", - " ### COMMENT OUT THE 4 LINES BELOW TO HIDE THE SOURCES\n", - " print(f\"\\nSources:\")\n", - " for idx, source in enumerate(sources):\n", - " source_wrapped = tr.fill(str(source.page_content), width=150)\n", - " print(f\"{idx+1}: {source_wrapped}\")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "id": "bX77dCbuCxCu" - }, - "source": [ - "## Questions in French" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "rg29qxkPCdJL" - }, - "outputs": [], - "source": [ - "questions_fr = [\n", - " \"À quoi se compare The Whole Earth Catalog ?\",\n", - " \"Dans quoi Reed College était-il excellent ?\",\n", - " \"De quoi l'auteur a-t-il été diagnostiqué ?\",\n", - " \"Quelle est la leçon clé de cet article ?\",\n", - " \"Que disait l'article sur Michael Jackson ?\",\n", - " ]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "EFuLtdgy5aZw" - }, - "outputs": [], - "source": [ - "# import langchain\n", - "# langchain.debug = False" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "La7K_N7UTRdb", - "outputId": "8931bf1c-afe6-4b80-8979-841456e79a01" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "-------------------- \n", - "\n", - "Question: À quoi se compare The Whole Earth Catalog ?\n", - "Answer: The Whole Earth Catalog was like Google in paperback form, 35 years before Google came along.\n", - "-------------------- \n", - "\n", - "Question: Dans quoi Reed College était-il excellent ?\n", - "Answer: Reed College offered the best calligraphy instruction in the country.\n", - "-------------------- \n", - "\n", - "Question: De quoi l'auteur a-t-il été diagnostiqué ?\n", - "Answer: The author was diagnosed with a very rare form of pancreatic cancer that is curable with surgery.\n", - "-------------------- \n", - "\n", - "Question: Quelle est la leçon clé de cet article ?\n", - "Answer: The key lesson of this article is that remembering that you will die soon is the most important tool to help one make the big choices in life.\n", - "-------------------- \n", - "\n", - "Question: Que disait l'article sur Michael Jackson ?\n", - "Answer: The text does not contain the answer to the question.\n" - ] - } - ], - "source": [ - "# Generate the answer given the context\n", - "\n", - "chain_type_kwargs = {\"prompt\": PROMPT}\n", - "\n", - "qa = RetrievalQA.from_chain_type(llm=Cohere(model=\"command\", temperature=0), \n", - " chain_type=\"stuff\", \n", - " retriever=db.as_retriever(), \n", - " chain_type_kwargs=chain_type_kwargs, \n", - " return_source_documents=True)\n", - "\n", - "for question in questions_fr:\n", - " answer = qa({\"query\": question})\n", - " result = answer[\"result\"].replace(\"\\n\",\"\").replace(\"Answer:\",\"\")\n", - " sources = answer['source_documents']\n", - " print(\"-\"*20,\"\\n\")\n", - " print(f\"Question: {question}\")\n", - " print(f\"Answer: {result}\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "06UhYMFdoi0A" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "accelerator": "GPU", - "colab": { - "machine_shape": "hm", - "provenance": [] - }, - "gpuClass": "standard", - "kernelspec": { - "display_name": "Python 3.10.5 64-bit ('3.10.5')", - "language": "python", - "name": "python3" - }, - "language_info": { - "name": "python", - "version": "3.10.5" - }, - "vscode": { - "interpreter": { - "hash": "3a0ab37a1f07e7d320af811f0819b193749e9675a96eea7a4830e92d810d141d" - } - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "01545efb5b78418791ce0f8c763873ce": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "02e46682b2434a0c872f35db3d7b367e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "034072a591b94d3daf4284aadcff935f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": "hidden", - "width": null - } - }, - "09c17a96b5534b78b1d9bfc2f7bc83d5": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_735bfe1c1ff6402d826257313d68b21b", - "IPY_MODEL_df1b4d3501ed457b86b60a5449d1e1da", - "IPY_MODEL_771c7097b5be45ffac31e70117376903" - ], - "layout": "IPY_MODEL_996f65fcf7a04703868717652927e5e2" - } - }, - "10ca522adeca4ba4bb2d4f9373a363f4": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "1385286d855a46c8b19704a95e5992b4": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_5cf957caabe043139dc587e8651a28b1", - "max": 5452, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_bfb987eb24644819ba52b2fc7bc865de", - "value": 5452 - } - }, - "190a428569a74beb8b90aa278c629bd2": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_6d1ea14b22034d2d9ab16aa5a24566dc", - "placeholder": "​", - "style": "IPY_MODEL_6c0193f68df143e0a7907c6a577b07a6", - "value": " 0/0 [00:04<?, ? MiB/s]" - } - }, - "1ac7e3656e43480c8af4038d2e7d5432": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "1f1331ab4e734cdaa66cf28d7278f980": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "211f7336a3b9455f8cd67bd0ee4113ae": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_68bf09957e83431b8d299f1ab15d5f7e", - "placeholder": "​", - "style": "IPY_MODEL_28adfb59f3e24851ba617e0087e5293f", - "value": "Generating test examples...: 0%" - } - }, - "23bbe3c6dfcb42c7a0a1c826bc35076d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "25a079a3d58644f4a5306ee2cb42dbde": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "28adfb59f3e24851ba617e0087e5293f": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "2bd5fe14d3d44d85be32c5c78f5b7568": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_10ca522adeca4ba4bb2d4f9373a363f4", - "max": 500, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_5f9f737d68234b9a9cd069105ab20ea2", - "value": 500 - } - }, - "2d69c8378b194aa3a8634848da5ee79a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "2ee59cbc091346168c3b4511f64b018a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "35132c00838046bca253f31350a3190b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_88ff8d07f6ce430db2772b8bf24294e8", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_f5e168c246714295a10a64fbb1ef2ee3", - "value": 0 - } - }, - "3627640fb7d14ac09c9fdcbfb2159152": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_6e600a0c3f36439899b40cdc53ed7c8c", - "max": 500, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_672ec8abe8b34b8dab6d4af20f0302c7", - "value": 500 - } - }, - "3647d22aaf934b53bf925dc22e2ce69a": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_89f757fbb1ef4fa8b557c04926871096", - "placeholder": "​", - "style": "IPY_MODEL_94ebb17c331649fc812a66231532ee03", - "value": " 0/0 [00:04<?, ? file/s]" - } - }, - "3948a575b3ee4a199f1055e93d8270ee": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "3bda8233967642229fe778b4a8402f52": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "3da4f3751cb54cf2938aea924ab33aa0": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "4334b4f6fc7048eab0d146b6ffc437d1": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_be8b3f4e73fc44f390e9a147df786e53", - "placeholder": "​", - "style": "IPY_MODEL_3bda8233967642229fe778b4a8402f52", - "value": "Dl Completed...: 100%" - } - }, - "463628ae5afc4c8f9a51f2c4ed038d12": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "4cf6a31b3be945cbb82f8239e7e2642e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_7fd82914b89343538aa08b36f4752f4b", - "IPY_MODEL_35132c00838046bca253f31350a3190b", - "IPY_MODEL_190a428569a74beb8b90aa278c629bd2" - ], - "layout": "IPY_MODEL_01545efb5b78418791ce0f8c763873ce" - } - }, - "533f3a17f8d1442490a2d6167d89550b": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "57cf77bba44141359639c0268ced8934": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "59f2ed0257d6422d84fa23898e5d9a10": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_533f3a17f8d1442490a2d6167d89550b", - "placeholder": "​", - "style": "IPY_MODEL_ce82f835dd2b45a181a53407505b0cfc", - "value": "Extraction completed...: " - } - }, - "5cf957caabe043139dc587e8651a28b1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5ed53479e65749a68e2ae1f70fff1db0": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "5edafe62c55449709c304c11dc847e82": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5f9f737d68234b9a9cd069105ab20ea2": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "62eb05321e2d4265b033544921f92053": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_463628ae5afc4c8f9a51f2c4ed038d12", - "placeholder": "​", - "style": "IPY_MODEL_1ac7e3656e43480c8af4038d2e7d5432", - "value": "Shuffling /root/tensorflow_datasets/trec/1.0.0.incompleteWOR5EP/trec-test.tfrecord*...: 0%" - } - }, - "65b7f23304a243878c43ea391885ee85": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_c5296022707240b393be4146e983083c", - "placeholder": "​", - "style": "IPY_MODEL_8653f9b6a25446cc8d7d34ffd4e48ca8", - "value": " 1/2 [00:00<00:00, 2.06 splits/s]" - } - }, - "672ec8abe8b34b8dab6d4af20f0302c7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "6850b87ff2fa49eb9fbfb3c3492efbb3": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d298dc9202dc49aba09b66969b3d19f9", - "placeholder": "​", - "style": "IPY_MODEL_6e09dadf883440038a7a47f0d9723ae6", - "value": " 0/500 [00:00<?, ? examples/s]" - } - }, - "687cfefc80a54edd84eb22baf805c905": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "68bf09957e83431b8d299f1ab15d5f7e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6a7d1421176f4b62af003a4b2f18815b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "6c0193f68df143e0a7907c6a577b07a6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "6d1ea14b22034d2d9ab16aa5a24566dc": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6def2ecb657845a3bae18bad85950991": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": "hidden", - "width": null - } - }, - "6e09dadf883440038a7a47f0d9723ae6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "6e600a0c3f36439899b40cdc53ed7c8c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "735bfe1c1ff6402d826257313d68b21b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_5edafe62c55449709c304c11dc847e82", - "placeholder": "​", - "style": "IPY_MODEL_5ed53479e65749a68e2ae1f70fff1db0", - "value": "Shuffling /root/tensorflow_datasets/trec/1.0.0.incompleteWOR5EP/trec-train.tfrecord*...: 0%" - } - }, - "771c7097b5be45ffac31e70117376903": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d9dd5b64f0ee40359a4c577f4358248f", - "placeholder": "​", - "style": "IPY_MODEL_baf658cc369745b7bad7f18302e385d9", - "value": " 0/5452 [00:00<?, ? examples/s]" - } - }, - "7c8e1e4eee714bc086c3f84eee5941fc": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_4334b4f6fc7048eab0d146b6ffc437d1", - "IPY_MODEL_c8e13e321a9a4e0b88c717a1a8065619", - "IPY_MODEL_c1f14f69e97f44598d9c4f8d789131fb" - ], - "layout": "IPY_MODEL_25a079a3d58644f4a5306ee2cb42dbde" - } - }, - "7fa8f3f5c00c493bb7283bc90c33df5e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_59f2ed0257d6422d84fa23898e5d9a10", - "IPY_MODEL_9236baef198c44ae8607c7888e899bc8", - "IPY_MODEL_3647d22aaf934b53bf925dc22e2ce69a" - ], - "layout": "IPY_MODEL_687cfefc80a54edd84eb22baf805c905" - } - }, - "7fd82914b89343538aa08b36f4752f4b": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d426d4b5b86c4582a17bf21fe5f021d4", - "placeholder": "​", - "style": "IPY_MODEL_2d69c8378b194aa3a8634848da5ee79a", - "value": "Dl Size...: " - } - }, - "85f4ab947d774115baf64332f64248bd": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": "hidden", - "width": null - } - }, - "8653f9b6a25446cc8d7d34ffd4e48ca8": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "88ff8d07f6ce430db2772b8bf24294e8": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "89f757fbb1ef4fa8b557c04926871096": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "8e7d772e693c427c9bcfc7535a524979": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "9236baef198c44ae8607c7888e899bc8": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_02e46682b2434a0c872f35db3d7b367e", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_3da4f3751cb54cf2938aea924ab33aa0", - "value": 0 - } - }, - "941ab80a14aa4a1bb3943dd77aca85d7": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "94ebb17c331649fc812a66231532ee03": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "996f65fcf7a04703868717652927e5e2": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": "hidden", - "width": null - } - }, - "9e46610e715444cbbc2f978a0acd0ed0": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "a61d6191c53e4dd2a1cbdb9826f5ae70": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_e868b829ec7a4795955034ebb8b109a6", - "IPY_MODEL_1385286d855a46c8b19704a95e5992b4", - "IPY_MODEL_b7bbc695e0f248a0ac1e79a1d546df8e" - ], - "layout": "IPY_MODEL_034072a591b94d3daf4284aadcff935f" - } - }, - "a9f4707824454e35b2273412c4586b8d": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_efa03832003244a490cfcb34edf7ccbe", - "placeholder": "​", - "style": "IPY_MODEL_9e46610e715444cbbc2f978a0acd0ed0", - "value": "Generating splits...: 50%" - } - }, - "b04f406f1a2a46818d034a80d13f6664": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "b07ffeedc45a4fe9919f99d71a2ea3f2": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_211f7336a3b9455f8cd67bd0ee4113ae", - "IPY_MODEL_2bd5fe14d3d44d85be32c5c78f5b7568", - "IPY_MODEL_6850b87ff2fa49eb9fbfb3c3492efbb3" - ], - "layout": "IPY_MODEL_6def2ecb657845a3bae18bad85950991" - } - }, - "b7bbc695e0f248a0ac1e79a1d546df8e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_8e7d772e693c427c9bcfc7535a524979", - "placeholder": "​", - "style": "IPY_MODEL_d646a9a4cc5a4876a7fa185bf9eb3ccf", - "value": " 0/5452 [00:00<?, ? examples/s]" - } - }, - "baf658cc369745b7bad7f18302e385d9": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "be8b3f4e73fc44f390e9a147df786e53": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "bf6033cd5d0c4ef5ac934ed6e8adaeb6": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": "hidden", - "width": null - } - }, - "bfb987eb24644819ba52b2fc7bc865de": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "c05f2a082d5e4a0c8f3b9b6952eff208": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_57cf77bba44141359639c0268ced8934", - "placeholder": "​", - "style": "IPY_MODEL_6a7d1421176f4b62af003a4b2f18815b", - "value": " 0/500 [00:00<?, ? examples/s]" - } - }, - "c1f14f69e97f44598d9c4f8d789131fb": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_1f1331ab4e734cdaa66cf28d7278f980", - "placeholder": "​", - "style": "IPY_MODEL_d773c0f65a014ae08f533bf2348c1831", - "value": " 2/2 [00:04<00:00, 2.35s/ url]" - } - }, - "c37f294926f945898096874d324ee2ae": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": "20px" - } - }, - "c5296022707240b393be4146e983083c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c8e13e321a9a4e0b88c717a1a8065619": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_c37f294926f945898096874d324ee2ae", - "max": 1, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_941ab80a14aa4a1bb3943dd77aca85d7", - "value": 1 - } - }, - "cd23755e6122427e9d95eb808b7900c2": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_62eb05321e2d4265b033544921f92053", - "IPY_MODEL_3627640fb7d14ac09c9fdcbfb2159152", - "IPY_MODEL_c05f2a082d5e4a0c8f3b9b6952eff208" - ], - "layout": "IPY_MODEL_bf6033cd5d0c4ef5ac934ed6e8adaeb6" - } - }, - "ce82f835dd2b45a181a53407505b0cfc": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "d24e60c7e4664e0ba11cce85c647e526": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "d298dc9202dc49aba09b66969b3d19f9": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "d426d4b5b86c4582a17bf21fe5f021d4": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "d646a9a4cc5a4876a7fa185bf9eb3ccf": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "d773c0f65a014ae08f533bf2348c1831": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "d849ae343e51419c803c29c24a16dd01": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_3948a575b3ee4a199f1055e93d8270ee", - "max": 2, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_2ee59cbc091346168c3b4511f64b018a", - "value": 2 - } - }, - "d9dd5b64f0ee40359a4c577f4358248f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "df1b4d3501ed457b86b60a5449d1e1da": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_b04f406f1a2a46818d034a80d13f6664", - "max": 5452, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_fcc06cd646ff425b9172312808dd3e24", - "value": 5452 - } - }, - "df522159441841cda1f97d63aab5ad9e": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_a9f4707824454e35b2273412c4586b8d", - "IPY_MODEL_d849ae343e51419c803c29c24a16dd01", - "IPY_MODEL_65b7f23304a243878c43ea391885ee85" - ], - "layout": "IPY_MODEL_85f4ab947d774115baf64332f64248bd" - } - }, - "e868b829ec7a4795955034ebb8b109a6": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_d24e60c7e4664e0ba11cce85c647e526", - "placeholder": "​", - "style": "IPY_MODEL_23bbe3c6dfcb42c7a0a1c826bc35076d", - "value": "Generating train examples...: 0%" - } - }, - "efa03832003244a490cfcb34edf7ccbe": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f5e168c246714295a10a64fbb1ef2ee3": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "fcc06cd646ff425b9172312808dd3e24": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - } - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Optimizing_rag_workflows_with_rerank_and_query_rephrasing.ipynb b/notebooks/guides/Optimizing_rag_workflows_with_rerank_and_query_rephrasing.ipynb index 4781df28..3304c08a 100644 --- a/notebooks/guides/Optimizing_rag_workflows_with_rerank_and_query_rephrasing.ipynb +++ b/notebooks/guides/Optimizing_rag_workflows_with_rerank_and_query_rephrasing.ipynb @@ -1,1111 +1,18 @@ { - "cells": [ - { - "attachments": { - "image.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![image.png](attachment:image.png)" - ] - }, - { - "attachments": { - "image-2.png": { - "image/png": "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" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Optimizing_rag_workflows_with_rerank_and_query_rephrasing.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "image.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# What is RAG?\n", - "## To understand RAG, we will work with the example of a company that wants to deploy a chatbot as an intelligent knowledge assistant. For the chatbot to be useful, it will need to be connected to the company’s knowledge base.\n", - "\n", - "![image.png](attachment:image.png)\n", - "![image-2.png](attachment:image-2.png)" - ] - }, - { - "attachments": { - "image.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## RAG at a high level is a simple process...but there is a lot of subtle complexity\n", - "![image.png](attachment:image.png)" - ] - }, - { - "attachments": { - "image.png": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAACWAAAAPoCAIAAADVvFLCAAAAAXNSR0IArs4c6QAAAERlWElmTU0AKgAAAAgAAYdpAAQAAAABAAAAGgAAAAAAA6ABAAMAAAABAAEAAKACAAQAAAABAAAJYKADAAQAAAABAAAD6AAAAABWMs5eAABAAElEQVR4Aezdf4xkV2En+raJBEYZWRDs+AexwGN4wZPNkmAvegqMUDYBAfEiPQUTvCsS/7HCSxYmWbNYK4yEBLwnZ+OATcKC9g94vIQsdrQSMQbFIQgNRKvETkyS9YQAnlgGxo5tglodYfNHPO9bfbrP3Klf3V1d1fXjfEqt9q1b9557zuccT/Wtb517zzl9+vSaBwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECbQic20YztZIAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ6AgNA4IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCAsKGOltTCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECAgIjQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECDQkICBvqbE0lQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgICA0BggQIECAAAECBAgQIECAAAECBAgQIECAAAECBAg0JCAgbKizNZUAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQICAgNAYIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCQgICwoc7WVAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQICQmOAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEMCP9RQWzWVAAECBAgQIECAAAECBAgQIECAAAECBAgQ2JPAxnrd/MnHv1OXs7DxwFe7T/e6fOjIS/t2Oe+CS7fWHDq/7yVPCRCYroCAcLqeSiNAgAABAgQIECBAgAABAgQIECBAgAABAgspsBn1JeR75ncfe+LRU7WK/3RiK+d76tS36srvf/NEXZ7jwrOvuLJ79Gdd8mPl6Q9fuRUu1pSxFy6KFbtYlgmMFTjn9OnTYzfwIgECBAgQIECAAAECBAgQIECAAAECBAgQILDYAtvhX53VV2K/kvlNK+0bFddNRtPNI0sJU6lnrWTSxJIjPu+iS37wIxfmEELEyXrKXispICBcyW7VKAIECBAgQIAAAQIECBAgQIAAAQIECBBYOYHNFPDpr91f5v/VCHBPuVrJz+pUvBjV2XhZTpZW1EqiNnfBTHYsdRg65TEv1ZRx9whVoDS8zEGUHc69r1XggAUEhAcM7nAECBAgQIAAAQIECBAgQIAAAQIECBAgQGAngY31EgTWFDA77JiB1egrG9fYr2R+CxL47dTsKbzevYBqV2+XgCU6jd5WcHj5Wdc4nUL9FEFgMQQEhIvRD2pBgAABAgQIECBAgAABAgQIECBAgAABAm0KbKznvoC5NGhNs8YHgUkBa4gVsHr9zDbxJmj1Xq/CWmNXqeEE2nZZWAEB4cJ2jYoRIECAAAECBAgQIECAAAECBAgQIECAwMoJdOLAXB5zTBbYDQJbmwU4r14v2WFNalONUR1UekdkOK+ectz9CwgI92+oBAIECBAgQIAAAQIECBAgQIAAAQIECBAgMEJgY/2xL91dMqd/PP5HQzfqywLbuRzoUI1FW1muWVpTw91Ehue5MOmi9aL6DAgICAdIrCBAgAABAgQIECBAgAABAgQIECBAgAABAhMLbCeCoyYIigMnpl2cHXe8JGx3iqG8cHE6Tk2qgICwUlggQIAAAQIECBAgQIAAAQIECBAgQIAAAQJ7F9hYf/pr9z/0xc+NTwRzOUr3C9w77tLsUSLDjIHUeHCWYckLX/Czr8v0UHnh0nTqSldUQLjS3bufxm2+pT3x6Kk6b3o/hdl32QXqTY8PHXnpeRdcunbo/GVvkfoTINCowPa7W6PN1+xlE8gHB+f++E952122flNfAgQIECBAgACBZgTGThN87tHXBKLeoK4ZFA09IzBmiqHJhWeYLM1PQEA4P/tFPfKTJ0/8/W3vG/yCw6LWV73mIJA3sBcee4/vucyB3iEJEJhU4LG7PvXEF+7y7japn/3mKZC33ef93DUXXnPdPCvh2AQIECBAgAABAgQIFIHtUHDwVoLdyIcWgUGBOr9w8NOJct7Xm5vhzoWDcNbMTEBAODPaJSy4Lxqsb2lL2BRVno7Awx+9JQWVrzuVErsXScj6y9/2btMapmOtFAIEZiewsX7i5hvqH9/l3W12R1MygSkKdN92M3SvfP9Hve1OkVdRBAgQIECAAAECBHYrsB0Kdv9EL/uWz83KRSN3W5rtCKytPfO7j5Wr9w0dVJl4euGrXu8E0EiZtYCAcNbCS1P+yVveVb/2kje2i9/4K0tTdRWdmcADb39zyr7q7r/qHiFBcr7tUibi5MPKH/93bz336ld1N7BMgACBBRLopIPe3RaoX1RlLwJ52y1f2ZER7oXNtgQIECBAgAABAgT2K9D9EKxbllCwq2F5/wI1LKyfz5cyy1ec80G9aYX7R1bCUAEB4VCWxlb68LSxDt99c/sDwo31+r2V7nzTy264yXXPdq9qSwIEDk5g+w0uf1LnwsgHd1xHIjBtgZwufu13P5aJsDLCadMqjwABAgQIECBAgMDZAhvrTz7+nUfu/MTQqMZMwbOxPJu+QAkLB2+SkljatMLpczdfooCw+SGwtnbi2JvLhdd++r23/eBHLiRCoAqcFRDWdHB7QUZYoSwQILCYAnVy/JEP//5i1lCtCOxJoNwlOqeFl9/0G3va0cYECBAgQIAAAQIECOwgsHkR0VGpTO4Mt8PuXiYwA4Gh9yzM10Z7d6l3AdIZgDdYpICwwU4/q8n1w1Pp4FkunmwKnBUQZs12NFgXZIRGCgECiyuwsX7fLx1N9bzBLW4fqdkeBfJN0r9877HsdNX/OF7n9O+xDJsTIECAAAECBAgQINARGJYLlus6mizYYbI4Z4Gh0wolhXPulZU4/A+tRCs0YkKBx+76VJks78PTCQWb2m0gHUxMmOtf56p9ZUJDuT2Sa402NSg0lsAiC5z8yAdSvcy1Mjl+kbtJ3fYkkMGcIZ0/3h770t3ecPdEZ2MCBAgQIECAAAECfQL51nvfdURLLpj7vZUtf9C3g6cE5ieQk8FD+dmcyZpphWWqay4K+HB+PnrLVlJ4zXXzq6AjL6uAgHBZe24K9d5Yzz8lKSc3kPPh6RQ8V7uIYelgmbsgI1ztntc6Assr8NSpb6XyuUD/8jZBzQkMCmRIJyDMn3ACwkEcawgQIECAAAECBAjsLLA5ZbB8zb1s3JcL7lyCLQjMVSAx4aikMN8oTcKdT2vnWkEHXyYBAeEy9dZ065rvnudbBnkLdBHt6cKuZmmHzu+1a0RMKCNczU7XKgJLLlBur+s9bsm7UfX7BcqQLsO7/zXPCRAgQIAAAQIECBAYLdC9UU7ZqqQpo/fwCoGFFsjpYX66Vx/N10nzszWh0E0KF7r3FqVyAsJF6YmDr0eZPpg7mh78oR1x+QRKNJiYcMSCjHD5+lSNCRAgQIAAAQIECBAgQIAAAQIrLzAwZTC5YK7M4eukK9/zjTSwXn00SeFDX/xcAsJ66dEM9cvf9m43sG9kJEzWTAHhZG5Lv1e+MmNqxdL34kE2YHQ02HuP2UwNZYQH2SGORYAAAQIECBAgQIAAAQIECBAgME5gYz03p09eUrZxKdFxVl5bfoEkhbm+aH7qTQrLhELXHV3+vp1hCwSEM8Rd5KJzD95UL/86LHIl1W2xBLaDwJoIDi7ICBery9SGAAECBAgQIECAAAECBAgQINCeQN/VRMsVF00ZbG8gNNrieunRMqGwxIRb1x295rpGUTR7hICAcATMqq9+6tS30sTMpl/1hmrf9ARG3ICwd4DOSzLC6YkriQABAgQIECBAgAABAgQIECBAYA8CfdFgZke84Gdfl5lVeyjCpgRWQqBMKMz47153NDcdyx3HLhQTrkQXT6URAsKpMC5rIc+76JIfLGvd1ftgBToR4NZ1q0evkREebN84GgECBAgQIECAAAECBAgQIECgeYGzLyhaLqsYFB9+Nj8ymgboXnf04Y/eUm5PmJjwhcfek49wm6bR+E2Bczm0KVBuQOjrM232/iStLtcXzZ67WdhYLxlhpq5nj7z3PHbXpyY5qH0IECBAgAABAgQIECBAgAABAgQIjBfYWM9HT/f90tFyu8FEg0c+/Pu5E9v4nbxKoCmBXHc0/19cdsNNaXWigQfe/uaTt7yrd1k4j7YFBIRt97/WE9i9QKLBPEZPHDzz0uaWMsLd09qSAAECBAgQIECAAAECBAgQIEBgAoGn7/1SosF8PT37Jhr86ffeJhqcgNEujQiUmDD/p6S9CdTz/455HY10/ahmusToKBnrCRDoCJRccDfpYGdL1xrtCFokQIAAAQIECBAgMA+BjfWnv3b/PA7smAQmFDj93AuecfjIhDvbjQCBpgQ21k/cfEO5TFquYpU7qyX8cEHRpoaAxk4mkBC93psw4XquOHrl+z+6dVepyUq019IKCAiXtutUnMBBCpQriw6dRFgSwe4Gqdj2UxnhQfaSYxEgQIAAAQIECBCoAv/84APn3v2pc77xNy4cVE0sLJPAK1679rrrfFi5TF2mrgQOViDTnsqswRy23m7wYKvgaASWWKDcmzAx4V++91hS9kwlzNVHL7zmuiVukqpPJCAgnIjNTgQaFNjO/Gr4t3Wq1l0flu7TzexQRtjgYNFkAgQIECBAgACBOQt8+r894yufn3Mdzj786Rf9i7NXzPNZctMcvlulrJnX03lCjDl28rk5PU7/w7d7HZQB/JXPP/2W/3Tu1a+aU0UclgCBRRXYWD/5kQ+U2w1m4uALj71nUSuqXgQWXSAxYW5M+Midn8j/UKYSLnpvzaZ+AsLZuCqVwOoJjLq+aN/68rT83g4LZYSrNxy0iAABAgQIECBAYHEFPv3feuHKgj1O/58/n0tHLkKlzvnHx0tAeM6PPv9MfX70+eecebK2dmBPN6PK7pEXZTmz9+b0SEf882PffsZ9xzOMz/3kbz29tiYjnFNXOCyBhRToXFbUxMGF7CGVWj6BcsVRUwmXr+emUWPXGpmGojIItCBQ0r60tG8hT/OoMWF52rfN2lrJCPPFrmybL6S4/20PzYMAAQIECBAgQIDAtAWevvdLC5gOTruVyltxgWdc+Pze9UU3ZzEmI8z1cle8wZpHgMDuBPIelwsh5nKI+Xzpp997W1KN3e1nKwIEdhAoUwkTumc7n9zugLVaLwsIV6s/tYbA7ARqBDhqIevzqL9lhLPrCyUTIECAAAECBAgQGCqwsZ40ZegrVhJYPoGaEd79qeWrvBoTIDBtgXzXPDOcUmoyjFxWNHnGtI+gPAKtCyR0rxnhyVve1TpHG+0XELbRz1pJYFoCo9LBlF8SwTqDsK7pLJhHOK1+UA4BAgQIECBAgACBQYGnv3b/4EprCCyxwOaVTnNJWJMIl7gTVZ3ANASSDmZWU0pyWdFpcCqDwEiBZISX3XBTXs5dCWWEI5lW6AUB4Qp1pqYQmJ1AzQVL/lefdhdy9DprMOvzKK+W5e2XZISz6yUlEyBAgAABAgQINC5wzv/648YFNH8FBTYvNNq7JaEHAQKtCkgHW+157Z6PwKEjL80lfHNsGeF8OuBgjyogPFhvRyOwpALb8d7WFUTr01ELWZ9H/S0jXNJ+V20CBAgQIECAAIGlEshEq6Wqr8oS2Fng6ctfko1O/8O3d97UFgQIrKJA7jto7uAqdqw2LbRALuFb5xEmoV/ouqrc/gQEhPvzszeBdgRKFlgyvzI1MG3vWzg7CHQ/wnZGh5YSIECAAAECBAgQIECAAAECBKYssLFe7zuYKx9OuXDFESAwWiDzCEtGmIReRjjaaelfERAufRdqAIEDEhifBQ6dLFhXpoolX+wsuNboAXWcwxAgQIAAAQIECBAgQIAAAQIEllDgxM03pNbuO7iEXafKqyCQjDD/96UlvVm8ZVrIKjRLG84SEBCexeEJAQIjBWrCV7Yo4V83Ncz68rS8YdTty9NhL8kIR2p7gQABAgQIECBAgAABAgQIECDQsEAmLX3/mycCYO5gw6NA0+cskP/7nn3FlalESevnXBuHn4GAgHAGqIoksHoCNfNL07rJX10uC/nd3aBv5eBLa2sywp6YBwECBAgQIECAAAECBAgQIECAQBXYWC+3HiwXOayrLRAgcMACLzz2nhwxaf2TJ3uBvceKCQgIV6xDNYfAbARqEFiTwjIjMEerL9WFYZMF3Y9wNh2jVAIECBAgQIAAAQIECBAgQIDAqgmc/MgH0qRc3jAXOVy1tmkPgWUTKBca/fvb3rdsFVffnQUEhDsb2YIAgZ5AnQ7YzQizviaFdSFb1u3rQl1Z13QWzCMMhgcBAgQIECBAgAABAgQIECBAgEAEnjr1rfx+wc++jgYBAnMXKJf5NYlw7h0xiwoICGehqkwCqyjQl/91n2Y5j+4MwjwtG+z6JRnhKg4abSJAgAABAgQIECBAgAABAgQI7E3g6Xu/lCgidz77wY9cuLc9bU2AwGwEyiTCR+78xGyKV+rcBASEc6N3YAJLKVACv274V5bTmLpQGlanDJZdSnxYV9bG17021mWEVcUCAQIECBAgQIAAAQIECBAgQKBNgYe++Lk0/FmX/FibzddqAgso8MNX9i72W6b2LmD1VGliAQHhxHR2JNCSQI3x+nK+un7oQoR2XF8iw+3NZIQtjSptJUCAAAECBAgQIECAAAECBAj0C5QQogQS/a95ToDAPATK3UAztbf3Ya/HCgkICFeoMzWFwOwESi6Y8reTvK03g7p+1MKO68ubSt3MPMLZdaKSCRAgQIAAAQIECBAgQIAAAQILL9ALIXIrmyO9GUseBAgQIDA7AQHh7GyVTGC1BEqGl995dGPCHdeM2mBogZsbm0e4WkNHawgQIECAAAECBAgQIECAAAECBAgQWGKB3BY0tX/y8e8scRtUfUBAQDhAYgUBAkMF+kLBvpl/Je3LjoMLo7YcWmDZ2DzCoV1gJQECBAgQIECAAAECBAgQIECAAAECBAgQmIaAgHAaisogsPICfWFe2rs51W/nqYSDO9Y1NUoseqXAsnJz2TzClR9WGkiAAAECBAgQIECAAAECBAgQGCrwzO8+NnS9lQQIzEWgXPt3Lod20NkJCAhnZ6tkAiskUMO8ulCm+tWnQxcCMHR92XcwKewr0zzCFRpBmkKAAAECBAgQIECAAAECBAgQ2L3AE4+e2v3GtiRAgACBCQQEhBOg2YVAkwJ9UV+e5lFDvqELYzbobp/NSuF9ZW4+NY+w5+xBgAABAgQIECBAgAABAgQIEGhJ4J9OfLWl5morgYUW2HjA/48L3UETV05AODGdHQk0JlAivfzOo+R54xcKz9Atx+9YdynHMo+wSPpNgAABAgQIECBAgAABAgQIEGhG4B+P/1EzbdVQAosuILBf9B6atH4Cwknl7EegKYE64W9zVt/IiYPd+HDMlqGrBZaF7o4VtiSFm+WYR1hVLBAgQIAAAQIECBAgQIAAAQIEWhAwaamFXtbGxRfIDUEF9ovfTZPVUEA4mZu9CDQmULK6NLovyavrN2O8LZTx4V/ZpWxft+wrp/u0HNE8wsZGnOYSIECAAAECBAgQIECAAAECjQs88YW7GhfQfAKLIPDQFz+3CNVQh1kICAhnoapMAqsoMDTYKwnfdobXu/RoHuV3N/zLyvq0b6Fv38GnnTLNI1zFgaVNBAgQIECAAAECBAgQIECAAIEhAt//5olH7vzEkBesIkDgoARMHzwo6fkcR0A4H3dHJbB8AkODvZrelVdrvJfm5aWhT+v6ofuWV8tLg2WaR7h840aNCRAgQIAAAQIECBAgQIAAAQJ7FrjshpuyTy5smHxizzvbgQCBKQn85XuPpaTnHn3Ns6+4ckpFKmaBBASEC9QZqkJgoQVqsFdqWTO8PC1JXhYG473apLpNd2Fw31pI3bEcqFOyeYTVxgIBAgQIECBAgAABAgQIECBAYCUFDh15aTKJNO1rv/uxlWygRhFYfIE6hffiN/7K4tdWDScQEBBOgGYXAu0JJNXLo5vV5WmN+opH3WboQtm37NLdoK4v5deXuk/ryrJgHmEB95sAAQIECBAgQIAAAQIECBAgsLoCJZNwodHV7WEtW2iBpIOZwpsq/vR7b1voiqrcPgQEhPvAsyuBNgSePHnizG0F0+SkdJ35fGdiwrIyG4xZKGI1FByzMP5Aa2vmERZLvwkQIECAAAECBAgQIECAAAECqypQkomkFHUm06q2VLsILJRATQczkfcHP3LhQtVNZaYoICCcIqaiCKyaQP+lpUvyV1K9spwW14UaHHZX1lf79iobZ8sxe/XtUovKLps7ygh7Dh4ECBAgQIAAAQIECBAgQIAAgRUVSDIhI1zRvtWsxRXopoMuLrq4/TSNmv3QNApRBgECqynwrEt+LJdx2Hjgq4niei3sS/VKYpffdSHbZLluWbavr9bdy2Z9T+tegwu1hLyUR+dpyQj//rb3pZ4Pf/SWXJ5+q6plS78JECBAgACBRRDYWL/369849egji1AXdSBwyUUXB+HqF79o6w9XIgQIECBAgMBiC5SM8C/feyzzCJ869a0XHnvPYtdX7Qgst4B0cLn7b4+1FxDuEczmBNoTeOILd114zXW9dtdkbsxCDQUrVDcI7Cuk+7RsVncfXOhuXCuwfT/CkhHm95Xv/6jPeqq9BQIECBAgME+BjfXPHD/+5eNf/vO/vn+e1XBsAiME/tVP/tQrj77y1S857BtmI4SsJkCAAAECiyJQM8J8QfyBt785cwqzZlEqpx4EVkhAOrhCnbmrprjE6K6YbESgTYF6L+je3ME8SmhXF8rKktVVoPq0bpw1dZe+hfp0TxvXvbKwWXiZR5hn+TPxsS/dnQUPAgQIECBAYJ4CiQbvvuvotb9462/fXtPBi178glTJbwKLIFD+78jgzBB9za8eu/X2D/Xuuu1BgAABAgQILLBAyQhLBTObMNe7WuDKqhqB5RN45ncfS/qeebqp+mU33OTKosvXhRPV+JzTp09PtKOdllvgvtf/yzTgyId/f7mbofazFygz8/KusDWJdz4LcgAAQABJREFUsCZ5OXRZHlzT91LdYMxC3y71aV0YtW9Zv/n7sbs+lauMZo+r/sdxkwjj4EGgcQHvdI0PgBVufs7Z0rqr7v6rhW3jvX9x3403/5davZIG1acWCCyUwKNff6jUJwP1P7/lrVe/7KqFqt4ElTl9+7vP+cbfTLDjAezy9Fv+0+nnXnAAB9rxEOf84+PnfvK3epu94rU7bjzzDb7y+ZkfYrID/N//32T7TX+vz31qLUrprDf9h+kXrkQCBBZSYPzZnBlOC9lpKrXcAvV/qzRj1Azd8ilxAgWX31juzj679mYQnu3hGQECZws87+euyYpe8JYQLo+hEwTLSyWryzZ1ocwdrLuMWai79I7RKaGuH9y3bFnW5/faWiLMZ1/Ru1eiSYTFxm8CBAgQIHDwApk4WNPBJC7SwYPvAkfck0AdpUkKM3QzgPe0+wJufM6PPn8Ba6VKBPYlsJmhPn35S/ZViJ0JEFghgUxseu7R16RBmeqUL8+ZSrhCfaspcxDIxMEkf2XiYP7PSv7n+r1z6Ib5HVJAOD97RyawDAKHjry0pG4nP/KBrfp2MrmzUsPNlO7MtMJsnXiv/C67ZHnUQnffoZvVpLAuDBa1tlbizNw0sXdcDwIECBAgQOBgBRKu5IKNOWYNXQ72+I5GYEKBOmIzgJc+I3zd5r3DJ5SwG4HFE8j0wc3HuVe/avEqp0YECMxNIBlhJjmVw+dL7Zn8lJBjbrVxYAJLK5D/d3LB3tyzKS1wWdGl7cZ9VVxAuC8+OxNoQeDH/91b08x8kSTX8Oy1t0Z0ZSG/86hxXe/J5tPyu27cFwEO3bcW0n11s7wz5fdtk1frITbWE2dmRe9dLSs9CBAgQIAAgQMU6KaDB3hYhyIwNYEy4XXpM8L8tbwIl82cWrcoqGmBf37s272Li66t5fq0TUNoPAECwwQyySlTnepUQnclHIZkHYGRApl6W+84WCYOlo9VR+7ghRUVEBCuaMdqFoHpCeRPrnyFJOXlO1m9jLAv6quJXVlfn5aIbtTTvo3rZnV9jleX+4oqG5dXO+lgtj/vgktLu598/DvTA1ASAQIECBAgsIPAkydP1LmDO2zqZQILLFAzwtxKc4GruVPVXnfd6Rf9i5028jqBhRf43Kee8aHNO9q+4rWmDy58b6kggbkJlKmEJSbMx1auODq3nnDg5RFINJhrivbuJ7X5MHFwebpuJjX9oZmUqlACBFZLIF8hyR9bmUSYN49/OvHVy9/27q0pfYNZXU3s6kt1ISb11bLQ97Ru0H111DZ14+5CSQ1znAe+6n65gfEgQIAAAQIHI/DLt30wByrhysEc0VEIzFTg03fccfXLrprpIWZY+KHzz7n+Xac//hvnfONvZniUvRd97sm/XcvPIj1O/8O3516dc+ZegxEVePpr9494Zeare0Nlc+Jg70iZEfum/zDzQzoAAQLLLJDvtScm/OErX1oCj/I7mYfpUMvcq+o+E4F8XpobM5ULiuYA+bA3/+/M5EgKXR4BAeHy9JWaEpirQP1jKzHhU6e+lbv9XXjN5g1Oav5XF7rxXuo8JuGruwwu1MYOvjRmTd3LAgECBAgQIHBQArm46KNff+igjuY4BGYrkJw74/nP//r+DOw3vP6a2R5sdqUnI3zHB56+90vn/K8/XqCYsEY+s2v4HkteIJw91vwANj/3k791AEcZc4hMhH369dc94/CRMdt4iQABAlUgcWCuOJr8Q0xYTSwQqAKiwUphoU9AQNgH4ikBAiMF8sdWbgFdbl378DdP5CsniQmz8sxcvUR3eXR/16dDF4auLLtP/FJ29CBAgAABAgQOUOD37vnDHM30wQMkd6jZCpSM8MvHv7zEAeGmUO+qjFe/KjFhbz6WB4HlEXj68pecfu4FiQafsTx1VlMCBBZEQEy4IB2hGosjIBpcnL5YzJoICBezX9SKwIIKlFtAly9kZUJ6YsJS0WdfceWC1li1CBAgQIAAgVkK5FZtpg/OEljZcxPIJMIM7yW+0Oi2XIkJt5/5L4ElEDh3CeqoigQILLTA0JgwV1N8wc++Lp9rLXTVVY7A9AQeufMTuQicC4pOT3Q1SxIQrma/ahWBmQrUv7RyP8JccTTHqm82Mz2uwgkQIECAAIFFEzj16COLViX1IbB/gTKJ0PDev6QSCBAgQIDAvATqh1floqP5/Co/iQlzt8K8NK9aOS6BWQs887uPPfTFz5UPbMux3Gtw1uZLXb6AcKm7T+UJzFMgf07lp9zMNnMK51mVzrG799rtrLZIgAABAgQIzEQgl2FMua4vOhNchc5bYAWuMjpvQscnQIAAAQJzFqgxYfmOe4kJU6fLbrjpeRddYkLhnLvH4acq0DdlMNd7KzeHmupBFLZqAgLCVetR7SEwF4HF+e5V/uAznXEuY8BBCRAgQKBNgYef+t5UGn7Zs55z8aWX7KeoP3vwgf3sbl8CgwLTGt6DJVtDgAABAgQIHKRA+Y57LjFaZ1ZlWuHDa2sSlIPsBceakUCmbdRrvJVDmCk7I+qVLFZAuJLdqlEECBAgQIAAAQIEDkJgKjcgfPnhIzdef/3aofP3U+PcLu7Td9wh0dmPoX37BKYyvPvK9JQAAQIECBCYl0DmC+Y6WPmpgUq+Yv7wN0+kPgKVeXWK404sUIdxLSGB97Mu+bFysbe60gKB8QICwvE+XiVAgAABAgSWUiB/GedkL38xL84U56V0VOkFE8iQTo0yvBesXvuqTuYO3viOX9sqYmN9Kybc+8LVL7vqJ57z7F++7YP7qo2dCRAgQIAAAQIEVl2gTih84tFT5VY19dKjksJV7/ylb99gLpgmZdxmgqxL5i59786jAQLCeag7JgECBAgQIDBjgXxvLgFhrrMhIJyxtOIPVCBDOsfL8D7Qo874YG+69tqtI+w9FOzt2NnrvMuvzGRE1xqdcY8pngABAgQIECCwCgJJUw7l58hLn/ndxwaTwnL1UfcpXIWeXok2jMoFf/jKl5YPPX6wEs3UiIMXEBAevLkjEiBAgAABAjMXyFU1ypdAXV5j5tYOcFAC+eQiozpHW7FRnZl/PcJOzjfxJMIUc/RnXiEg7Hl6ECBAgAABAgQI7E5gaFJYrj5a7lOY7+fVGGZ3RdqKwBQEcgKYu2Y+depbGY3d4sxz7WpY3qeAgHCfgHYnQIAAAQIEFlEgE4nKVUb//rb3vfDYexaxiupEYI8CX/vdj2WPnA1meO9x12XYPDcgLBnh/hZOPfrIMrRWHQkQIECAAAECBBZOYFRSmHimfFHPtMKF67NVrNDQyYIZewmqXUd0FTt8zm0SEM65AxyeAAECBAgQmJHAle//6H2/dDTnco/c+YkVm3E1IzHFLrJAhnEGc04LL3/buxe5npPXbUozCC+56OLJ62BPAgQIECBAgAABAmtrNSkMRklryiyu/EH+cH42icosLtcgNV6mIjA0FEzJfZMFXUd0KtoK6QoICLsalgkQIECAAIEVEjh0/pEP//4Db39zudboZTfc5H6EK9S7DTUl54pPfOGufBiRNvemw2aC3Qo/9h8TrjCOphEgQIAAAQIECBy4QM4iy4lk91aFqUU5zSxhodldB94tS3/AMpxyj/kyObXbHsOpq2F51gICwlkLK58AAQIECBCYm0CuxJiMMFcZ7X3T86O3lL+zU5vcQGJudXJgArsTyLliNqw3nMjoTTq4mhcXTTtrLljiz/p0soXdCduKAAECBAgQIECAwO4FutMK+24Ol/PN/NTLkJZ7FppcuHvbRrbszkbta3L5sKJ7q0uTBfuIPJ2RgIBwRrCKJUCAAAECBBZCIIFKrjX62JfuLnOwyjSswe/oLURdVYLACIHMf73wVa9f5bmD9b6DJRGsT/e6sLbmHoQjBpHVBAgQIECAAAECUxNIWFhvY1GngtXLkJawsDu5sBv8TK0SClp4gZIIpppDP4IYDAUXvkEquIICAsIV7FRNIkCAAAECBM4SOHT+hddcl58nT56of6CftYEnBBZSoHyOcN4Fl65yNFjlaxaYNZNNHNzcyz0Iq6gFAgQIECBAgACBAxDozizM4fpmiXUnF+bVmgmZX3gAXXPAh6hRcY47PhHU+wfcNQ43RkBAOAbHSwQIECBAgMBKCWQ2YX6SFK5UqzSGwAoI7HPiYHf3FdDQBAIECBAgQIAAgaUVqPcsTAtqYlRvHFDzwjq/MJu5JOky9nbt3FS+9m9fQ0blwS4f2gfl6RwFBIRzxHdoAgQIECBAgAABAgTWtqZI7mPiYA+x7k50IoGXHz7ytl949Uc+e8+fPfjARAXMc6fLnvWcN117bS4w+3v3/OE86+HYBAgQIECAAIGOQN/kwhopJU/KViUsLAuZcNYXGWZ9LihiqlmHc26L6bgc+4lHT/XdJ36wQhLBQRNrFlxAQLjgHaR6BAgQIECAAAECBFZdoDsFMNcarZcb3evCgt2DMJHbje/4tT113q23f2he+dwVhw9njvUVhx+cVwX2BNW38cWXXnL1y6669y/u61vvKQECBAgQIEBgcQT68sJULNcjze/EToORYdaPSg3zUuYp5rfH1AVqiJuSa6eMOkqJA/Pq4D0mzREchWb9ogkICBetR9SHAAECBAgQIECAQGMCCQLzqFMA97GwiPcg3Fh/8vHv7KZHe/eb9CBAgAABAgQIEGhGoOR83bSvL6DKLMNgdH93b26XgCqv5gqlBSwxVRZMOiwao37X6YDZYMcZgbWQbhZIuLJYWAEBAeEKdKImECBAgAABAgQIEFhmgWnNIEw5i/e49+vf+K+f/Nji1UuNCBAgQIAAAQIEFk5gcJZhqtiXGmZNNzIsy1lZssNyqdI8HRofZn3yrfzOgfJ79R6D+V/auONcwK7Djlmg2YFdLsvLLiAgXPYeVH8CBAgQIECAAAECSy4wvRmESw6h+gQIECBAgAABAgT6BYamhtmoBIdZqDPhslzzwm6ImPV16mFNELMyj5IjZqHORMxymYzYe3n7UWLFPDuAZLGEfOXIufPfdhV6/y0trWv2lPzVvbLQTQHzdNSkQFlgF83ySgoICFeyWzWKAAECBAgQIECAwPIITGsG4YLdg3B5OkBNCRAgQIAAAQIElk+gBIepd/cipaUZu59I1xcolt1rmlhR+mLFun78Qk0f61HGbz+VV8tBa95Zr7yawkelm4LAqcgrZBkFBITL2GvqTIAAAQIECBAgQGCFBKY3g/CbDz64Qi6aQoAAAQIECBAgQGASgZKEHdq8juhgfFhL7OaIWTl0fl7ZeLKEb7K9avVqvpg1NfArr9Y5jru8YqoIsKpaINAVEBB2NSwTIECAAAECBAgQIHDgAlOaQfjkyRN/9uADB157ByRAgAABAgQIECCwlALdHDENGBMljmpe93Kgg9vUC4TWK5QObpM1oyb2Dd24b6Xkrw/EUwJ7EhAQ7onLxgQIECBAgAABAgQITFtgGjMIkw6+52OfGF+zlx8+csXhw0O3ydTDBQwXL3vWc1559JXduqUJR3/mFT/xnGeXVvzv733/+J9+ZWjN/+2r/80lF128my2HgmRlOfo+C0k5+69J6bj912RUS60nQIAAAQIECBCYTGB8tlemMKZkMd5kvPYiMGsBAeGshZVPgAABAgQIECBAgMBYgX3PIHzy8e8kHXz4qe+NOUxiqje8/poxG5SIcXwhY3afxUsXX3pJ6nzvX9yXCDBx3Zuuvfbql13VPdDVa2tZ01fzxGk3Xn/9Woldt7cuW37m7rt+754/3F437r9DuerhPvLZe4amkn0l7r+Qoa3OUWpNduz3vip5SoAAAQIECBAgQIAAAQJFQEBoJBAgQIAAAQIECBAgMFeBRFn7yAh3kw4mMzuTDpZjDfw+7/Ir3/fWX/nl2z44V4vhB09Olrqdd8GlSfi+fPzLJcXMyiSIb/uFV3drXjK5RIYf+fjHa4ZXY7YgZB7ef/3kx4YfZnPt1rEuvzLB5KlHH6mHy4tl/mIiyRvfceX4rLEWkp79zPHjExfymze/O0lnmnPP3z44tJBs8M73f2BMc7xEgAABAgQIECBAgAABAkMFBIRDWawkQIAAAQIECBAgQOBABPYRDZboaDdzyHoz6sqjHC7LNZXsLCdpS8C2yzl2WwXO/j+9y4Ree22OkySsO8Exyw8/+L1HPnaqlx1u1jwR2huOHk2w1xcBZsusefmffuXGd/xa4r0s1OxwsPqZp5jShuZ/2Ss/vXKuv74ErqOsSrA3WJMcrhRy2R13pNpjCknEWAoZX5Pko9ns1o9/fLAh1hAgQIAAAQIECBAgQIDAGIFzx7zmJQIECBAgsH+Bd970rqOv/fn83Hr7h/ZfWuYQ5IPC8pOPHXszTmb56B4uB+0dcReP2uTssovNl2OT2qip9ONytFktCRA4EIFML+sdp+Z2e1nIv9LddDDz28pPX8UTNW1db7NbeDYqGeHZR88Eu77d5/40cd3VL35Rt6XdKiX8ywU/sybRYLK9zKfsSwfrxknmyhtT7mJYV/YtJLFLgpjNRiV/2T7llEl72TjgfSXkaULWkt2Oqkm2SbXTovT7qEISH6aQHWvSK2RtLcFnfnsQIECAwCoLbKyX88r8zh8Aq9xSbSNAgAABAgclYAbhQUk7DgECzQtce+zf33fXn4Thqmv+9R23/fd2PPIJ4Lf/7sG095GfPLWfVucjwlt/+/ZSVLec/+uNv1hmTnRX7nd5Yz1zET7z+bsHD/f8/+PwG177+nI9t1FHqU3+5oO9hq/GozZqn/24GhpaQYDAFAUy6e3VLzmcDKxXZjfAK7fQG72mmw6euaDlZs3yUvcmefkXbKvCddZgdyGvdZ7moppbG0/pP5n/NzRFGyw+wdvgyrLm3q9/40wrBjbq7bgJlWxv/Nc4elMMNyPAtdFXGY3emHSwHDyVyftyikrW2Fft9EXWZ7MS3Q1U9syKXiHHjw8tJGIZErutyWYhZ8q1RIAAAQI7CeSLj296Y29u+u4fv/pr75jvtzHyDZh6dva/v/f93IzWgwABAgQIENingIBwn4B2J0CAAIHZC2ysX3vzO0u8Oniw/3nnH+Tn03fekU9FB1+dYE3Olm+8+b/Uk8++ErL+d/7u9mSHN/7Hd5QPQPs28JQAAQIE9iSQlChJUqa+9f4ZL6Fg9t9pIf9Wf/qOO0pmVq9F2TvuZk6WbCk3yUtUVrOrRE1bGWQnC9zKI0sGub1+6jMIS2V2Y9KtcN/2aWzfmr6nSRADmGbWJvdtUJ5GrFAEregNblbmIw6u71uTEDFzFnPQvguWpiuzZTpoVPndcnqFDAss80WcbLanmpwZM90DWCZAgAABAgQIECBAgACBEQICwhEwVhMgQIDAwghkMl83Hcx3V0vV8hloXZ8vwD7whc9uffi7j5pnPsSvv/1Yt4BMGbzoxS/Imke//lBNDbNQNpMRdq0sEyBAYDKBJEm9a1HmJnnDrlfZV+Yj3znVTZ7OSgez6XbOl8VMdKiRW6KmRIa9oganJPbWnlk/9RmECeTu+dtdTSgfme1trHebXOrb97tUOzMq+tYPPi2zLi6+9JLcv3Dw1R0jxu4uJZW84vDhbs17d0xcWzv+p1/pbjlmOVFiL2U8fKQWkj7tvaFvrNc1Y3YvL5WZiDtuZgMCBAgQmFgg/9pPvK8dCRAgQIAAgcUUEBAuZr+oFQECBAhsCeSTyt/50O3lSa4m+ps3v7s7ReDet5y5Ns6ZD38nxctnlN10MIfbmtGyXWAqk2kumbBYVmTjTDTpTXnxIECAAIFpCOw+ECpHOysdLMnf2flfzQhTcsLC3rXRytzEszfrlbadLE59BmECuR2v2DkeLxdVG79BfXX/6eZuIsa+w/WJlS/r7L4rS527KWPCyxwi6WM90I4Lq3RN7x0bawMCBAjsXyCnMCdPnuwrJzcdL2c6+Yrk8c//cd+rnhIgQIAAAQKrJyAgXL0+1SICBAislEC9vFhOU3/zlt/oa1vObDOhsCSI+b3Pu2LkyqK1/KH32MiHnqnDK4++suaImfJyh4CwqlkgQIDAwQr0rmbZF/ht53w18BuSEaaSA5vVmYX7z9imbrCn0G6fR99T80ssV6YMluMmsi0L+7nd8gSTVDKvdJ8NtzsBAgQIECBAgAABAgRaExAQttbj2kuAAIElE6hTEMp1Pgdrf/RnXlGnGNaPdwc323FNZpbUK4gOTQdrCbmsaD4SLQfNNU4zi9EkwopjgQABAgcp0Pvnt8wFrIHf4NTAzrVGz8wjTC0Hk8XNqvfNhzvI5qzAscrkvzQkc+73lGuaArgCva8JBAgQIECAAAECBAgsl4CAcLn6S20JECDQrkCdlDAjgs98/u5ScqYq7jgT8cbrr6+ppEmEM+oRxRIgQGC8wNb7wmDONywyHD6PsC9NrE/HH9irZwt0g8Ayky/p4C/f9sGzt5rtsxpMzvYwSidAgAABAgQIECBAgMAKCQgIV6gzNYUAgXYENtZv/fjHMw0i09dqo6+65l+//PCRt/3Cq8u9f+r6UQv58C5X70wqVqfNZcsU8m9f/W9e/ZLDuywku+S+fUnIHv36Q7WcUkim2Y069J7W5/Pf+zZ3ePip7w3dsV4MLcHe1nSQoduNXfmZu++q9b/xP75j7LabLx46P3coLLfo6PXCbTvsMaid2r7hta8f01/ZJfc7TLn50HPHwDL3Cyk16LtpYlamaV8+/uUs5MqoW50yMH5SmX/1kz81uG8pc0+/MxGzXudt8JKweyrKxgQIENitQA32+qLBOq1ws6AhGWHdoC6srR3/06/s9riruN2eJlAOXgu0vFnv/q+IoYRmEw5lsZIAAQILJLCx/s73fyD16Z6q5MTw03fc8ed/fX89sdrbieEUT1IGikpVx58vl8oX4b7b3vex19O0rJ/KCVRf+Z4SIECAAIGDFBAQHqS2YxEgQGAKAglg6ty1bnGJqe5b+5O8NP7ymL1dNs+XRhZy15+U7GrHUConUblpXz39q5Xp1eSuP7n1t2+/9f3/z/6vvVnnBKTMJ4+d6PvMMadnOVA5dCKuWoe9LpQIreyVfHQ3u9eKZeNUo69i3RKGdlncfufvbk9AmzxyaJh6z98+WALIFLVjX9QtkwJ2D53lfMxaXs2HtjnQ0F5LZfKTzXYePH2ln/2029IUdfaLnhEgQGBmAjXeG7OwmR0OzwhTr+2IMf9I1ktbz6y6C13w1S9+0e7rV9LE+k2dsmN5T8yXliaWLF802VNNcr3x3VfblgQIECCwT4EnH/9OPQHpfeXxgkuvvfmdOV/rK7aeGP7R79w25nQpe3XPI2oh9SQlX81831t/pa4fvzC0qOzSq8zo8+W86bzpzj8oJee8acyddPMlztL2nDXvvlbj6+xVAgQIECAwL4Fz53VgxyVAgACBCQQyUWww2MuZSbeobHD0tT+fj+e6K7vLR6/9xW4h2T3fpsxP3aaXXX3o9pxZ1TWDC5mX9qY3Xpstuy+lqFqZvJQNsll3gwmWczHPuleZUVefpo2v+dVjpQ457n5Oz/JF11Jsyhl/7lqPnk+ZH/jCZ8vPmF36TlCrTyknlf/1tx/L59G12Jku5ECDvdY94o793t24bzmF13GVc/gdQ82+3T0lQIDA5ALb8d5WzpeneZSwsC7k6eYj/zolu8piuR/h1tvl5qv5dyxz4stm7f4+dH7x2VEgU/xLhtf9kk32yhdc8ntwcuGoAnPpgnwO2z1oPpntvTPuuiYp+See8+xR5VtPgAABAjMVyIWmc4I5mA7Wg+aUJ2dtvffoEY++M6ZslZOm7nlTArmU0L2i9YiShgSNKad7qpsdc86S8+X++hw6v37BMW3pvQ0Ne+T0tiaj+aLnmNPAYXtbR4AAAQIEFk5AQLhwXaJCBAgQGCWQE6d6NpLznJzAnPyr+0+ePHn883+chQ9++LZ6EjXmHCyFlEQtR8mZ0qfvvCO754O5/KSobiE5cRp1XpSPUxNr1XomDUo5pSYpLZlZLSeb5eqjdctJFjqnaml+TRzTkCM/9wulLWn4jl9KHX/oanLRi18wfsvuqzkhLD/dld3lTBAsmVmXKFDhqp2V7TMRs7vXjJbTEeVAOXSvvzYHT6lMqlcPOqbf6zaDCxkStRUpv3dZHg8CBAgcmEA3C8xBu0/7wsLNp92MMLfKy5dv8p5y7bF/Lx0sPbbL2Xi9OeuHzs+fCuWyorW3S174hqNHd3Pz4GyTLbNvvTx1KafMSsyslFrsmIVEjD6iHePjJQIECMxUIO+e5WQq56c5E8z5Re8xcH6ae2QMrUbegssZU3m1nOTmpLJ3krt53lROVXKIeroxtJys7Ba1dcqzebJcTnVzBlTPelJauURqt6j8eVCjxN6xBhLNnPLUq9ekqKGXgekWaJkAAQIECCy+gIBw8ftIDQkQINATSDBWT5xytnP8jj/ozdDang+RhZyf5CSqfu0x5zyD52A5pamFZMucKfVdAjSFJGmr4rmHRF3uLvS+Abr9SBaYW811y8mHdKWc1DNbldPF7c0n+W8mEZaisnMSx5z4XX755bUhOYvbZzoYllqt7gyGunLihdL2UPcRhSudVc8/s9moLHbiQw/umKPkJ6eyOXSvv7YHT5ZTvTpysuMEH5F3p3L2htB24YPVsIYAAQLTF8hHeOWfnfJZXvdpXV8W8ntzm5oRpjLJtya+GOb02zL3EjfW876QyG18RXrB3ubNhgf/VIhn79s8h87PnZnGF5JXe9sMSxl/754/zLtz/qLYVU0SMZau3/F4NiBAgACBaQtkvl1O1hIN5r31zNc1Ns9Pu6eWvdO3gX+ru+entZDuqUT3VKWcW42qfs6n6hli75Tnjj/onfJ0Ht2isrr73dO61f977NfLco41eDad69mUOqSq+7l6TT2cBQIECBAgMHcBAeHcu0AFCBAgsCuB+l3FnI3kbKd71tTdv/u1x5wgdaOvbFau+lW27+WLwx45qatZUb3wZnfDfOpXz82yZfl8sLtBWU453RPCwQ32sObQ+bmdYd2+nviFIt8DTcx55kS0brSXhd1crGYv5Z21bc5OR1HX88/sMPgB61mlTOlJxJIFDi2sO3LGXCBo6L6JbOuQSE/tszuGHsJKAgQIjBPYjv16b45j0sHyuWRJCjfW8+/edL8UMq6Gy/PaZ44fz2eseXMfk8zFrcwUz58EfdMHS0NLvJePYv/zW946Zh5hXs02+Vtl6Jtg77riG+s71iQf0eZWWIMf4y4PuZoSIEBg6QVGXWyze2qZRuYtpq+p3VtIjPnSZ96y6ylqXwn1aZ1f2DvlyeVMytt9fXl7oXvWU0+xt19c61Y4Z53dL3FmuV7OZ1R7azkWCBAgQIDAsggICJelp9STAIGmBbqZXM5GRp3tFKNu7PSRz97ThbvkoouTV+Vn/PlVvW9QTX26heRTv/I0p145v+q+1LfcPb/qe2lPT3MyNjinLTMXt2bC7amsnTaubd9pw92+Pua7pfGpkwiHZrG7Pcaut+sNntGP7mfBfdHy6J3OupJPxlXfF3XH7OglAgQITE1gfCi4nQhuxYc56vb2B5MR5vZ4SdR2+TMmTpsa104F5T037wJJ5vIXRardrVKeJtXrvfsfOj9/nNQ/CQaLzGe+KSRvCnkfzPtLt5AsZ80d7//Nkg5my6EpY1b2rv+2mRGOqcl5F1yaEvquUDpYH2sIECBAYEYCOaMZ9Z3RHLF7R/lvPnjWDezzNlEjt5yi5uRoTA3Hn3jmhLGeuu54vpw3sv+fvfuPleSqD0R/79haGJSrUQD/NgjGmIc9gdgbhgjFDGgfNhqMY+kpmBez4sEfESwJdhKzQQiQImFeBItZzK+A8gcsLwsPEz3Ja8yIH1mRwVGU2LsmIR47+AcIjG2GXxrdyIaVPPd9+56ZM+dWd1dX31vdXd39ubq6U11ddeqcz+mp06e+dU6lA8UuZQgwrYwD5T5aDjr2CnLyqRD15a0pgrcIECBAgEAHBU7vYJ5kiQABAgQqAulxPrEyYnI1va+0Vwo7pUFg8Qy8sisVV+J2Gr9ZP5aHl73kRZdW8tn/Mp4elAf89b/bZE35JIly+7jfs/fUor47Q6OfGc8mTFvG+MKdlrc85PjL0Xus7+jGRdK7xk9223tccVFv0tdhPxE/zm/FqMr9+cXwhehR5/qN6GD5YRu+k3cIECDQtkC0BSnmV78Qh80bxPLmLnHiioZmolOMRkNww3V1Fz23cKwfu+bdb9+yZrov0tXbeDRjxPDiK8fAnEdTG3cg1aNFeC8ncvXm3OP95agPMcb2KUYY05BGaz4wJ9EMxejDgfHF/sNZQ4AAAQKTEIh7R+qSXdsTfdgUvavczFFOb9NkVurobuSuR+WIh//2jrxmZH+57CHGjuXLlEjclbLvtr+O5ch2fElIXxVyALK8Hzcf1AIBAgQIEJhTAQHCOa042SZAYLkE8vCys5//nCYlj07aXSsnujR5nMTIHeN6X4SFHnns0ZoBAXd+5/6cTpNeXH14LCc1eGHzImmOR0awLUYbxBxiqVsYPbQD1/SeLVGJEcblyJRa3IXa39kbeKAY25HXV25rzeu3t1AOmBiYwjnnnTtw/YRW1ldH6VCTgbgOG5d00wZ5Wp7ecNI3valmL28RIEBgggIpOjgyRjhkg8nFCONKaP/QhHqHaIXLDSIIl0+55fr+5biXKO7zyHcU9W+Q16SrqJWrtPFuSiGvjy8DseZlB14WyeYGIr4nxO71ocF8oFhIiURjd+C3LisTqf+yUaYQjU6MaPzNv70jhvjX56QhVJm4ZQIECBDYucDIKViiD5uja+Xhyp5Xk45bNCXDAoS5YYpeSZOWd1jMMmUvOk05GBlHjALm48b6+i5VWUDLBAgQIECg+wIChN2vIzkkQIBA79bFpDDi9syTVGUnLUJ6A7pb68fiCRDRJYuu1GPf+V7slw9xMo3B/1YuXA7eaOva3Pvaunr0q5hYLEcH8+i0NEZtS4zw0NdyWnGDZ9olDlozt2fePi3E7GSVNW29nHL8rz7bYVK/QcN3QzjXS96l97zJvtGc+V0LBAgQmKxAef6pDxZON0aYgls7LHvNXTtlys2PFU1/vpBan0Kk2fDoZTqV5Ujk+w/+fOARK1vWvByW53KXnWe1TM0yAQIECDQUKKchabhL2iw3DQ37KflGk/6jpC5trI9e7etee03/BsPWRCM18K3odcZkPKmP/Edvuz5tE/lMvdGBu1hJgAABAgTmUcAzCOex1uSZAAECIwTqOmnrxyKKtvfXL41+ToTZItIT3Z6G0cHKUWt6aOWWDUc9lrvEctz4mZ9IkaODaZvolcXowLQcOX/7O/4kLccIyHxr53jPjS+uLOduakrT34YC5QRBDXexGQECBNoRiJhf/KTIXyykU3r6m1fmhViftu9biMal4V047WRbKgQIECBAgMCmwPY6jBPFi65lf/q9XnP6FtH/njUECBAgQGA+BYwgnM96k2sCBAhsSyD6Oa/6/evLcGDM2xnTYMZAtzToMJ5RF8PpYtDhWPddbisvI3aK+cTSFgPv0/zg+z8Q76YIYvoba8rJRUc+eaJy+HBIo+J6f2+uvDn4ZWC+51OfifdCrze7ZroYPXjbhVobNXL1wStTkcr7ansfnr2NH7K1UCQKQ4DATAVSqC+dhFMgsPwbWUsv80LevlzYfDdihJN+HuFMpRycAAECBAh0SCA/jj2P/9t55qJbN9YzAofNJRMdvdxrztONRvbigRcGEe68mqRAgAABAt0RECDsTl3ICQECBIYKREgm9U8ajm8rH9K+//kX5nQjhJb7Of/5ozdffeDAwLBWzTyi5djEGDR2dYOAUP90lDk/NQt5rxyLqmwcEcF4NGMqTsQIY3KYtEtYNZ9cNKeZn9oYa2Lw4oBJWfOmJxc+8aWv5jGOM3783nTvY33Jiy7NveK3vuaKfa98TSKJ2PPhYrrXk07+JUCAwOQFmkcHU7CwDA1ujSbG+TwmuB424djkS+IIBAgQIEBgWQTy4xhyF7W+5PEc3GEblI853Pk9i3EnaO7o5cls0lw18Tcehdiktzgsq9YTIECAAIFOCZhitFPVITMECBAYLJCDZDlsNni7k2tzHDGiZTkEGP2cvHsvOnjlVfmtk/ud+Ld8XHzlrTLcWLNZ3qvJI+LzxuMuHL7lr3oF3PzJRRtvctGTh4xA18nFlS/cckterlmIwXPp3RK5ZvsdvVUbAowRnztKfAc7R/c7+swpgejYx8ibHSRmVwIECIwncCKMl86QKc4XCaRgYQoBppdpg7Q+HSFvnBeK7V924GXj5cPWBAgQIECAwPgCaQ6btF+TbmN5F2zlaHmS8F6vMLX7lS0qL9ePRe84fgduHDc+ps2jo5fujCzvB81T3VSS9JIAAQIECMyjgADhPNaaPBMgsHQCcZdiLvOtt9+WlwculIHAHFmMLcunxNXPwJnjiwPSX9sT07ak9b2bKEf1vhoG2wYcqMmqtT1ljDD2iLzVF21YqhHoyuWK20V7fcXan+jB5htdIyRZu+023ywHa9aHACeLPCr70WfOj4SMj0STvv2oJL1PgACBpgK9c04lIpgaphT5S8s5+JdWRtppl/xy62bl6bdpPmxHgAABAgQIjCnQm8/m5E+THk2+QfPkTqf+LfvLMQvoqTeGLB245ndiHpT47d84utsDOnpre+IW25RYxCBHdsmHHNZqAgQIECDQOQEBws5ViQwRIECgXyDmMMlD5W762Efqw1f5UXyRTjkwrj/ZgWuit5NH4w3c4D++4c15fX+HKr8VC5HPPDdLub7Jci5vXbRyM6HymfaR820PYivL1btpNF0vHpjX9WM3vPud+Z149l5ebnGhHKxZc5vqTpDbyu0H3/2uXF8hU//5bOug0iFAgEAInLqemKN9yaUSNUwxwhwXjG3y9mkhnfM3N6gZoMCcAAECBAgQaE2guPc0uo31NxpGLy/H7fozEP3lfLtnL46YmvX+7TbXlCHASn85OjLR3U77Ve49jftQ8yF629QeYsiRrSZAgAABAp0TECDsXJXIEAECBAYK3HTjn6X10S+K8NXgGMz6seg45fBezP1YPoChHBIxrPcV63OPaGA2YmXZ+4oRY8MCcpHDPDfLsKRq1uexjzUxvzhE3PuZy5tSiyxt747OKFc5W2akPAw53sq90wpyTYnGfqvoMEcZBxZqh8hjZ2nYDmt7ys9nGaIetof1BAgQaEUgZhl9+zv+pHe6TsG/SDQvpAPkl5WFIS/jZDvyxpRWci4RAgQIECBA4L9c/0cZoeZGw+hy9mavqf3Jt3tGT21oV27zefN/9LYTM4jGPChlfzmSf8+nPpM7emXe0pHLQ9TfKVubU28SIECAAIEOCZzeobzICgECBJZD4LHvfG9YUK0fIG5pTJ2WFL5K/aIUI4wQWn5Aelwb/cSXvho3S+b+TH5eQk4zUoiVaYPofcXEmFtm44zg4qc/ndKPnlIe+RdZTbdVln2n6Czti6c7bP7ELo/+8JHXXXNNpJ/WpMykpNLAspyrnJmRC/GYh5RCbNl/iAhkxgiPvEFsE1O+RGgzHSi6fBENzfkZeay8QRw0G0ZSMedMUETRYoNf+9WnxRyt8djF8qD9yDmpVhaiC/q6k85RqG8e/mZ2riBvQ7iVHOZEys9nL6Z7wYfT4zryBhYIECAwIYGIEcZ9Cc9+6q+ec965OzyE0OAOAe1OgAABAnMk0N8n/Yd/ujvlPzoX/e/mnmmLZYw+ZtxwmXpYZf/rRFdu/Vg8aiEmU0m3hJa91P48lP2RSCruVY0Ob8z1krux0YWMiQdyPze6cu998xvLdKKHld8deBtoeYjI8yRAyvxYJkCAAAECUxAQIJwCskMQIEBgi0B0Vz7+LyPuf8w7xJPbr957cXqZIi65+xSJlMGqvEssxOQnt9z4wXJNWo5hXq97bS/cFXmIgFNE1GJ+zrioGl3BWJO2iX7XB9//gdw1ikOko3zhi7ec6KetrEQvK15GlDHtFRun7XMAMh/6Kx+/ubwNM68fvbD5mId8d2fNIeKgUa7IWwQFU+ki8VgoMzz6cGmLtT2R4ehMZo183P4U4rjxBMT+9S2uiUKV3eCBmYlsVPLcYgbGSio+n3FtPfXe4zOTo9djJWJjAgTmTiDakbjxZebZjjDh9x/8+cyzIQMLJlBOY75gRVMcAgSWXCCiZcP6kklm4Ls3XHeiZ9qiXtnJjWRzl6fStYyXZS91YAbKpFKHN21WSSpWpj5Ujh2mzfL8N/Fuuke2/yjlbaxxf9ItN/9F/zbWECBAgACBORIQIJyjypJVAgQIrESfJ0KGeajcQJG42zF1jfrfjYBTOdIuek3xe1exXd4338hZvLllMZKKuFR0ilJAKL2X42rxMoKUMdCw0unaksSoFzHAMWJ+OQzZf4jKUSJLERQsY4T3fP1L42Ygtj986Gtxw+zALnHOcobKaya0EN3gGBMzLDM7R2432+XQ0qi4XgA1T+LX7pGkRoBAZwTiLpPHVr7XmezICIE2BeLj3WZy0iJAgACBQQIDO7ll17LX+XrTmwbtWl0XScV9ivVdyBOpbe2nlA8mjKGHQ3uRa3tyTzk6wne+4a7ohFYz4fUcCvybjY2fHvnHMx8/Fnk/+rQ9seAvgY4LPPPsc3/5jDPn8H+bLHdOQICwc1UiQwQILKrA66/47W1cZopJUSogETaL3+jA/Nev/rcYtJE6TnGTY9zk/psX7Ot1nLZ2dfp3jzRjPtI82GvgvqlnFdN4xvShKYWYY7OSVPSa4pbJ6BTFVC15DGKk9pIXXZpnwoxdXnbgZWnHNFdnJZH6l9HdinBdFDZm10wz3kR5c4b7x6ilGGHkJyUbk4Lm8Zf1B6q8G8WPm0Zj9+0h57qOHFZSrryMDZJwzcx4qS7qkaMTG0SReP8HpskhUq52n3FedHdTfvqrOxeqph7jIxEx2uz/xI9/uLv201jRmMbL9WPH77v7J4+d+FRP44iOQWAHAtHr2/WCS+vP6jtIvp1d4yQf5+doj4y1agdUKt0QSONia1rnbmRTLggQILBNgf3PvzB/82+YRNm1qe84VBLM/YjcMaxsEC+HdXLLx2rEZjnP/b2VnGalC9m8vxxzt0QiceaPzOTU+heidxYrU6fpkcce7d/AmvkSeMpPj67+3ddW7/92jrSkBX8JdF9g98rKxoUv3Hjp5SKF83Xa6VpuVzc2NrqWJ/mZgsBdV/56HGXfRz8/hWM5BIFpCjz6xc/87PBXnv2Wd5x51bXTPK5jESDQcYGjt33uJ1+/7fEHjnQ8n7JHoF/gac+7+JmvvKqz7VrMURb36Ue2BQj7686a+RVIAcI0h/n8lkLOCRAgQIDAnApM57rl7jv/ZuWOQ50iinhPd/ITcdPITBeylHLSHZlTObns4Knl6S5t/OjhUyyXHXxi/8uncPzv3vzeuKgSAYWhw6ynkAmHaFvACMK2RaVHgAABAgQIdEpg/diRd78lhwYj1vLUc5/VqQzKDIFhAr945Afx0Y3f7z9wJCLcF9/4yQ6OJjSz1rDqs37eBSLm7eM975Uo/wQIECBAYJhAB6ODkdUYDbbx9DOG5Xma61d/9uMUf1o96/xpHnfwsTZDlYPfmu3aV89scMLqysqTRx8+7a7DvSD3HYdiNOF0YoSz9Xb0SQgIEE5CVZoECBAgQIBANwSK6ODTD7zqnNe+sRvZkgsCYwis3/Ot73/y/REmjFB3N2OEMctxPBzXLKNjVKpNuy2Qhg/GnHjdzqbcESBAgAABAtsU6GZ0cJuFsduyCpx25vkrKUK5GSN8yt6LzDW6rJ+FHZV71472tjMBAgQIECBAoLMCJ6ODMWowJsEQHexsRclYvcDavkv+7Z/eHB/jFCNcWT9Wv/303736wAHzi06f3REnKhAf6fpnUE306BInQIAAAQIEJicQzx3s2syikyuslBdfIGKEmzOdxtM0F7+wSjgBAQHCCaBKkgABAgQIEOiAwEOfeF8EVCIjz73+PR3IjiwQ2L5A3AoaH+MUI4wP9vYTmtCea3v+4xveHGmncVcTOohkCUxHwPDB6Tg7CgECBAgQmJXATx57ZFaHdlwCExHYHEcYU8L2gt9+CIwpIEA4JpjNCRAgQIAAgbkQWD/2s8NfiZzG0Ku5yK9MEhgp8IJ/3wvC9T7Y3RtEGI9qu/rglZE9McKR9WiDLgukD3DMmmv4YJerSd4IECBAgMBOBM747j072d2+BLoosDmIcNdD93Yxb/LUbQEBwm7Xj9wRIECAAAEC2xJIo6ziuYNm4d+Wn526KBAf5vhIR86OfuP2Dubvhuv+UIywg/UiS80FUnQwPsaig83RbEmAAAECBOZOIAZazV2eZZhAvcDxvRfFBhs/erh+M+8S6BcQIOw3sYYAAQIECBCYe4FfPPKDKMOvXHzJ3JdEAQgUAukj/ZOv31as69BiGSM0lLBDFSMrDQRydDA+xg02twkBAgQIECBAgAABAgTmXkCAcO6rUAEIECBAgACBfoH09MG1fQKE/TbWzLFA+kinj3c3ixHBlZieMeVNjLCbdSRXFYH4oKbPanx0RQcrOF4SIECAAAECBAgQILDAAqcvcNkUjQABAgQIECBAgACBKQvE9IxXXHTBJ7701VsP3V6JEZ79/OdMOTMOR6BfID6W8VEsP5wvedGl733zG3fvvbh/Y2sIECBAgAABAgQIECCwqAIChItas8pFgAABAgQIECBAYDYCEWi54bqL3/qaKyJM+PcP3pMjMXlhNtlyVAInBfJHMZ44eOC3Ltv/Gy8++Y5/CRAgQIAAAQIECBAgsCwCAoTLUtPKSYAAAQIECBAgQGCaAilMGEd84qEjX733wVh44MHeXz8EZivwvAsuiAzEOFdDBmdbEY5OgAABAgQIECBAgMBsBQQIZ+vv6AQIECBAgAABAgQWXCDCMFebvHHBK1nxCBAgQIAAAQIECBAgQGDOBHbNWX5llwABAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBHQgIEO4Az64ECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE5k1AgHDeakx+CRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECOxAwDMId4BnVwIECBAgQIAAAQIECBAg0GWB9WPH77u7yxmUNwIVgY2nn3HaBfsqK70kQIAAAQIECBBoXUCAsHVSCRIgQIAAAQIECBAgQIAAgRkLPPngPbtu/9zq/d82cdCMa8Lhtydw2cGVV1+7srZne3vbiwABAgQIECBAYKSAAOFIIhsQIECAAAECBAgQIECAAIG5EvjCn592x6Gc440LX5iXYyGihtNZEwfKx60cMa+fyULKWJmlisk0X4ZAmZOZgJQHPVFrEZ+b0c/Gjx7u5SE+wHccOv6GP961/xUzyojDEiBAgAABAgQWXECAcMErWPEIECBAgAABAgQIECBAYLkEvvDnveDKZthp9azzY2G1Uv6zzp/Omo3NYGQ6+MZLL4+pIysZmcnL1Z/9OMXAEs6JPFRMpvZyM4baQZze6L0Z/cSH88mjD5921+H4GO/67IeOr6yIEc6oKhyWAAECBAgQWHABc40seAUrHgECBAgQIECAAAECBAgsj8DxO79RiQ4uT9mVdGEETjvz/F6EcnMUY8QIY77chSmaghAgQIAAAQIEuiMgQNidupATAgQIECBAgAABAgQIECCwA4H1YxFNif1jysotw+N2kKRdCcxMIMcIb//czPLgwAQIECBAgACBxRUQIFzculUyAgQIECBAgAABAgQIEFgmgeP33R3FFR1cpjpf9LJuznQaU8IaRLjoNa18BAgQIECAwAwEBAhngO6QBAgQIECAAAECBAgQIECgdYHVv/ta62lKkMCMBTYnGu09ktAPAQIECBAgQIBAqwIChK1ySowAAQIECBAgQIAAAQIECMxIIAZaxZFNLjojfoediMDxvRdFuhs/engiqUuUAAECBAgQILDEAgKES1z5ik6AAAECBAgQIECAAAECBAgQIECAAAECBAgQILB8AgKEy1fnSkyAAAECBAgQIECAAAECBAgQIECAAAECBAgQILDEAgKES1z5ik6AAAECyyHwxENHrrn+9269/bblKK5SEiBAgMBSCGjdlqKaFZIAAQIENgW0ej4IBAgQIDAJgdMnkag0CRAgQIAAge4IfPXeBx/7zvdu+s5HIktXX3lVdzImJwQIECBAYNsCWrdt09mRAAECBOZOQKs3d1UmwwQIEJgLASMI56KaZJIAAQIECGxfIIKCN/zBdbH/TR/7iHGE23e0JwECBAh0SUDr1qXakBcCBAgQmKyAVm+yvlInQIDAsgoIEC5rzSs3AQIECCyTQPQnrz54ZZRYjHCZql1ZCRAgsOACWrcFr2DFI0CAAIFCQKtXYFgkQIAAgXYEBAjbcZQKAQIECBDouMAN1/2hcYQdryPZI0CAAIFxBbRu44rZngABAgTmV0CrN791J+cECBDopoAAYTfrRa4IECBAgED7Au45bd9UigQIECAwawGt26xrwPEJECBAYHoCWr3pWTsSAQIElkBAgHAJKlkRCRAgQIDASQH3nJ6U8C8BAgQILI6A1m1x6lJJCBAgQGCUgFZvlJD3CRAgQKCpgABhUynbESBAgACBxRBwz+li1KNSECBAgEApoHUrNSwTIECAwGILaPV2Ur8bF75wJ7vbl0AHBXY9dG/kavWs8zuYN1nquIAAYccrSPYIECBAgED7Au45bd9UigQIECAwawGt26xrwPEJECBAYHoCWr1tWwuibJvOjt0VuONQ5O3o0/Z0N4dy1lUBAcKu1ox8ESBAgACBSQq453SSutImQIAAgdkIaN1m4+6oBAgQIDALAa3e9tSP771oezvai0BHBb78uZSxtX2XdDSHstVhAQHCDleOrBEgQIAAgUkKuOd0krrSJkCAAIHZCGjdZuPuqAQIECAwCwGt3jbUf/mMM1cuO7iNHe1CoIMCTx59eGVz+KBPdQdrZy6yJEA4F9UkkwQIECBAYCIC7jmdCKtECRAgQGCmAlq3mfI7OAECBAhMVUCrtw3uJ198wJMIt+Fml84JfPlzp334nb1cXXbwif0v71z2ZGgeBE6fh0zKIwECBAgQIDApgbjn9HkXXHDTxz4Sv3GM6F5O6kjSJUCAAAEC0xLQuk1L2nEIECBAYPYCWr1x6+B/ra4+5aWXx16r93973H0nuv2uh+5did8u/Wz86OGZZ2d15jkYkoHj99095J2Jr+59VNLAwTiU6ODEvRf5AAKEi1y7ykaAwMII3Hr7bWVZzj37nP2/8eJyTf/ynf/jrkcee7R/fV7TJJHKcfO+eaGVRK646ILdey/OaQ5YWD926+HDA9YXq64+cGBlre5pzE88dOSr9z5Y7DFgsZ1ERgXYRlZN5GxklG5kIk2qpkzk7Oc/57HvfE+McMDHwioCBCYmUGllxj1xDcxXk0Qqx+1Pp5VEtG79sFq3fhNrCBBYHoFK69OkrSm/rg+EapJI5bj96bSSiFavH1ar12/SqTW9iUZf8/rdd/7NqShLF/KXQz5dyMxmHroWQ+0MTC8juz77odnmJwbCbrz08t6H2Q+B7QoIEG5Xzn4ECBCYokAK2+QDvuRFl44MEP6nz34q4j15l/6Fqw9eOTKRynH7E7nhD67rX1lZMzKRlT+47uraAOETP/7hyERGdmv/+eePj0yk162tjTJGiHFkIiP7gRG43Xkih//2jlsP3V6hLl9GwO+WUVHkL9xyyz/8U/V+t8jbSMzyQJYJECCwbYHKyVDr1i858oSsdetH07r1m1hDgEAXBLR6FYH+StHqVUz06Sogk3jZm5Vx/8vX7/nWmY8f68JQuUmUUZoLKbB61vnH914kNLiQlTvlQgkQThnc4QgQILAdgQjmlTOwQNkAAEAASURBVLvFhJDly4HLv3nBvpX4Hf7TJJHKcfsTiy5c/8rKmp0nsvuM80Ym8mu/+rTKcSsvY4ORicSBKntVXkZ5RyZS2aX/ZSuJRPXtPCcvO/Cyc847N+Uwhxsj6DsyctxfKGsIECCwDYHKeaxJw6R1qzhr3Sog8VLr1m9iDQECXRDQ6lUE+itFn67fZOQard5IoiYbrO275Ikm29mGAAECCyewurGxsXCFUqDRAndd+eux0b6Pfn70prYgMFcCj37xMz87/JVnv+UdZ1517VxlXGYJzFLgpo98OAUIIzo4cgTkLDM6zrG1dONo2XaeBO552+9Gdl98+z/OU6bllcAsBBaydRsN+bbf7m1z2cHRW05lixiNkacmO/6GP954+hlTOeyIg6z+7Mcn5gTrAtTmbHJdxPm//58RjtN6O57wFPUVs6itXve+aR3TcQjMn8CCtXp6c/P3EZTjJRD47s3vffyBIxFQGPGcoCWgWKQi7lqkwigLAQIECBAgMK7AgvUkxy2+7QkQIEBgIQW0bgtZrQpFgAABAgMFtHoDWawkQIAAgZECAoQjiWxAgAABAgQWVuDW229bvLGDC1tbCkaAAAECzQS0bs2cbEWAAAECiyCg1VuEWlQGAgQIzEjAMwhnBO+wBAgQIEBg1gLuM511DTg+AQIECLQvoHVr31SKBAgQINBVAa1eV2tGvggQIDAfAkYQzkc9ySUBAgQIEGhXwH2m7XpKjQABAgS6IKB160ItyAMBAgQITEdAqzcdZ0chQIDAAgsYQbjAlatoBAgQIEBgsID7TAe7WEuAAAEC8yygdZvn2pN3AgQIEBhPQKs3npetCRAgQGCQgBGEg1SsI0CAAAECiyvgPtPFrVslI0CAwPIKaN2Wt+6VnAABAssnoNVbvjpXYgIECExEwAjCibBKlAABAgQIdFMg32d6041/tv83XtzNTMoVAQIECBAYS0DrNhaXjQkQIEBgrgW0enNdfTJPgACBTgkYQdip6pAZAgQIECAwQQE9yQniSpoAAQIEZiSgdZsRvMMSIECAwAwEtHozQHdIAgQILK6AAOHi1q2SESBAgACBQkBPssCwSIAAAQILIqB1q1TkxoUvjDUbP3q4st5LAvMrsOuheyPzq2edP79FkHMCbQlo9dqSlA4BAgQIJAEBQp8EAgQIECCw+AJ6kotfx0pIgACB5RPQuvXXuSBKv4k1cy9wx6EowvG9F819QRSAwM4EtHo787M3AQIECAwQECAcgGIVAQIECBBYJIH8BHvPHVykalUWAgQILLmA1m3wB+DV18b61fu/PfhdawnMncCXP5eyvGv/K+Yu7zJMoEUBrV6LmJIiQIAAgSxwel6yQIAAAQIECCykwNVXXvXAgw8e+K3L9v/GixeygApFgAABAksooHUbXOlre1YuO7gSI67iNxb8EJhngSePPnxaGj74hj92e/s816S8tyCg1WsBURIECBAg0CcgQNhHYgUBAgQIEFg4gRuu+8OFK5MCESBAgMCyC2jdBn8CXn1tPIOwN4jwjkPxSEKTjg5Wsrb7Al/+XIoORqjb8MHuV5ccTkFAqzcFZIcgQIDAsgkIEC5bjSsvAQIECBAgQIAAAQIECCyuwNqe1Tf9ycanPxAxwl6Y8P5vR5iwC6Xd9dC9K/HbpZ+IpM48O6ubOeggzvH77p4VTk9jc+BgLwMxEPZ1/2FWOXFcAgQIECBAgMBiCwgQLnb9Kh0BAgQIECBAgAABAgQILJlAxAive9/xO7+x+ndfOxEm7AJADvl0ITObeegFUDvy0z2cXZ/90GxtIrB9/MprT7tg32yz4egECCyDwL/Z2PjpkX888/FjUdijT9sTC/4S6LjAM88+95fPOHMZ/nsq46QFBAgnLSx9AgQIECBAgAABAgQIECAwbYHerIz7XxFhwt54LD8E5kfg+N6LNp5+RoQGT5ufPMspAQJzKvCUnx5NN9PkSEta8JdA9wV2r6zEzTQbL71cpHBOzz8dybYAYUcqQjYIECBAgAABAgQIECBAgEDLAilM2HKikiMwSYFdk0xc2gQIEMgCu+/8m1MTGm/GWvJb01woh7N3ZFbwVPyUsS5kqTs5yR+ME7UW82DP6Cc9cDqyEb+7Lzv4xP6XzygjDjv3AgKEc1+FCkCAAAECBAgQIECAAAECBAgQIECAAAECDQVydDACYKtnnR97pYfCNty9xc024tAnp7yO0WAxhLrFxLed1OrPfpxylXC2nU47O276dBBn5dXXtlPA8VOJj+uTRx8+7a7DvSD3HYdiNKEY4fiK9ugJuDHL54AAAQIECBAgQIAAAQIECBAgQIAAAQIElkKgPzq4FMVWyMUSOO3M83sRyjSK8Y5DMV/uYpVPaaYkIEA4JWiHIUCAAAECBAgQIECAAAECBAgQIECAAIEZCvTiKDHoanNO0U4Mj5uhhUMvgMDJGGE8TXMBSqMI0xcQIJy+uSMSIECAAAECBAgQIECAAAECBAgQIECAwLQFdj10bxwyzyw67cM7HoHWBTZnOo0pYQ0ibJ12GRIUIFyGWlZGAgQIECCwdAJPe97FUeb1e761dCVX4IUWSB/p9PFe6IIqHAECBAgQIECAAIGJCGz86OGJpCtRAjMU2JxoNAW/Z5gLh55HAQHCeaw1eSZAgAABAgRGCDz13GfFFv96RIBwBJS350sgfaTTx3u+ci63BAgQIECAAAECBLogEAOtIhsmF+1CXchDWwLH914USQl+t+W5VOkIEC5VdSssAQIECBBYFoFzXvvGKOrPDn9lWQqsnEsgEDPGpI90+ngvQYkVkQABAgQIECBAgAABAgQIEJiUgADhpGSlS4AAAQIECMxQYPfei9M0jN+9+b0zzIZDE2hR4L6//FSk9vQDr4qPd4vJSooAAQIECBAgQIAAAQIECBBYQgEBwiWsdEUmQIAAAQJLIXDxjZ+Mcj7+wJFHv/iZpSiwQi60QHyM48McYe+9b33XQhdU4QgQIECAAAECBAgQIECAAIFpCAgQTkPZMQgQIECAAIEZCKzt2ffRz8dxY1bGe972u+v3eB7hDCrBIXcuEB/dGAibJhd97vXvWVnbs/M0pUCAAAECBAgQIECAAAECBAgsucDpS15+xSdAgAABAgQWWCBmYowYYQRXYujV9z/5/hh99dRznxXl/ZWLL1ngUivaYgj865FeSPsXj/wgPr2xEJ/eiA6aXHQxKlcpCBAgQIAAAQIECBAgQIDAzAUECGdeBTJAgAABAgQITFAgAiox1+jRb9z+k6/fFoGWFGtJg7EmeFRJE2hV4NlveceZr7jS2MFWUSVGgAABAgQIECBAgAABAgSWWkCAcKmrX+EJECBAgMBSCKztOfOqa+P3iYeOxGyNaWDWUhRcIedcIIa6ru27ZPcZ5wkNznlNyj4BAgQIECBAgAABAgQIEOicgABh56pEhggQIECAAIEJCcRowviNSOGE0pcsAQIECBAgQIAAAQIECBAgQIAAgbkQ2DUXuZRJAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgRaERAgbIVRIgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgTmQ0CAcD7qSS4JECBAgAABAgQIECBAgAABAgQIECBAgAABAgQItCIgQNgKo0QIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIzIeAAOF81JNcEiBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGhFQICwFUaJECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEJgPAQHC+agnuSRAgAABAgQIECBAgAABAgQIECBAgAABAgQIECDQioAAYSuMEiFAgAABAgQIECBAgAABAgQIECBAgAABAgQIECAwHwIChPNRT3JJgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAoBUBAcJWGCVCgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAYD4EBAjno57kkgABAgQIECBAgAABAgQIECBAgAABAgQIECBAgEArAgKErTBKhAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgMB8CAgQzkc9ySUBAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBVgQECFthlAgBAgQIECBAgAABAgQIECBAgAABAgQIECBAgACB+RAQIJyPepJLAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAq0ICBC2wigRAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAvMhIEA4H/UklwQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgRaERAgbIVRIgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgTmQ0CAcD7qSS4JECBAgAABAgQIECBAgAABAgQIECBAgAABAgQItCIgQNgKo0QIECBAgAABAgQIECBAgAABAgQIECBAoNMCGxe+MPK38aOHO51LmSMwjsCuh+6NzVfPOn+cnWxLoCcgQOhzQIAAAQIECBAgQIAAAQIECBAgQIAAAQKLLyCIsvh1vIQlvONQFPro0/YsYdEVeYcCAoQ7BLQ7AQIECBAgQIAAAQIECBAgQIAAAQIECMyBwPG9F0UuV+//9hzkVRYJNBH48ufSVmv7LmmyuW0IlAIChKWGZQIECBAgQIAAAQIECBAgQIAAAQIECBBYTIFfPuPMlcsO9sq2OehqMQupVEsj8OTRh098ktOnemkKrqBtCQgQtiUpHQIECBAgQIAAAQIECBAgQIAAAQIECBDotMCTLz6QnkQYkRUPI+x0VclcvcCXP3fah9/Z2+Syg0/sf3n9tt4lMFDg9IFrrSRAgAABAgQIECBAgAABAgQIECBAgAABAgsm8L9WV5/y0sujUDHRaG+u0fu/fSJeOOty7nro3pX47dJPFwKoq5sgHcQ5ft/ds6qrnkYeAis6OKtqWIjjChAuRDUqBAECBAgQIECAAAECBAgQIECAAAECBAg0EOhNNPqa1+++829SlKUrjyTMIZ8GRZjOJl2RidJ2D2fXZz80nVoYdpQIbG+89PLeh9kPge0KCBBuV85+BAgQIECAAAECBAgQIECAAAECBAgQIDCfAr1ZGfe/fP2eb535+LEuDJWbT0W5noHA6lnnH997kdDgDOgX7pAChAtXpQpEgAABAgQIECBAgAABAgQIECBAgAABAg0E1vZd8kSDzWxCgACBxRPYtXhFUiICBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBIYJCBAOk7GeAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAwAIKCBAuYKUqEgECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIFhAgKEw2SsJ0CAAAECBAgQIECAAAECBAgQIECAAAECBAgQILCAAgKEC1ipikSAAAECBAgQIECAAAECBAgQIECAAAECBAgQIEBgmIAA4TAZ6wkQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgsoIAA4QJWqiIRIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQGCYgQDhMxnoCBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECCyggQLiAlapIBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBIYJCBAOk7GeAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAwAIKCBAuYKUqEgECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIFhAgKEw2SsJ0CAAAECBAgQIECAAAECBAgQIECAAAECBAgQILCAAqcvYJkUiQABAgQIECAwUGD92PH77v7JY48MfNNKAl0TeObZ5+56waUra3u6ljH5IUCAAAECBAgQIECAAAECBOZdQIBw3mtQ/gkQIECAAIHRAkdv+9xPvn7b4w8cGb2pLQh0RuD7mzl52vMufuYrrzrzqms7ky8ZIUCAAAECBAgQIECAAAECBOZeQIBw7qtQAQgQIECAAIE6gfVjR979lhwajFjLU899Vt323iPQGYFfPPKD+OjG7/cfOBIR7otv/KTRhJ2pHBkhQIAAAQIECBAgQIAAAQLzLSBAON/1J/cECBAgQIBAnUARHXz6gVed89o31m3sPQKdFFi/51vf/+T7I0wYoW4xwk5WkUwRIECAAAECBAjMscC/2dj46ZF/PPPxY1GGo0/bEwv+Eui4QDyN4pfPOHOO/9fJemcEVjc2NjqTGRmZnsBdV/56HGzfRz8/vUM6EoGpCDz6xc/87PBXnv2Wd5iKbSreDkKg2wIno4MxavC517+n23mVOwJ1Ak/56dH7/vJTESOMD7MYYZ2U9wgQIECAAAECBOZfYGrXLeNr9urffW31/m/Pv5kSLKPAxoUv3Hjp5VOLFH735vdGnzQCCrv3XryM3AtaZiMIF7RiFYsAAQIECCy9wEOfeF98eQ0G0cGl/yzMPUB0+eJjnPpj8cHe+44PzH2RFIAAAQIECBAgQIDATAV23/k3K3ccSlmIQMvqWefPJDsbP3o4RygjGzPJw8CDplx1IUvZZ2A+Z7hyhjjJJP7G7+7LDj6x/+UzdHDouRYQIJzr6pN5AgQIECBAYIjA+rEYTxzv/ds/vfmXQzaxmsB8Cbzg37/5f/7p9fHB3vvWd3kY4XzVndwSIECAAAECBAh0SuBUdPCygyuvvnZ1dpnbuO/uHACL0WAbTz9jdnk5deTVn/34RAhqRnHTU1mJpa4O8ZxVULmHs1kvJ6LLdxzavbIiRrjlM+NFY4Fdjbe0IQECBAgQIEBgbgRilFXkNZ47OLXZNuaGRkbnViA+zPGRjuwf/cbtc1sIGSdAgAABAgQIECAwY4EcHTz+hj+O6OCMc+PwBLYrEBHKE6MY7zgU8+VuNxn7LbWAAOFSV7/CEyBAgACBRRX4xSM/iKL9ysWXLGoBlWs5BdJH+idfv205i6/UBAgQIECAAAECBHYo0IujbM4sGtHBXS+4dIep2Z3AbAVyjDCepjnbnDj6nAoIEM5pxck2AQIECBAgUCeQnj64tk+AsE7Je3MnkD7S6eM9d5mXYQIECBAgQIAAAQIzF9j10L2Rhxh3JTo487qQgVYE0kynMSWsQYSteC5bIgKEy1bjykuAAAECBAgQIECAAAECBAgQIECAAIFlFIjHtkWx42l/y1h4ZV5QgTTRaAp+L2gRFWtSAgKEk5KVLgECBAgQIECAAAECBAgQIECAAAECBAh0RyAGWkVmDB/sTo3ISVsCKfjdVmrSWRIBAcIlqWjFJECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINATECD0OSBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECCwRAIChEtU2YpKgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAQIDQZ4AAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIDAEgkIEC5RZSsqAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgdMRECBAgAABAgQIECBAgAABAospsH7s+H13L2bRlGpBBTaefsZpF+xb0MIpFgECBAgQIECgQwIChB2qDFkhQIAAAQIECBAgQIAAAQKtCDz54D27bv/c6v3fNnFQK54SmbbAZQdXXn3tytqeaR/X8QgQIECAAAECSyMgQLg0Va2gBAgQIECAAAECBAgQILAkAl/489PuOJTKunHhC1fPOn8m5d740cMRoczZmEkeBh405Spk8ruxZlYvcx46tVBqpIxViGLlhNak2lmJD/Adh46/4Y937X9Fp2RkhgABAgQIECCwMAIChAtTlQpCgAABAgQIECBAgAABAgRWVr7w573gSvxsjsFanR3Jxn13nwj2rKxsvPTymDpydnk5deTVn/045WpL3PSs87dATe3lyQDqqfx1Y2kLTspSxSRWTmjNZjw7RZd3ffZDx1dWxAi78aGQCwIECBAgQGDRBAQIF61GlYcAAQIECBAgQIAAAQIEllbg+J3f2LUZHewNvXrBpUvroODzLhARyo3NQYoRI3zSUwnnvTrlnwABAgQIEOikgIcRdLJaZIoAAQIECBAgQIAAAQIECIwrsH4soimxk+jguHK276BAL0a4OQ1sPE2zg9mTJQIECBAgQIDAvAsIEM57Dco/AQIECBAgQIAAAQIECBDoCRy/7+74GzEVYwd9IBZDIM10GlPCPvngPYtRIqUgQIAAAQIECHRHQICwO3UhJwQIECBAgAABAgQIECBAYPsCq3/3tdg5nva3/STsSaBjAmkQ4Wl3He5YvmSHAAECBAgQIDD3AgKEc1+FCkCAAAECBAgQIECAAAECBEIgBlrFX8MHfRgWT2DjRw8vXqGUiAABAgQIECAwWwEBwtn6OzoBAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBqQoIEE6V28EIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIzFZAgHC2/o5OgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAYKoCAoRT5XYwAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABArMVECCcrb+jEyBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEJiqgADhVLkdjAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgMBsBQQIZ+vv6AQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgSmKiBAOFVuByNAgAABAgQIECBAgAABAgQIECBAgAABAgQIECAwWwEBwtn6OzoBAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBqQoIEE6V28EIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIzFZAgHC2/o5OgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAYKoCAoRT5XYwAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABArMVECCcrb+jEyBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEJiqgADhVLkdjAABAgQIECBAgAABAgQIECBAgAABAgRmIrBx4QvjuMfvu3smR3dQApMTWD3r/MklLuVFFRAgXNSaVS4CBAgQIECAAAECBAgQIECAAAECBAjMmcDTnndx5PgpPz06iXynIMquh+6dROLSJDATgdX7vx3HPfq0PRM9+uMPHIn0d59x3kSPIvEpCwgQThnc4QgQIECAAAECBAgQIECAAAECBAgQIEBgsMBTz31WvPG9//7lwW/vbO3xvRf1Erjj0JNHH95ZSvYm0AmBjR+d+CSv7btkchlKAfte8H5tsmHIyRVBygMFBAgHslhJgAABAgQIECBAgAABAgQIECBAgAABAtMW+JWLe3GOXzzyg0kc+JfPOHPlsoOR8mkffqcY4SSEpTllgTR8MH2qJ3foFLBPwfvJHUXK0xcQIJy+uSMSIECAAAECBAgQIECAAAECBAgQIECAwACBM19xZayN+QwnNMvoky8+kJ5EGDFCDyMcUAFWzYlAb+zgHYd6mb3s4BP7Xz7RXP/s8Fci/XNe+8aJHkXi0xc4ffqHdEQCBAgQIECAAAECBAgQIECAAAECBAgQIDBAYG3P0w+8KgISMWhpEgGJ/7W6+pSXXh7HjaFXuz77oQgWxoMJT0w9OiA3k11VPg2xt9yxhyPm6Ssnq1Cb+mrtuzN8c7Y48ek9ITP56OD6Pd8K55hfdPfe3vNB/SySgADhItWmshAgQIAAAQIECBAgQIAAAQIECBAgQGC+Bfa+9V0RIIzf5/y7V/cmBW37p5fma16/+86/iQFYvRkaI1KYRmK1faDx0utCHrbm+MT0lVtXepUEZo4Tse2Nl14+if8glSr+/iffH2ue+cqrKuu9XAABAcIFqERFIECAAAECBAgQIECAAAECBAgQIECAwKIInBxEeN9ffuq5179nQqXqzcq4/+UxOurMx4/NdjTYhAoo2UUVSGNepxAaDMBHv/iZ+BvDB8+86tpF9VzmcgkQLnPtKzsBAgQIECBAgAABAgQIECBAgAABAgQ6JxCDCH/xyA/iSYQRn5jERKO5wGv7Lnkiv7BAgEAhEOHz9PTBi2/8ZLHa4uII7FqcoigJAQIECBAgQIAAAQIECBAgQIAAAQIECCyAwNqeNHYw4hPpEWgLUCZFIDBHAk/56dE0ueiz3/KOlbU9c5RzWW0uIEDY3MqWBAgQIECAAAECBAgQIECAAAECBAgQIDANgd17L+5FJlZWIkohRjgNcccgcFIgooP/80+vj1dPP/Aqk4ueVFnAfwUIF7BSFYkAAQIECBAgQIAAAQIECBAgQIAAAQLzLhCRiRwjjIjFvBdH/gnMhUCODsajB2Oy37nIs0xuT0CAcHtu9iJAgAABAgQIECBAgAABAgQIECBAgACByQrkGGGMZzKOcLLWUiewslJGB3uPHjS56EJ/KgQIF7p6FY4AAQIECBAgQIAAAQIECBAgQIAAAQLzLJBjhDHX6KNf/Mw8F0XeCXRaIGLwaWbRGDsoOtjpqmopcwKELUFKhgABAgQIECBAgAABAgQIzFRg48IXxvGP33f3THPh4ATaF1g96/z2E5UiAQJzJZBjhD87/BUxwrmqOpmdG4H4nxUx+MhuPHdQdHBuqm1nGRUg3JmfvQkQIECAAAECBAgQIECAQDcEUhBl10P3diM7ckGgBYHV+78dqRzfe1ELaUmCAIE5F4gY4b/905ujEBEjvOdtv+uRhHNen7LfIYH43/Tdm98b/7MiT/HUz73v+ICZRTtUPZPMigDhJHWlTYAAAQIECBAgQIAAAQIEpibw6mt7h7rj0JNHH57aMR2IwOQENn504pO8a/8rJncUKRMgMEcCcTZ48f97OCY/jDzHRIiGEs5R3clqZwXStKKPP3Akcrjvo5+PSHxnsypjrQsIELZOKkECBAgQIECAAAECBAgQIDALgbU9K5cdjAOf9uF3ihHOogIcs2WBE8MH3/DHLacrOQIE5lpgbc/FN38+BjlFIQwlnOualPmZC6SBg2la0Yi7R/R9995e9N3P8ggIEC5PXSspAQIECBAgQIAAAQIECCy6wKuvTU8ijBihhxEuemUvcvl6YwfvONQr4WUHDR9c5JpWNgLbFYhBTpWhhGYc3a6l/ZZUIAbgxjDcNHAwIu4Rdzet6BJ+FE5fwjIrMgECBAgQIECAAAECBAgQWEyBtT2rb/qTjU9/IIZe7frshyJYGA8mnNXz28qnIfaWO/ZwxDx95Qw/CaszPHbtoWeLE5/eEzIxIvZ1/6E2p94kQGCJBTaHEh697XMx/imGEsbv0w+86pzXvnGJRRSdQCOBmFM0jRqMreN/zd63vktosBHcIm4kQLiItapMBAgQIECAAAECBAgQILC0AhEjvO59x+/8xurffa03Q2NECtNIrNmCdCEPWwXS9JVb13l1QmDmOBHbPn7ltaddsE+VECBAoF4ghhKe+YorH/rE+1KMMP7GWKi1fZfU7+VdAsspEKHBn3z9tjRqMOYUfe717zGn6HJ+EnKpBQgzhQUCBBZB4BeP/GARiqEMBAgQIECAAAECBHYm0JuVcf8rIkxYDuPbWZL2JjANgRjwuvH0MyI0eNo0juYYBAgshMDanr3v+ECMHfzuze+NyEcaGiVMuBBVqxCtCZShwUg0/oNEcL211CU0twIChHNbdTvLeNwgEO1lzM39y2ecubOU7E2giwLuFOtircgTAQIECBAgQIDA1AVSmHDqh3VAAtsX2LX9Xe1JgMBSC8RAqHiIWsw4mgZICRMu9adB4QuBAaHBV1xpTtFCaKkXBQiXtPqfeu6zIkD4vf/+ZRNzL+knYHGLncbI7z7jvMUtopIRIECAAAECBAgQIECAAAECBAgMEOjNOHrVtZUwYTxl7Tn/7tWGSQzwsmqhBR794mdi0t1cxN6oQaHBzGFhU0CAcEk/CL9y8SVxdjAZ45JW/+IWO+6IicLFAFl3wSxuJSsZAQIECBAgQIAAAQIECBAgQKBOoBImTI8njDBhXBE16VQdnPcWQiAukP7rkW/l0GBcKX3mK68SGlyIum2/EAKE7ZvORYpxRoiB9mms1VxkWCYJNBGIxi82izavyca2IUCAAAECBAgQIECAAAECBAgQWFSBFCZ84qEjaRxVChNGYWMc1TPPPteAwkWt92UuV3zUY0RQvuYfocHnXv+emH13mU2UvV5AgLDeZ3HfXduTHkMYZw2zjC5uNS9XyeKZmunWmN4dMX4IECBAgAABAgQIECBAgAABAgSWXiCiI3vf8YG9b33X0W/cnh9P+P3NCajiFnMDCpf+A7IIAJUhg1GkGC8b1/yFBhehdidcBgHCCQN3OPm4feCet/1uBFTMwd3hWpK1MQTimZqxdbR/5hcdQ82mBBZXIN0HE9+S9fcWt5KXsWSnJtNextIrMwECBAgQIECAAIHtCqztSQMKj9/5jbiCFFdEY5TV9x84EsmZenS7pvabsUB/XNBsojOukjk8vADhHFZaS1mOOwii/Yvm8L6//FQEC1tKVTIEZiOQH7obd4TNJgeOSoBAxwSeeu6zor8XMw8LEHasZmRnRwJpMu34eO8oFTsTIECAAAECBAgQWFaBXftfsTd+iwGFcXU0fsNDpHBZPxRzVu7+uGAUICbOjasfhgzOWV12ILurGxsbHciGLMxIYP3YkXe/Ja6fRvtnotEZ1YHDtiAQk4v+zz+9PhKKtjBuB2shRUkQIDD/AvGciRgoH+XY99HPz39plIBATyC3d/Gp1vHzmSBAgAABAgQIECDQgsD6sYc+8b7ysW2RpkhhC7CSaFtgYFwwXdXXPWwbe4nSEyBcosoeWNR8/VSMcKCPld0XyFdL4zMcc8p3P8NySIDA1ASOXP+7cRNMeij31A7qQAQmJ/Ddm9+b7uvS3k0OWcoECBAgQIAAAQLLKRDXSGN6qkqkME3Y+Myzz/3lM85cThalnrmAuODMq2CxMyBAuNj126h0R2/73Pc/+f7YNNq8F/z7N2vwGqnZqBsCeWZR0cFuVIhcEOiYwPqxu/7PA5EnN8F0rGJkZzsCqcmLb2sX3/hJT9vdjqB9CBAgQIAAAQIECDQQiEhhhGR+8vXb4ua8vHl8D495/n/l4ks8wyKbWJicQAoK9oer40MYswAaLzg5+SVMWYBwCSt9QJGj5Uv3pMd7rqIOALKqewLldzXRwe7VjxwR6IpAHigfGUoz8nclZ/JBoLFA2eSZXLQxmw0JECBAgAABAgQI7Exg/djRb9weTwFPTyjMaQkWZgoLLQrEHGnf++9frgQFI/34vD3zlVed+Yor3SfaoraksoAAYaZY+oXNGbdzgxcRFzfFLP1noosAlcYy2sgY9hrPl+5iXuWJAIFuCJQ3waSOXOQr2rhu5E4uCAwViCsR8V7uH8an97nXv8e9okO9vEGAAAECBAgQIEBgYgIDhxXG0VIf00XUicEveMIDRwrmz1VvsOAZ54kLLviHYNbFEyCcdQ107PjlVdSOZU12CFQFYjCQ22eqKF4TIDBQYPPGz8oUMQM3tJJANwU0ed2sF7kiQIAAAQIECBBYOoH1Y8fvu3vYSK80DalnFi7dp2KcAtcHBSPY7GrnOJy23amAAOFOBRdzfxdSF7NeF6FU6c4sd9AsQl0qA4FZCKS7PtPArFkc3zEJjCeQ7kR20+h4arYmQIAAAQIECBAgMB2B4cHCOH4eXCheOJ3a6OxRUkQwspen7stZzR8SQcFsYmHKAgKEUwafw8OtH5vDTMvyggqs7VnQgikWAQIECBAgQIAAAQIECBAgQIDAHAvkG1LzYwLKwuRQkHhhybJ4y/F0pJ889ki6L7k/IhjlTZ+E5/y7V+96waWmD128D8DclUiAcO6qTIYJECBAgAABAgQIECBAgAABAgQIECBAoKsCtYMLI9MpShQLnl/Y1Spsmq8cERwYGM51be7QpqC2m66AAOF0vR2NAAECBAgQIECAAAECBAgQIECAAAECBJZGIAYXpjDST75+WxT68QeO9Bc9Qoax0lMM+2W6sybHAiNLw8KB8VYeLbq275Lde3vV6odAZwUECDtbNTJGgAABAgQIECBAgAABAgQIECBAgAABAoslsH7siR//MD2aribOFGUuBxqam3SaH4KGscBcRzFAMCrIrKHTrCPHakVAgLAVRokQIECAAAECBAgQIECAAAECBAgQIECAAIHxBbaGDGP/gaMMU7p5rGG8jLhU/BU7TDLj/o0oYOySHxkYy2PFa4UDxwW3fQcFBAg7WCmyRIAAAQIECBAgQIAAAQIECBAgQIAAAQLLKxATk0bh00DDWKiPXSWmMnYYa4QP00DAhPOvR76VGONvTfw1bdw/dnP3GeetrO1J7/pLYGEEBAgXpioVhAABAgQIECBAgAABAgQIECBAgAABAgQWV2BzrGFlAswo7ciIVxJJEcRYjocdZqMUR4yXMRIxrfzlM87M73ZtIQ/7yxlLkb/0skkYNe9YxlMTQu+pgQKBGcjCEggIEC5BJSsiAQIECBAgQIAAAQIECBAgQIAAAQIECCywwGbsMMoXgw7j71gD5upVclgxNisjizV75aBjZZsymFd5K7+MIF9ebhj4zNtXFvpDgGk6VlHACpSXSysgQLi0Va/gBAgQIECAAAECBAgQIECAAAECBAgQILAcAicjiMMm3kwKO4zJTZqyP1pZBiNPDAGMTJgOdNI1If2FEBAgXIhqVAgCBAgQIECAAAECBAgQIECAAAECBAgQINCWwPqxlNITP/5hTjINT8wvBy7UDBMsg3kD942VaZBferc31C/9CPidgPAPgTYFBAjb1JQWAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgY4L7Op4/mSPAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEWBQQIW8SUFAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGuCwgQdr2G5I8AAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIBAiwIChC1iSooAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIBA1wUECLteQ/JHgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAoEUBAcIWMSVFgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAoOsCAoRdryH5I0CAAAECBAgQIECAAAECBAgQIECAAAECBAgQINCigABhi5iSIkCAAAECBAgQIECAAAECBAgQIECAAAECBAgQINB1AQHCrteQ/BEgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoUUCAsEVMSREgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDouoAAYddrSP4IECBAgAABAgQIECBAgAABAgQIECBAgAABAgQItCggQNgipqQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIdF1AgLDrNSR/BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBFoUECBsEVNSBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBLouIEDY9RqSPwIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQItCggQtogpKQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQJdFxAg7HoNyR8BAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBFgUECFvElBQBAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBrgsIEHa9huSPAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQIsCAoQtYkqKAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQNcFBAi7XkPyR4AAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBFAQHCFjElRYAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKDrAgKEXa8h+SNAgAABAgQIECBAgAABAgQIECBAgAABAgQIECDQooAAYYuYkiJAgAABAgQIECBAgAABAgQIECBAgAABAgQIECDQdQEBwq7XkPwRIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaFFAgLBFTEkRIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6LqAAGHXa0j+CBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECLQoIEDYIqakCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECHRdQICw6zUkfwQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgRaFBAgbBFTUgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgS6LiBA2PUakj8CBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECLQoIELaIKSkCBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECXRcQIOx6DckfAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgRYFBAhbxJQUAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAga4LCBB2vYbkjwABAgQIECBAgAABAgQIECBAgAABAgQIECBAgECLAgKELWJKigABAgQIECBAgAABAgQIECBAgAABAgQIECBAgEDXBQQIu15D8keAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgRQEBwhYxJUWAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECg6wIChF2vIfkjQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAg0KKAAGGLmJIiQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAg0HUBAcKu15D8ESBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGhR4PQW05IUAQIECBAgQIDAaIH1Y7cePpw3O/fsc/Y//8KVtT15zciFmz7y4VsP3Z42u/rglTdc94cjd1nUDd7+jj/5h3+6O5Xuphv/bP9vvHhRS6pcBAgQmGuBJx468qrfvz4X4fChr+XlhVy45vrfe+w730tFa715Gq/tWz/2xI9/mJF37704L1sgQIAAgSkJrB+78zv3P/LYo/lw2+gD5n0tECBAoEUBAcIWMbuY1K2333bTxz5Sn7Ozn/+c2OA3L9h34Lcuc1mt3sq7BAgQIEBg+wLrx2769KcjsPfwvzzYn8j5/9sFEep762uuaHLl7tEfPpITefRFj/SntjxrIjqYKcou9/IIKCkBAgsj0KTvVl/Y6NndcvNf1G8zq3f/+eeP59P1rPIwzeNGdHBy5R2r7YvvHh//8KlrAr//h9ct831F0/wMOBYBAgRWNjuAf//gPXfd9tcDNaIP+JIXXfreN7+xSR9wYApWEiBAYIcCAoQ7BOz67g88+ODIbkna4K6Vv45uQ7RMN/zBdVdcdIGWqetVK38ECBAgMEcCmz3D8vJcf96jOf74v3wktnHlrh/HGgIECCyDQJO+W71Dr2d3c/0m3l06gbipaOnKrMAECBCYuUCDDmDkMRru+P3/vvhX/8drf0eYcOaVJgMEllPAMwiXs96HljqapT962/Ux90vcvjp0I28QaFsgZuA5cPDy9BuzD7WdvPQIECAwS4E4rR245nfqo4Nl/mLLOB/G3ablSssECBAgQIAAAQIECBAg0H2BcTuAUaKIEcbF2Dv/x11NShfPm8jX0Fy/bSJmGwIEagSMIKzBWcC3YoBgpVS9m0z7flKY8JuHv/nBd79rrEci9aVkBYFGAuVkCzH70P5GO9mIAAECcyCQHrnU39q++Kr/PSb3TgWIOWcqE5HF9hFTPHzLX2mF56COZZEAAQKTEejvu408Tnp4xMjNbECAAAECBAhMSGBgBzDa9HicxPMuOHVVNqYNqDx7IvqAr3vtNV/44i0jH/8U/cfcwYx0JlQQyRIgsCQCAoRLUtG9YkZrNPhR8OvHbj18OMKBcbtKyREv49kGrk6WJpYJECBAgMAYAuvH4j7Q3HlLO/ZmEH3Tm/ojf+nRU3njWIiHBnlK0BjaNiVAgMACCQztuy1QGRVlOgLnnHduGWwuL09PJwOOQoAAgaUSeM+nPpP7dFHwOAPfdOOfDYz5RV8vhgze8O53ltvHS1dil+oDo7AEZi5gitGZV0EHMrC25+orr/rg+z9wz9e/FHNelxmKJuqad7+9XGOZAAECBAgQaCgQEb6ysxedw2hqezG/tT39KURb/JWP31xewou5RhtOMtOfmjUECBAgQIAAgRCILx5xo3D+je8bWAgQIEBgQgIxfLAcfRFXWeP0OzA6mDIQb8UG5cXY6D9GL3JC2ZMsAQIE+gUECPtNlnfN7r0XR5gwBrOXBDH3Y8xtXa6xTIAAAQIECIwUiM5h+dzBiPxF/C+a2pod493YptzgP332U+VLywQIECBAgAABAgQIECDQTYEYPpgzFh3A3pObGvzExdh4AkXesNeL9ED6zGGBAIEJCwgQThh4DpOPu1cqMcJomeIq5xwWRZYJECBAgMDMBMrOYWRiZHQwZTRihDEHac503KZjEGHWsECAAAECBAgQIECAAIHOCsSjmnLe4qGDA2eOyRuUC//xDW8uX8ajoMqXlgkQIDA5Ac8gnJztHKccMcK4OlmOe/i/bv7Pt9z8F+MWKcKK//zzx2OvX/vVp9WPmRgv5fVjT/z4h5FyL9kzzmve3I53lHa3Ppnn/c+/sGGGs17zXeqznKK8J9xqh7DUpzPw3YkmPvCIw1Zuycm8fDyGFcZ6AgTmViDORZW5ZZq3g/GEwrIJPvy3d9RMSjNU6GS703ITPPR4O36jsxk+mbEo4dxg7rg2JECAwFIJRJuVem3N+x1pl9bPitvISZOamkiyJ1uH1hF6JZpo4k3IBm1zqtJb6mRNpF5WViLZyP6cXS4YBG4dAQLzKFA+YOLAb13WvAjR44tBhHF7aNrlgQcfbL5vC1tOs90Z91gnt49ittvmTqgZOlEdJ7Pdy3NL7WYLFS0JAoMEBAgHqVi3+aCCv3/wntwy9RZuPNYosrV+LCbLLvfNnNHUvf6K377ioguaXyTN+8ZCDKGIi6S3Hrq9bG5jfYzZf8mLLn3dNdc0uX56zfW/l9O85cYPNixRfhDjs5/6qzHwP6eQF6JRiRhqevmbF+zrPV9q8yfWf+JLX63kOWX4vW9+40CHKOYXbrmlvKwcKdXvko41+O+o6rj6wIEmCDHNbNRpOkTpliqlvJCdtkl1Pez5Frfeftt//ep/G5zh+Oy9+51nP/85/e+WsNV3hxcz6CK1+OANy0w1Ka8JECDQhkCc/MtkopEqX45YXtsTT6HIDUE0IrlZGbHj5kWx/nYn9qo/LedkhzVneYOBC032KreJ22NPNdmbJ/BKQ9k8wwPzU7+y0gaVjVq547BvHSlv0SS99TVXDGzHy0QsEyBAoAsC5XmvPAPHiS4mss49vpTV+PJ8wx9cN6zLlpKq7NKwiRlKsX4sxklE76CSbOoBNezlDUh8eAchGtmXHXjZ9noHw1qHnSJsFmDniZet7QCTlZVh/dkISeY+75Zu1xDGqJ0YGbOdpnB4gtGp39JHHpalgQUbkmxsmz5I267xgUezkgABAoMFts4LGpGhwZsNWRun6LtOvpUvwcWK/nN72WJGT6rc+GQCvX+H9XTyNtHu9F+BjHebn+TLq6w52bxQfuuIhqb/cnGMThnW1Y1SD+zYRuIn2txm1zNzZk4sjPOtI5duS8tYTXHL62FN+U6/1Ww5iBcEWhZY3djYaDlJyXVJIOI6OXgTJ6N48m3z3MVJ7XWvPXVBs+asndMsD5dXVhZSMzOsAahsnF6mtrBs/wZuFi3Ef7n+j2qu1kU6+175mrzvPV//Us3GebPKXg899FB+Ky+UVpGNNNpypEaVdLMLVFPMoIvuevOu7MgMRP4bphmNYs5YzEDbu7A7KreRePS9t/TxTnq9/R1/ki98n1w3+t9hH+AmxYzUG5Z0dD5sQYAAgQYC5Wlz2OmrJpnKme2hf7y7cjNHeSKNk23v5pXNHldu9AcmHjmpn+m0bM6aZzuuF//R265PRxy2V7lNbv4qxezPc6R2041/diqa2L/FysqBg5fnO4f+80dvrm8lK216brLLhBt+64hdegV505sqVVMmZZkAAQJjCZRnxWGn07ESTBuXyZ44A4/6Jt9/+o0GIm7jy+fb/mzELs2bmNg99apGJhtbjuzlVTPToEGMXfrLWE1n6+smrUOkmftrZfN0ogO1NcHy1U4SL9u+sh0v0y+XB/Zny/YxSpGuGzSpndyml4cYtlx+FIdt0/tWE4/sWttTlmVge51TaJJsbDxujef0LRAgQKC5wN69e/PG5fk5r6xZiFPxV+89MXDw3LPPyZ2gsidVs3v/WzWXPZuc4SPBYZf10rHKtqP/6LEmCwwrwuBGpHE7ntvcgUfvXxnZuOljH6n5MhO7lN86ytoc2HqWh2jSlFfSL3e3TGCGAp5BOEP8rh86N0Upo8NuSEnvxnkwukD11yXTlnEijs1i49ilCUE0WhHVy9Gpml1im9gyugc120zhrce+8704SpNeSjicyu36sQPX/E59MYMursBGezayFGEb16YbVkek2bspZuuNTvWH6FX3qNxGChEFfNXvXz9WyvXH7X83LpE3KWbsmPROgfenZQ0BAgTaEyjP572HT4z5E2Gn6Czl39EhqM1GZOT5MM6EcVpu2P6OmeUxNn/0h4/E1k0ayshw3KsU3wTGSH34plHwXqt08icuFPZuqt360/xbR+wX4NEaztxzawm8IkCAwAiBJt/kK6ffODfG2ThW1iS9jSYmGoKRycYRUy+vSScoNo6sxpl5ZIMYW6YyNuwdNGwdIs3oWzVMM2NG4tE8ld8c8lvlwvYSL1MYa7lh7QR1o/JGTLpZ/zS6kGO1rWP1B+Pz1ii3Y0nZmAABAkMEaqbOGrhHjGGImx3Tb+WS7MDtt72y4Rk+0k+X9aKd2t6x0kSpcbh8O+nIdNK3lIbt+FhtbspGNKb1eYjmOBrlXpHHuUwa31Lm69p1PYJ3l03AFKPLVuPjlTcuTeaTcq/HMmSW0XTFrXKSjetuMUnIOeedG4eMS4HxnN5yg1iOE279TaaxY5xh+1uRuJsjBnenkkTYstKVShkea4TieCgNto5WJ7uVDgNzG5OSR8P/9hvfl33qCxgg9fOCDqyOlObzLrggsh8tdCUnYXjgO79z+Ja/Gn0NemXlkcceveHdp+64qS9gFComq6k8wDI+FZGfErKsxEhw4BSjMdlCuUssh3M5EjGVMTzTNA7x2InI6jcPf7Pcpgsfj0opvCRAYPEEKj2odO4dr5hre8ZqyMpGJA4Ud3qm9jfO9nHbSm5f4q3U/o41o8B4OW+2ddlQ1mc43o3Lef1jKJsdZ8tWMRl4poi2Jr6EVFq9aEDjWOU+8UUoqi+m2ksro2WpzHZ+wrNZA1qmbJkAAQKzEihPhmW/o3+25xgyGB2EePp7LOTc5l0GdvGaP7o+Onq5xxSJ52TjrNvfW4kNUq+wfph4tL+V03jsmNrEYf2gJr2DgcmmDKfcxlHK7lVZrnir/qfdxKMfFBnrP2LZ2+p/t3/NsNqJLcuSph2jvKlL25/OiTWbtzHlJjhvlqsm1Xj+xpLa1hgXkrcctqA/OEzGegIEZiUQJ+F8yo2Fmy748FjduoHZjtGElXN7PkRsP+waWrzVe/Rd3085FU1+M/cfY03lPB/n5Ghb81jAvEssRPqVjMXKfDJPW1YalHL3/uWB1zNTjyxt3P8NoUk7HvvWlDo15eW3mlTkGP3fn8OBawZeu65ku/Itq2G2Bx7OSgKtCwgQtk66UAmmflQu0p3fuX/APSzrxyLUV37dj+ZhyzTTJ/ePzk85L0363l8TlIqGoRIdjNPrgLm8bu7FEctB4qO7KCezNIl/o1y3rtyosjc8AABAAElEQVQeKUcj3T8x2hPX955WWLbl8eSP1z/2aApiDdulIhzTdtd8w6hsHNUxcNrxyMl7PvWZHDyLbMf15YFPWKwoZeqBuV25uTooJAp75xvuKj85/Znfe9upSRj60SoZSC/j45Ea1PSy99k4+ejHtGb/5j9xESGmOS1NYq8Bn6K0j78ECBBoQyDuTiiTiR5d+bL15XwmH3xa7hurFyf8uKBWOWe2nquaBHv3DH3xr9IG/WfvWF9p1mNNfcNXc6z8VhS5bHzjsmP/NOPRLObtA7P/NqZoWaI5C7oywNnzrG2Xc5oWCBAgMHOB/P05+giVpzPEya1y+k3nt7hkFguR84F9sfJ8GNv0f/MfVuTc0RvWeFV6K5FO7DLs4YjxbvQOykBmrBmY4VgffZOyW5pMhjWL/cn200Wa8VN29LJzemvY34GJD+xKN0w8mrbKrZm9jMWDNuJm38Y/vXr/2EfS5gMNIzNl9yq2jC7tLfEQiiE/lduYYquBF5pjff44lXkYkmqvXKVz/zcK/cFhdNYTIDA5gTiHv6445cZpKiJDvZkwt/fAvM2MRgekco69ZuXUY4BiupphTVh/MeM0mzuP8W40wQNn6RzYBJeznp5IeW1Pf6MTobj0tSFtkxuUeBkn6t4NJc+/sHKb5onUVlaiO1buO7ANio1vvaJ6Ebj+Kl+l1CknA3cpvwhVvlTkTFYWojHKX2nSW/3tUazv/5YVn40Rt9dUjuQlgYkJmGJ0YrQLkXDlmmblimcqYuXrfpwHo3koo0FZIlbGkIXYIK/pfe//9Kfzy8pC9DrKNdGL6LV5a3vKlWk5gkBxFS8atvxW7zw+zmDwvGMrC1GudGGx3yH12cqsRi86tSWxMsKlA3fpjXIofsqOULG6txjNXqU17bXWg9AiJxEOLKsjviVEELeSYP/LlH7cXhS12Z/b2D6qqUw21kSPsT+dHa6JhxXnFCIzNd+HoqQBW5rXfOpymhYIECCwbYG4sbHct9cFmvzPsEYkjhxnyMr9j3Fb6ORzNPQIqR2JDMdTMQaevVOzXu7fa/h20KznC44pzWikBoxBWT9W9pb7o4Nlfiot3Q6zV6ZsmQABAlMQiC/P0UeIL8mVY/WffuOyZjo3xpmzd8bu61bEyuimlel84Zamd9zHXjV9iv7eSmwft1qWxyqXy5GRsT4avoEZjrd63dKtvYOa03j/xcqBdJFsZDjeqvSDyhz2Lw9MfGAPaxuJ9x+u+ZrUUg8zjMxUAHuB4SEdybjYWjavqfUf0ApvZi6qLL4bpI5b2asdmPPx+oOHvpaSTUnpDw4ktZIAgZ0LxDk8mrYynTibxUW/vb9+acy0HL2S+I0T5rBzZrlj68txQi4vJ8ZZMbo8A0/IqQmutO8NA2ZltuNw6WQejWNMCRMn+V4b1/ddIu0SkbayvRj2xSM27v+6UnNWr9xNEqUe1rrllBs2Qynb5bXr2HFYD7eSeNp3tteuUx78JRACAoQ+BnUCaarGvEXlimesr5y+6+M0KZ1oD+Kmy5xmtBaRSH6ZF6LdKrsE0TAMbLTy9tF6xciz/DL2rWke8maTW4jMRJaGpV9mNW/TiwIOaSYjqUpXc+CXiUqzt43qaBjJizav9+j44T+VWi4HbQzfabx3yqvbr7tmy4xwAxJa21Oax5WOAdtYRYAAgQkJDDm3t3i0OC3XNCJxoOiJle1InJYHNr4tZmlkUvURuP6G79bDh0emOXCDho1jTJOQd48vKjWNeNosWrrUdUwvy91zOhYIECCwbYHozsSVxLF+G95IUf9NvnL6TT2yOCvGSW9YWaKbVvbvyqt7w3ZJ63s5ef8H6reJ41bar4H9oOg8lj2OuKY5MMx26lhre3rtZvEzsPMYxyqLs43uVXGE6mI0T9tIvHLduZpoe6+Dvc5wa/cqDhtTcA88eDl2JH1dqW9h492y4zYwzbRyvP5g3C9VzFla7ltzCG8RIEBgGwJxuaxsFnMK0U7FJdD4jRk743fv3r3Rysd4u2jCptM1G/eEHO172QT3LrR+5MO5OM0XIpHet4hRneLyto/6Lx5x6MrXlZqzejlJTOwYTUxd67aZcuUmmJqSVq5dR+Ij27jy68fMr13XFM1bSyUgQLhU1T12YevPa5FceZ6Nr/sxl2OTY/RmvCx+yjYgry7brZENQ9qrcvVzhkGgyHB9exPvVr4uRE+vXrs3+L34GdgBKyWbV0dMgJATju8rA7vceYO00OtcjWray2RjrybJVo7S/OXAsa2V3dPHI5zjN2ZgqLzrJQECBFoUiNnYWkytSVJxWqtvRCKRt77mijKpr967ZZhj+dYUlke2epGHSsPXf5dSk3xGZ7tyU2f9DS5N0szbRGuYmpX4W7mnKm9jgQABAtsWiG/mY/02vFNh5Df5SnsR+a98se8v0euv+O1yZcMLneU1snL3ynKlORh4R+N//ep/y3tFP6v+1tK0ZbSb5XXPuGLbH2EtR0M2717F3K05MzULZVc6NmvYlW6xFavJWxS2JiScdqx0aQdenK1cOY3P3sivK5F46rjVZG97b8X8flHjqeH+zQv2bS8RexEgQGC0wObEm3HCiXNp/cbRysedIjG+cN8rXxPBwjhn1m+/k3e3d0KOtqC8etlrK8f8id1HNigpybITXfleMfCY5VOx4sGHA7fpvxcnmpiBW25Z2XcTzJZ3ixfltetoX5okXvn6MbD1LI5gkcA0BAQIp6G8wMco73lscnXyBMXanmpnbKtRBJPSzapp9cgead677M32UtjBdGQ5zW0sNGnJKn2SJmPgRn63KGOiL3nRpU16X1G6StduYOixRIhsNOlyV6bUaxLDK48y1vI3D3+zyfbxpSRuUo7fht9OmqRpGwIECNQLjDx11+/e8N2y+Ru2SzQKZWa2F28blvi460e3epHi2p6yO1r2GJsfrpxxLorfuxg96gaXSDz66k2ubkdrmJqV+NuwzW2ec1sSIEBgQgLxGL/6lCvtRZw8R17w6j1aqfj5558/XrwavBhn+KZnzq2dxzhFV3p5ccburTz507zzWAk99kdYy95u8+5VlKvs7Z7MV/XfMvG4qrg9jWqiLb1ueD9l2aUdeHG27KbFB6lJLzKVoFI1I4s1sg/bS2Ftj/7gSEkbECDQlkCccKLrESPayy5YTeLRkEWk8MDBy5t0Q2rSGfZWeSfNWCfkSqs67t3/ld2HZS/WR5cq5udMv03ai/KpWOU15PIQlZtiG96LEynEN5+RFVe5dt088bLz3rDjWRbKMoHWBQQIWyddogQrrUJ5ghupEA9iLbepJFX5fj+yR5qTim5VzGqdmpNYaHIRMO/b4kLZSjVMtkkZo1OaU+u/ThrfIcoWsdG115PJlV27kXevnP3855zcr/bftT0jW9Pa/Ue8WeY5etcxLcOEvkWNyIe3CRAgUCtQnplrN9z+m3GybXhVsekJfPt5abpnk1Yv0ipP9d//xc+bpn5yu5gDp7xkXD/lS+W+lhh3ONF7eE/m0b8ECBBoTaDJUOaGTUbZXpTLQ/Pa4N6Lyr5NbqnMu1R6mpVJpyuX/xo2Mb3Et96JUumEVrqoY3WvKr3dXJC8MNHE81G2vTAy/ynlcvTGwC88//BPd+c8lJ3ZvHLowtaqGbhZ+SUhBrXoDw5UspIAgRkKRB8tAl2HD30trlJGpDDuHYmbY+ovlMW5NLohlTailSKU3aKGd4Gk41Za1UpbOTJvld3rtw+x9Fu/WfN3y7DoGHcmbR5gpFJJ0fD7Vcp5lLH8GFS+xjQvnS0JtCVwelsJSWchBeojLuWpMIofJ7jmCJUWojK8rIxRlaMHGqW/tmf3+B3URik33qhSuoH7lb2psmEYuHGTlf0tyva+UvS+NGx5Hkf14GVPrPreFF/HXaXl5AYRI4zfkIxLGJHD4E1h2iZ1McVcOxQBAkshcM55506znI0u3W5m6NlP/dW7ppmzIcdqpdUbmHY5UiGGSJbNRHTIR7QImyNU8i7ROY97eOM3voekhi+1LL044qy/Zgwsu5UECCyYQJwq45Ji64Vq3mTkQzf88h8ZHhgiyulUFkYOZCy3T5fScvqVQfDly8jG9jpBcbjKLZiV3u6IRqTMbow8iMai9qfS/x0v8SbTo9UefeSbI/OfUhhxX+z6sVxlsf3LDrxs5HHLDeKDd9fKqYGh5VtpWX+w38QaAgS6KRCt2NVbL5nG5dYYbR8NTVz/LEN3kf84c97w7nfGY/Ba7HRUWsaGd4FkzBjmnke9lxds8wbDFsa+ojssobx+/dgTP/5h0EUzWnb98vuVhXJ0e8PvMzmF8rJtXlkulBTx/aqCXG5Zv1x+janf0rsEJiQgQDgh2AVJtjI5TM3JcRsn/bIPWTkb7uQMviD04xejYhhPPB4/jZb3iAay7BO2nPranrgDKy7dlsnG4eK30pOMT1rcrxo90t7cR67qll6WCRCYikB0/8a6h2bcTEXYb9xdZrv9Ni5PN8xwulmkf+OIDjaZXDouNcZk3ZWWK3rslWYl0k9RwxjRMtGa7S+INQQIENihQHeajHHPn2XPohLJK6/QxTl82/2gmqHq0aEYT35zMpVKg1KmUPbdxk58ZaXsSpfJtrbcRqcpruGW+RkRTSw33VyuufhwYtutd/aklWEev5WG+1R/8Mqr+o5jBQECBGYgEI3g/s15LOPYT1x/JJ5KmyNwsSbOYzd9+tNN+i/by3rDu0By4uX9r+UF27zBsIUdfetYPxYTBqTmMhr6dNyahnVgHsrtRzcrW5MYeSdTSRF9xtcVs51vTWnEq8q3mhFbe5vABARMMToB1AVKsnLXZOU7/Q5PYeX1wR0mNe/kJcW8l2Wa+Y+5Gr7wxVtG9qjjC0F80+pN5n7N78R0c9PMoWMRILCcApW+R+Vum+U0mY9Sr+2Je3XjDtmRuY0eYIw13PfK11xz/e/VT7cwMikbECBAYAkFRn6B7zcpLzLWRPL6d9z2mrKL2rX+Wtfy0wS5yRS4TdIpt4mr502e73WqP3jwcv3BEtAyAQJdEIhgYTx+L65ulZnpzWuyfqxcs5PlyrD1Tt86v34sTtTRydr765fGdbxwiN/ofMWZvIz27UTDvgQIVASMIKyAeLlFoLwZM94Ya+aTLQl5MXWBbYzpnHoeWzhgfCZj8qV4TFTMLRCPuKj/uhDvfvxfPhKjQ9qdq6GFYkiCAIHFEqjcbBh322hAZ1vD0auMiXQa1cLanuifxxPmP/Glr8a3oOiL1uc8Nth321/H1cm4Z6V+S+8SIECAwE4EGgYFI/S47eDZuJOP7aQ4S7hv3C8Vw2Va/4n2N371B1uHlSABAlMWiK5KzHrSiwue/LnzO/c36r+c3H4B/o1ZOkdOA5Aa+rhtKMY1llytF3+s23x38vVj3Cm4Wy+pBAkIEPoMDBdYP1ZeF+sPOG17jHk6ZDkWu0yqkqHyzs3KW9N5OVaTMJ0sjTxKtEy33PwXIzdbmA1StzAVJ836HbdHxUQE8eGJSwnlxzi2iTDhNe9++1L5LExFKwiBeRGoTJu2jYYsTmXx5IlcXrc1ZIr6hehUx7SfaZtovsMw3zgy1pM8ogZvuG7zycrxUN71Y9E5T81KpJzmt8nJpmPFza0RFa7Ue31WvUuAAIFlFqicRcelKEcTVvaNJwvEfR6Vldt7WXZRy65rw9R2WMb6o2wjP/UJTuLd3WecVyZbHb9SvjdouZyFddD7W9aN2x+c6Nx9W3LmBQECSyaQJxfZRteg8mjVcU+bNdKVCeEm/QiMmpzUvBW3elSeIhTXNqNZj+Y4ZuiJIsRI9FI1SjEyQBgp5OZ4rGalJp8D37r64JWTmxJ24BGtJNCigABhi5iLllTM9VwW6fVX/Hb5srKcT7iV9TUvy10qs7FFA5DfbXivaM2BluSt0rCnF3MRtPHoiLnTG3CD1c0r8T3jpo99JH+oImR45xvuGrDl3JVWhgkQ6KpA3FWT706IWY7HvVgZgw7zKatXxG6fzyfa1xqrhqMpzJ3GGKZw041/lm9BDc9t3h2ytqe/vYjuaOVJITHi8ERMcawc25gAAQLLKjDu1cncqgZYGbqLlzHyLz9zLuYUmYTolka5yQFGzQu3w77b2PlpkufWt9n6IMZxvy1UZjNqnrv+Vnulrz8Y15TjQnzHv181L7ItCRDoiEAZ4or+4Ng3pm89bbZYqMokz+MO6S5PyNsepl9fnPhWEFft8jYR2Iuu3IDzed5iZaXJcI7IbW4xy1IUyQxdrDx1q3+7MvFt3BPcn6A1BGYl4BmEs5Lv+nH7T81xU14l0zFbV7kmjdwq19QsVzau3MxSdvl6XcFR/avyQJFyNMnpt1zfv9ykLYm9RjYJ/SnPZM3VBw6Ux43hDuXLJV+OT+9XPh7DQE79zEu1nsqxJQIE5kqgcldNpdUbWZSy99I/gn/k7q1skLtSI1PrbHfo/2/vbkJtWdP6gF8lAxUOBweCHyDhduxJB0IGkpF3ZLc0fkFoTw+E6EQaEvEitorYjrwiJt10rqLQZKAGMuijk063NLmtk2syEIUQsCOatIjgx6y5XNDMOv99qnfdd9eqVavWvnufvc/+/y6H7tpr1XpXPb/npd5666mqlSll7imctz9HFDf1y0MpQ6boOzae51fPX2SBAAECBE4K5LqKk+vMKyyG0bG6lnXGPy8Gr3Mmj/NXHC68m9nu4lrbw8YX89+z5m4LjcPG788rufB33piT93nMa2YhpyPGkvD41vWWD+eDuYnwek35FAECBPYInHsyc2pz/xRszzbM68zXUE6vnHtCbLxt/ZYex/3Gn73zE4OpDuYM3nZ1MIHsucNy3NpzM3Jyfjc2fkvXJ80ZtEDgVgUUCG+V9wVuPNfFj8NS7pU+DGaxsz5rgPn003d+fTe7/kVTy8nY7lpXJku5VyD3pE//FpPDxYi4ZyxJ1ONZ2kOEe/TKo8fjSeSz0hG3Vz74/unfTZ08vW2ZbPP0L7PHPd+V7I9ncvd8xDoECBC4tsDioo3/8J8/tb+pxUmxRa1xfzvvfs2dO9j7PB3Kk17GwTFnJzN2rMvkUaKXI8v6CgevXtx8cPnfeNR0+Zr/J0CAAIGjAmdNshZTm8Ugu/jzZHFu3KbMfeZ5UK4xHd9aTFEX2zCuebic30c/fHF8ZdH4WccJ41R6bPMeLn/4yZNxqxbC41uL5T3143nU3nm4Yj64QPYnAQI3LrD4KfpzL0RY7M0Wl5K8y6391z/0obmFs67YyK57nOksTtjObb7Lhf/yxn+dW8gp6MX52/mtceHkUJuVx/la/tyfkUXU4/fOyyNFiI5OM+cPDAvj4cdZHxzasEjgxgQUCG+M8iE1lP1Unoc2R5QC3mKXOr81VlwywCwGs3m1xUJWG9s/rD5mvjSezts/XxonS9nsw2eGXLx4+d84/Fy+tvz/bOrNXrq4/IIb/Xu8emV/OrIJcctgNv27tzeCjFTJSyrB07/3fff3jW9ZJkCAwL0QePR4HCIzlOy//CLX6IwhLOaZ41s3vvyd7/2Osc09p+f2zJ3GNp//8m+/+pPjl178uOPazSUffe2X5pFlb7Lu96Nfx6gtEyBA4L4JZGTceUYsR/7jVfwXpzgXu9+rF0rumeXNGml5ngfNL84L41C+f3q1mO3OrS0WxsajkU8tVlj9c2fjq599/i9mXj9OwHMV754ws87Jk9dZZx61zQeff2Z9IwECqwIpa40nM8fBa3X9xYuLyddiarZY+dw/r33FxuLJn4sLXM7djD3rjw8GOLZ+RoFdV6lePULI4LLn2CONj1Ef24bFGLf/3PU0zG0cfhz7Rq8TuCUBBcJbgn1hm337rZwUWxyO/9SP/8RyDnYZ37/9vg9cLl78/4+8/snxz2PL3/PvXp3fyoRh0cj01njDxM7zqtnLj3XHi80++G98yMmeadjiLO1Be/frhUUdd2c6Fm6Lg4b7FeHl1uSoa5xq7hng89EXovZ5GaL/J0DghRdY7JN3zkYW1+jkNOieyydvDOvZ717MrV3MaddqafMKeXfP3Omd9e9iKYCf/p13nluQmdjqpaPj48133teSqd0c0DgqzS9aIECAAIENgWNXbCw+sni2zeps5af/zUfmT+2cPGb9jLkZFKYPZjd+8jc1dk6vxtnuvFWHC4tZ8M7Gd652+HV39cpiVh6ccfQ83Kq8uwdwcXR0jfngnhPQh5vnFQIECGwLjCczL+Ydv/oft9ef352KRvOfK1fDzO9da2FxJ8bOKzbGgTJfu9ilX2tDTn9oz2/Wppg6j+DbLY5HCBchfOzntoehzHAzDO1sfATJ4cfOG+XHU805/HgONddtIu8SUCDUBy4F3n4rO7JXnnxoUR3MhY2HM6XLz7yU4/LFlY8f/dmf2TqZ+PZbT179sXE/e+zO8XzpeN1Ntmp7WM2UIJcQzhu2OsHLu4v55MXc4/ipz3zjWHGcG7+/Cwc3rFykY/O/hVsOQe58ZNr56NfxxtPTA/yz37EYs7mYkG8ieZMAAQLXEri6T04TGae25wwZd8ZROGPZL37kR6/13df/0Lh3vZjTbvxCz9tv5a67cUy//rfe8icztI2HK0E+TMRY0N15cnmc2o1XIN1yNJonQIDAAxHICJLp5/Z5usWM7NhsJfv5syaPEVyMueM5vtl3MXxkdDgxvcrI+LM/s3NkvMZUOo1nG+bNeyEWMq+/OM19+V9wMgc/HIUv3n92sXJuB5wAx4RefvrK/48j+zXmg8/zCQ1XttsfBAg8aIHs9DKJm0P86snM4ycepzVzam5xbcRtTAMXpbJ848kheDE53Tg/PId8vYXxiWgn77xcjODb37gYyjPEZKBJC6ufSiJyZDINQ2MeV1fOi4tz1ym7rg9ww+cXBzarhx/D6hYJPA8BBcLnoXx/viN7usW/7Lmyb8pM4+V/8S+zI5t2gvMG55g7P94z/7m6kBXGnWZqMNmZruwQLwuQ45QmB/0b7S+eCZZhKduZ7V9uxrOJxFgdzAr5Pdvlas/+zsAwbm3izdYetplXUsicBsJx/dU279WL8RwnYBfp+OD7DwO82OYDt0R6G4cge3xG5D3XCqXNnMmdP5U8Hp1nPvv6CIwHWyFaXHO6ZyOtQ4AAgXMFsk8ez17l4xlqM75cjJLjLPHZr9/N4878LZktPP+d1Vgny5ZkKMyGHU4ds1/NADpdeHHyFN4c0R0ujKNGNmPlmtmrBd0EngOkw8C/GsKzMXS87uSuBtA7JPXVBAjctkD2tNf4d9tbdSPt5zB+Gjs2DuMT+2Jk3J6tPH3t4/PsIBs5TR5Xd+OHLWdjjp30XAwfG9OrNDuPjNmScWOOoa00vjqVfumlazR+7Euf/+sf/9jPjxpJekbhzFIzu89QO56RmCbg2cJkZLwLZ3WbxyOWqSNFaXXNvJi3zAeP4XidAIGbFfjEa788Npg923Sa9NiQlJ1hTmlmPzZ/KlPI7Wngt3/dN84rp5y22vK8wrxwrFR2+PHsMw+H4GMnWuf2383C4vf8Mjqst/bstpNpsFhMQjeGgMWZ0rScFl5++eXEeGUYevnlOREZtnaW7hbnrjPAJaGHpPnSQ9Uk+tjhx3r4XiVwOwL/5Haa1ep9FMhgs6iibW/lJ3/t9Z37qQwSOdqeB7MsZIeYf9lZT4PWX/+/L491wel7s7fNLG5jGzIc5plg4zZnPpZ/+eA3v/efTi3nkdPz985NZcs3htIM1WObE8vcZjb17//ir+Y283qie7F+1eDjv/LvR/AxwPmSnDw8bZGRKdINt5n3NhaS0Nk84/Q02B9+UfpDDmi++vqjx2Mq8/F0uTzpLve+5HEx048552bElBsXwSZSp3EPbb1CgMAtCWQ2kucbj5Wk7H7zLz+Ll93R9KXzDnDchjubLTyrk4374Wzt+z77B9naafAdh5hscF7Ptagfvv83NDx6vBjQc+jy5ue/MJonWeOQEYTMt3NrYJ4+Ok1Zp2FlkdC0cHIOP36LZQIECOwRmI7h96y5WGf/JG7xwef8Z06oZXDJl86H8RllptlKdrOrs7wc/G/NVp7t58dp6TR5zJx0ngSttpyBbGtaetDslJppWJw3eBzoE1RGnDwLdHV8v+K81vg0qRk1Fo3HIT90dLrxK990p388evzm09998rGPjjPQbP+xEKaMfObNN09s9KPH4+mCtJZp/pRu88ETdN4mQOA2BXLaatw75auyg8q+PQvT2LFxPjPr5Ir2zEq2N3D8cYQ0fuykZSYpi6amP8e5Xpbzbyq2ZcMWJ0XnzVgdgnOFxxTXvNpiYRqIFy8GYTELywpByzbMw8Q0Ecv5vblwmIlYfmN4XiGN5EDie4bztxkC8mKaygD69PX/tPjSnCkN2hh4Vkhrf/LSyn35aSfj+J9++R8Wjaz+eezc9cnDjz2JXv1GLxK4cQEFwhsnfQgNZieVCsrW7OtqlFkzR/x5zthi6vJsV3t11cu/8hW5kPDYTxternUxQnzx9z83TvPyVga//Dt2ceDJKXHazBi5GBVW28yQsDoEzpt3bxcyFn7iPVeeU3cZ4MrIlyimwW9/xm888FwfOg/zG40vnj46HXXleTKJbvpUFn79z391o4U7j3Rj27xFgMBDFVidjSTYed91GPjhXO5wndt7JfPGwxrY5Thy5WunneqVl+7xHxnmxgOARJQrRhdz5pwj/ujXvXM8M0WdmBaHDWOUd5uscUssEyBA4EURyMm77JNz/nQ+kr8cZY7OVjIvy8H/doBpM2f0FpPHY6f/pqb2TEtXm93Y4MSVj2xv6vzuuY1nthuHb3/69Nh0eG75fi08epwp6mc+8Nlc0Bm6jW07a1QNRUDGNrfTne81H9zA9xYBAjclkL3T4cnMNH45dhz9np37wPxozsb0ZG49c7p5eV44rBHmremM3OrIcuO7zWOjwKLgl9Vyfm81zHmTUkEczwFOLV/879pD5RJ4Lh8Zh4zZZFx458Dg+F3p4/pZXk339ni0M9GLL/IngVsS8IjRW4J9IZvNHjZ7qIxhOZW5f0rz1VAfPc6ncnSeRraDzwqZMmXlk9XBqZ1sSaqP2bDtZvNuduLZ+D13PWZUSIPbm5prPTK9zF4+LW+veXLD7mSFxLgnHdm2UOTinbMzfqNRJWt7Unz4nUlQ0pTUH751+MpFpE9/924jPdwqrxAg0CCQfXJGqOnCzO14s07WzPrbq932uxmmT+6WX8SdamDHISMTzuWzaHYfzyQFSdbF2e27TtZtdwbtEyBA4JYEpiP5k4PjNNxM87KTW3Ixefz8F/bMgzLFy2o7p6U756TTID5t6nSDyMkNzgrTNp8cdqdBZ89sd8+X3sk62fgpO4dJzysR+Mv/9T/nUXXnD0+kTfPBO8mmLyVAYFtg/3g0tTPt5Od94MnGT44aGy3kW3ZOTqchOLFstHZTb+Vb9uzPx01aPKn75JbMw1CmhIszvfkzLZ91vnr8unkoXzQ7rjMtn5Xow497hcBtCHzNV77yldtoV5v3RCCnvT799OnGxky3pecaivxG903t8XOP+R+++YeLx8JkFzndG75zane4zXmC8xt/9qXxjvJpnexb82iXi18gePT48FMbr6w2uLqducNguu4mXOujdX6L6Dd/88Q6Vzcl3/4Ln/qt6bWjzV79yJjNDz95slNy+tRhOvLMtO965bt+8JVX9rhNOZ02Z//dped+Kpv65v/476uXOJ386nj+xufeyKPhxifE5lNJ6PR8nmv0kKv8/iJAgMANCEw7uvE5llOj01iWJ6ictW+fPrt/RBh3y3s+Ne1a85jN8TLPaaDMVavjYcPJgfIao16iGwe+Y2PlGNSuEWoYsvMVGw5Ty4sBNB9ZPVSYcuF/CRAg8G4Exp3etds53BOOzW7s9MZvPHvX+tJL80CQdg634aLxYfd7MQ353u+fv3HawsX+9tqzvLnZ1d34u9yHZzg7nJOutnkNw2ONZ+K2SNyY03XtWeGq/LHBdMzO0XXGNqflIadnfGpoJyF//Td92+qENL/hND+gKGdyL0q5m/+lKfPBTSFvEiBwZwLZaedk1+EcMMPHdMJqMbfauaHTfi8rHzuNthg7Dpud95zTHYTTCvNWnTyNlo/PJzYPGz/2ysnx4tyjggy4uaZkRjjZ/rhhCeHYMJQDm/n+xZgcPhZ1bOed5bffyiOyc+56cXLy3Pn+Ow1aInD7AgqEt2/sGwgQIECAAAECBAgQIECAAAECTQJjIXNRFT7J8MoH3z9fn5S7Otav0z3ZihUIECBAoFhgLGGeVTiM2ZNXf2yum6a8d/i7hsWuQn9oAn6D8KFlVDwECBAgQIAAAQIECBAgQIAAgbsVyB0z812AWRhvG93esFQW5+pg1swjFrbX9y4BAgQIEDgU+NMv/8M8DOXdk/dEzi2ksjhXB/PiD3/gB+a3LBB4eAJ+g/Dh5VREBAgQIECAAAECBAgQIECAAIG7FMhD88avz+Paxj83lsffScmD3XY+gH2jQW8RIECAQKHAYvjIj0PtRMgzq8c187Nc45+WCTwwAQXCB5ZQ4RAgQIAAAQIECBAgQIAAAQIE7lggP1ecx7LNG5Efc8qtgfOfxxZSR7xyw8eP/8SxNb1OgAABAgS2BfKQ6nmFDEN7LlXJjxrOvz6Yz+Z3cDOczY1YIPDwBPwG4cPLqYgIECBAgAABAgQIECBAgAABAncskIrgh3/oybgROVebOwvXT7a+/VZu7xhPy+b2wTc//4Xx45YJECBAgMAZAm+/9cqTD42PrU7B7xc/8qP7h6H/9uuvr698xkZYlcC9FlAgvNfpsXEECBAgQIAAAQIECBAgQIAAgRdUILdrjDW/KYrcWfiv3vO+6ccF//bv/+7/fulLf/c3fzveODit9sXf/5zTsi9o3m02AQIE7onA4aUq2bBpGPpn73nPt37zt+TPaSQ6HK0++Wuv7/8B3XsSr80gcK6AAuG5YtYnQIAAAQIECBAgQIAAAQIECBDYJbBaI9z+ZO4d/MRrv7z4+ajtj3iXAAECBAisCqRG+FMf+7nxPsLV1RYvqg4uQPz5UAUUCB9qZsVFgAABAgQIECBAgAABAgQIELh7gX/8y//9C5/6rcN7BFe3LDd2PH3t4y89erz6rhcJECBAgMC5AucOQ7/96k+6hf1cZOu/oAIKhC9o4mw2AQIECBAgQIAAAQIECBAgQOCFEcj52d/43Buf+fzvrd7GkbsGf/CD35vnjrpx8IXJqA0lQIDACyUwDUN/9KUv/sln/2B1w/NDuYahVRkvPmABBcIHnFyhESBAgAABAgQIECBAgAABAgTumcDbb/3xX/yfcZu+873f4ZbBEcQyAQIECNyqQJ47Orb/z7/xG9wyOIJY7hFQIOzJtUgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIvPS1DAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6BFQIOzJtUgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIuINQHyBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECDQJOAOwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAed1k5QAADp9JREFUAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJgEFwqZsi5UAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBeQIGwvgsAIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaBJQIGzKtlgJECBAgAABAgQIECBAgAABAgQIECBAgAABAgTqBRQI67sAAAIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgSYBBcKmbIuVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECgXkCBsL4LACBAgAABAgQIECBAgAABAgQIECBAgAABAgQIEGgSUCBsyrZYCRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE6gUUCOu7AAACBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEmAQXCpmyLlQABAgQIECBAgAABAgQIECBAgAABAgQIECBAoF5AgbC+CwAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBBoElAgbMq2WAkQIECAAAECBAgQIECAAAECBAgQIECAAAECBOoFFAjruwAAAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBJoH/D11sRL33k2t3AAAAAElFTkSuQmCC" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Lets start by getting some data and building out our search process\n", - "\n", - "### In this case, we already loaded some data into AI search, we have an index that we can use to compare some search methods\n", - "![image.png](attachment:image.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Note\n", - "For the purposes of this demo, we created a vector index in advance. We used the trec-covid dataset along with Azure AI Search. For more instructions and a walkthrough of creating this index, please reference the [Cohere AI Search example here](https://github.com/Azure/azureml-examples/blob/main/sdk/python/foundation-models/cohere/cohere-aisearch-rag.ipynb).\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### First lets look at vector search with our data and compare to lexical and hybrid" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "import cohere\n", - "from azure.core.credentials import AzureKeyCredential\n", - "from azure.search.documents import SearchClient\n", - "from azure.search.documents.models import VectorizedQuery" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co = cohere.Client(os.environ['COHERE_API_KEY'])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Some credentials\n", - "search_service_endpoint = os.environ['search_service_endpoint']\n", - "key_credential = AzureKeyCredential(os.environ['key_credential'])\n", - "index_name = os.environ['index_name']" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Set up our search client\n", - "search_client = SearchClient(\n", - " endpoint=search_service_endpoint,\n", - " index_name='build_demo',\n", - " credential=key_credential\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### We will create a helper function to compare our different search methods. It will use the same index, but leverage different search approaches" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Search function to encode the query and search the index\n", - "def search(query, mode, rerank=False, n_docs=75):\n", - " \"\"\"\n", - " Searches for documents based on the given query.\n", - "\n", - " Args:\n", - " query (str): The search query.\n", - " mode (str): The search mode ('semantic', 'lexical', or 'hybrid').\n", - " rerank (bool): Whether to re-rank the results. Defaults to False.\n", - " n_docs (int): The number of documents to retrieve. Defaults to 75.\n", - "\n", - " Returns:\n", - " list: A list of search results.\n", - " \"\"\"\n", - " query_embedding = co.embed(texts=[query], input_type='search_query', model='embed-english-v3.0').embeddings[0]\n", - " vector_query = VectorizedQuery(vector=query_embedding, k_nearest_neighbors=n_docs, fields=\"vector\")\n", - "\n", - " search_params = {\n", - " 'semantic': {\n", - " 'search_text': None,\n", - " 'vector_queries': [vector_query],\n", - " },\n", - " 'lexical': {\n", - " 'search_text': query,\n", - " 'vector_queries': None,\n", - " },\n", - " 'hybrid': {\n", - " 'search_text': query,\n", - " 'vector_queries': [vector_query],\n", - " }\n", - " }\n", - "\n", - " params = search_params.get(mode, search_params['lexical'])\n", - " search_results = search_client.search(\n", - " select=[\"id\", \"title\", \"text\"],\n", - " top=n_docs,\n", - " **params\n", - " )\n", - "\n", - " return [doc for doc in search_results]\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# So lets search for something\n", - "query = 'Have there been any studies on natural treatment for diabetes?'" - ] - }, - { - "cell_type": "code", - "execution_count": 562, - "metadata": {}, - "outputs": [], - "source": [ - "vector_results = search(query, mode='semantic')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### We can also get the keyword search results" - ] - }, - { - "cell_type": "code", - "execution_count": 563, - "metadata": {}, - "outputs": [], - "source": [ - "keyword_results = search(query, mode='lexical')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Or both using Reciprocal Rank Fusion (RRF)" - ] - }, - { - "cell_type": "code", - "execution_count": 564, - "metadata": {}, - "outputs": [], - "source": [ - "hybrid_results = search(query, mode='hybrid')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### So lets see how they compare" - ] - }, - { - "cell_type": "code", - "execution_count": 565, - "metadata": {}, - "outputs": [], - "source": [ - "import pandas as pd\n", - "from IPython.display import display, HTML\n", - "\n", - "pd.set_option('display.max_colwidth', 0)\n", - "\n", - "df = pd.DataFrame()\n", - "df['semantic'] = vector_results\n", - "df['lexical'] = keyword_results\n", - "df['hybrid'] = hybrid_results" - ] - }, - { - "cell_type": "code", - "execution_count": 566, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    semanticlexicalhybrid
    0{'text': 'The morbidity of type 2 diabetes mellitus (T2DM) has been increasing rapidly worldwide. Tangminling pill, consisting of ten Chinese herbal medications, is usually prescribed for T2DM in mainland China. Whether treatment with Tangminling can improve clinical outcomes of T2DM patients was still debated. Four studies comparing Tangminling vs. placebo treatment in T2DM patients were included and 767 T2DM patients were enrolled in our analyses. Tangminling treatment exhibited better efficacy than placebo in reducing hemoglobin A1c (HbA1c) (1.11 vs. 0.32%; pooled weighted mean difference [WMD]: 0.80; 95% confidence interval [CI]: 0.65–0.96; P<0.001), fasting plasma glucose (0.82 vs. −0.40 mM; WMD: 1.10; 95% CI: 0.56–1.64; P<0.001), 2-h postprandial glucose (2-hr PG) (2.81 vs. 1.11 mM; WMD: 1.80; 95% CI: 1.72–1.88; P<0.001), homeostatic model assessment-β level (4.28 vs. 0.41; WMD: 0.44; 95% CI: 0.27–0.61; P<0.001), waist circumference (WC) (1.04 vs. 0.36 cm; WMD: 0.78; 95% CI: 0.37–1.19; P<0.001) and body weight index (0.37 vs. 0.11 kg/m(2); WMD: 0.30; 95% CI: −0.00 to 0.61; P=0.05). Tangminling pill might reduce glucose level and body weight and improve β-cell function in T2DM patients. Our study highlights the important role of Tangminling pill in the management of T2DM.', 'id': 'a334btay', 'title': 'Efficacy of traditional Chinese medication Tangminling pill in Chinese patients with type 2 diabetes', '@search.score': 0.6936568, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'The high prevalence of type 2 diabetes mellitus in the world as well as the increasing reports about the adverse side effects of the existing diabetes treatment drugs have made developing new and effective drugs against the disease a very high priority. In this study, we report ten novel compounds found by targeting peroxisome proliferator-activated receptors (PPARs) using virtual screening and core hopping approaches. PPARs have drawn increasing attention for developing novel drugs to treat diabetes due to their unique functions in regulating glucose, lipid, and cholesterol metabolism. The reported compounds are featured with dual functions, and hence belong to the category of dual agonists. Compared with the single PPAR agonists, the dual PPAR agonists, formed by combining the lipid benefit of PPARα agonists (such as fibrates) and the glycemic advantages of the PPARγ agonists (such as thiazolidinediones), are much more powerful in treating diabetes because they can enhance metabolic effects while minimizing the side effects. This was observed in the studies on molecular dynamics simulations, as well as on absorption, distribution, metabolism, and excretion, that these novel dual agonists not only possessed the same function as ragaglitazar (an investigational drug developed by Novo Nordisk for treating type 2 diabetes) did in activating PPARα and PPARγ, but they also had more favorable conformation for binding to the two receptors. Moreover, the residues involved in forming the binding pockets of PPARα and PPARγ among the top ten compounds are explicitly presented, and this will be very useful for the in-depth conduction of mutagenesis experiments. It is anticipated that the ten compounds may become potential drug candidates, or at the very least, the findings reported here may stimulate new strategies or provide useful insights for designing new and more powerful dual-agonist drugs for treating type 2 diabetes.', 'id': 'icwhpbpj', 'title': 'Find novel dual-agonist drugs for treating type 2 diabetes by means of cheminformatics', '@search.score': 20.458666, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'The high prevalence of type 2 diabetes mellitus in the world as well as the increasing reports about the adverse side effects of the existing diabetes treatment drugs have made developing new and effective drugs against the disease a very high priority. In this study, we report ten novel compounds found by targeting peroxisome proliferator-activated receptors (PPARs) using virtual screening and core hopping approaches. PPARs have drawn increasing attention for developing novel drugs to treat diabetes due to their unique functions in regulating glucose, lipid, and cholesterol metabolism. The reported compounds are featured with dual functions, and hence belong to the category of dual agonists. Compared with the single PPAR agonists, the dual PPAR agonists, formed by combining the lipid benefit of PPARα agonists (such as fibrates) and the glycemic advantages of the PPARγ agonists (such as thiazolidinediones), are much more powerful in treating diabetes because they can enhance metabolic effects while minimizing the side effects. This was observed in the studies on molecular dynamics simulations, as well as on absorption, distribution, metabolism, and excretion, that these novel dual agonists not only possessed the same function as ragaglitazar (an investigational drug developed by Novo Nordisk for treating type 2 diabetes) did in activating PPARα and PPARγ, but they also had more favorable conformation for binding to the two receptors. Moreover, the residues involved in forming the binding pockets of PPARα and PPARγ among the top ten compounds are explicitly presented, and this will be very useful for the in-depth conduction of mutagenesis experiments. It is anticipated that the ten compounds may become potential drug candidates, or at the very least, the findings reported here may stimulate new strategies or provide useful insights for designing new and more powerful dual-agonist drugs for treating type 2 diabetes.', 'id': 'icwhpbpj', 'title': 'Find novel dual-agonist drugs for treating type 2 diabetes by means of cheminformatics', '@search.score': 0.03306011110544205, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}
    1{'text': 'The high prevalence of type 2 diabetes mellitus in the world as well as the increasing reports about the adverse side effects of the existing diabetes treatment drugs have made developing new and effective drugs against the disease a very high priority. In this study, we report ten novel compounds found by targeting peroxisome proliferator-activated receptors (PPARs) using virtual screening and core hopping approaches. PPARs have drawn increasing attention for developing novel drugs to treat diabetes due to their unique functions in regulating glucose, lipid, and cholesterol metabolism. The reported compounds are featured with dual functions, and hence belong to the category of dual agonists. Compared with the single PPAR agonists, the dual PPAR agonists, formed by combining the lipid benefit of PPARα agonists (such as fibrates) and the glycemic advantages of the PPARγ agonists (such as thiazolidinediones), are much more powerful in treating diabetes because they can enhance metabolic effects while minimizing the side effects. This was observed in the studies on molecular dynamics simulations, as well as on absorption, distribution, metabolism, and excretion, that these novel dual agonists not only possessed the same function as ragaglitazar (an investigational drug developed by Novo Nordisk for treating type 2 diabetes) did in activating PPARα and PPARγ, but they also had more favorable conformation for binding to the two receptors. Moreover, the residues involved in forming the binding pockets of PPARα and PPARγ among the top ten compounds are explicitly presented, and this will be very useful for the in-depth conduction of mutagenesis experiments. It is anticipated that the ten compounds may become potential drug candidates, or at the very least, the findings reported here may stimulate new strategies or provide useful insights for designing new and more powerful dual-agonist drugs for treating type 2 diabetes.', 'id': 'icwhpbpj', 'title': 'Find novel dual-agonist drugs for treating type 2 diabetes by means of cheminformatics', '@search.score': 0.6700704, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'Zika virus (ZIKV) infection during pregnancy leads to severe congenital Zika syndrome, which includes microcephaly and other neurological malformations. No therapeutic agents have, so far, been approved for the treatment of ZIKV infection in humans; as such, there is a need for a continuous effort to develop effective and safe antiviral drugs to treat ZIKV-caused diseases. After screening a natural product library, we have herein identified four natural products with anti-ZIKV activity in Vero E6 cells, including gossypol, curcumin, digitonin, and conessine. Except for curcumin, the other three natural products have not been reported before to have anti-ZIKV activity. Among them, gossypol exhibited the strongest inhibitory activity against almost all 10 ZIKV strains tested, including six recent epidemic human strains. The mechanistic study indicated that gossypol could neutralize ZIKV infection by targeting the envelope protein domain III (EDIII) of ZIKV. In contrast, the other natural products inhibited ZIKV infection by targeting the host cell or cell-associated entry and replication stages of ZIKV. A combination of gossypol with any of the three natural products identified in this study, as well as with bortezomib, a previously reported anti-ZIKV compound, exhibited significant combinatorial inhibitory effects against three ZIKV human strains tested. Importantly, gossypol also demonstrated marked potency against all four serotypes of dengue virus (DENV) human strains in vitro. Taken together, this study indicates the potential for further development of these natural products, particularly gossypol, as the lead compound or broad-spectrum inhibitors against ZIKV and other flaviviruses, such as DENV.', 'id': 'qtwcbn7m', 'title': 'Identification of Novel Natural Products as Effective and Broad-Spectrum Anti-Zika Virus Inhibitors', '@search.score': 20.016642, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'The morbidity of type 2 diabetes mellitus (T2DM) has been increasing rapidly worldwide. Tangminling pill, consisting of ten Chinese herbal medications, is usually prescribed for T2DM in mainland China. Whether treatment with Tangminling can improve clinical outcomes of T2DM patients was still debated. Four studies comparing Tangminling vs. placebo treatment in T2DM patients were included and 767 T2DM patients were enrolled in our analyses. Tangminling treatment exhibited better efficacy than placebo in reducing hemoglobin A1c (HbA1c) (1.11 vs. 0.32%; pooled weighted mean difference [WMD]: 0.80; 95% confidence interval [CI]: 0.65–0.96; P<0.001), fasting plasma glucose (0.82 vs. −0.40 mM; WMD: 1.10; 95% CI: 0.56–1.64; P<0.001), 2-h postprandial glucose (2-hr PG) (2.81 vs. 1.11 mM; WMD: 1.80; 95% CI: 1.72–1.88; P<0.001), homeostatic model assessment-β level (4.28 vs. 0.41; WMD: 0.44; 95% CI: 0.27–0.61; P<0.001), waist circumference (WC) (1.04 vs. 0.36 cm; WMD: 0.78; 95% CI: 0.37–1.19; P<0.001) and body weight index (0.37 vs. 0.11 kg/m(2); WMD: 0.30; 95% CI: −0.00 to 0.61; P=0.05). Tangminling pill might reduce glucose level and body weight and improve β-cell function in T2DM patients. Our study highlights the important role of Tangminling pill in the management of T2DM.', 'id': 'a334btay', 'title': 'Efficacy of traditional Chinese medication Tangminling pill in Chinese patients with type 2 diabetes', '@search.score': 0.030180182307958603, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}
    2{'text': 'The leaves of Lagerstroemia speciosa (Lythraceae), a Southeast Asian tree more commonly known as banaba, have been traditionally consumed in various forms by Philippinos for treatment of diabetes and kidney related diseases. In the 1990s, the popularity of this herbal medicine began to attract the attention of scientists worldwide. Since then, researchers have conducted numerous in vitro and in vivo studies that consistently confirmed the antidiabetic activity of banaba. Scientists have identified different components of banaba to be responsible for its activity. Using tumor cells as a cell model, corosolic acid was isolated from the methanol extract of banaba and shown to be an active compound. More recently, a different cell model and the focus on the water soluble fraction of the extract led to the discovery of other compounds. The ellagitannin Lagerstroemin was identified as an effective component of the banaba extract responsible for the activity. In a different approach, using 3T3-L1 adipocytes as a cell model and a glucose uptake assay as the functional screening method, Chen et al. showed that the banaba water extract exhibited an insulin-like glucose transport inducing activity. Coupling HPLC fractionation with a glucose uptake assay, gallotannins were identified in the banaba extract as components responsible for the activity, not corosolic acid. Penta-O-galloyl-glucopyranose (PGG) was identified as the most potent gallotannin. A comparison of published data with results obtained for PGG indicates that PGG has a significantly higher glucose transport stimulatory activity than Lagerstroemin. Chen et al. have also shown that PGG exhibits anti-adipogenic properties in addition to stimulating the glucose uptake in adipocytes. The combination of glucose uptake and anti-adipogenesis activity is not found in the current insulin mimetic drugs and may indicate a great therapeutic potential of PGG.', 'id': 'odnpx3ib', 'title': 'Antidiabetes and Anti-obesity Activity of Lagerstroemia speciosa', '@search.score': 0.66543204, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'Fat embolism syndrome (FES) is primarily a lung parenchymal disorder resulting from interstitial and alveolar inflammation triggered by the lipid metabolites in blood circulation. The 'low-dose' corticosteroid is supposed to have a prophylactic effect on the incidence of the FES and arterial hypoxemia by reducing this inflammatory response. It is expected that inhaled corticosteroids (ciclesonide aerosol) may prevent the development of hypoxemia or fat embolism syndrome in high-risk patients by reducing this inflammatory response. Metered-dose inhaler (MDI) steroid preparations can reach the lung parenchyma with minimal systemic effect. Sixty cases of polytrauma patients presenting within eight hours of injury were randomly allocated into one of the two groups. In Group 1 (n(1)=30) ciclesonide, 640 mcg, was given with a metered dose inhaler and repeated once again after 24 hours, whereas Group 2 (n(2)=30) was taken as control and observed for 72 hours for any episode of hypoxia. The outcome was assessed using Schonfeld’s criteria for the eventual outcome of subclinical or clinical FES. Out of 30 patients in each group, six patients developed subclinical FES, whereas three from ciclesonide prophylaxis group and eight from controls developed clinical FES. There is no statistical significance found between the eventual outcomes of subclinical or clinical FES between the ciclesonide prophylaxis and control group. Although there was a trend seen in the possible preventive efficacy of inhalational steroid in the present study, it did not reach the statistically significant level. The prophylactic role of inhalational steroid in post-traumatic subclinical and clinical FES is statistically insignificant in the present study.', 'id': 'lvvwa9ah', 'title': 'Is There Any Role of Inhalational Corticosteroids in the Prophylaxis of Post-Traumatic Fat Embolism Syndrome?', '@search.score': 19.368464, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'Zika virus (ZIKV) infection during pregnancy leads to severe congenital Zika syndrome, which includes microcephaly and other neurological malformations. No therapeutic agents have, so far, been approved for the treatment of ZIKV infection in humans; as such, there is a need for a continuous effort to develop effective and safe antiviral drugs to treat ZIKV-caused diseases. After screening a natural product library, we have herein identified four natural products with anti-ZIKV activity in Vero E6 cells, including gossypol, curcumin, digitonin, and conessine. Except for curcumin, the other three natural products have not been reported before to have anti-ZIKV activity. Among them, gossypol exhibited the strongest inhibitory activity against almost all 10 ZIKV strains tested, including six recent epidemic human strains. The mechanistic study indicated that gossypol could neutralize ZIKV infection by targeting the envelope protein domain III (EDIII) of ZIKV. In contrast, the other natural products inhibited ZIKV infection by targeting the host cell or cell-associated entry and replication stages of ZIKV. A combination of gossypol with any of the three natural products identified in this study, as well as with bortezomib, a previously reported anti-ZIKV compound, exhibited significant combinatorial inhibitory effects against three ZIKV human strains tested. Importantly, gossypol also demonstrated marked potency against all four serotypes of dengue virus (DENV) human strains in vitro. Taken together, this study indicates the potential for further development of these natural products, particularly gossypol, as the lead compound or broad-spectrum inhibitors against ZIKV and other flaviviruses, such as DENV.', 'id': 'qtwcbn7m', 'title': 'Identification of Novel Natural Products as Effective and Broad-Spectrum Anti-Zika Virus Inhibitors', '@search.score': 0.02738245204091072, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}
    3{'text': 'OBJECTIVE: To evaluate evidence for the efficacy of Traditional Chinese Medicine (TCM) in systematic reviews. METHODS: Chinese (TCMPeriodical Literature Database, Chinese Biological Medicine database, Chinese Medical Current Contents, China Hospital Knowledge Database journal fulltext database, Virtual Machining and Inspection System, and Wanfang) and English (Cochrane Database of Systematic Reviews, PubMed and Embase) databases were searched. RESULTS: Three thousand, nine hundred and fifty-five articles were initially identified, 606 of which met the inclusion criteria, including 251 in English (83 from the Cochrane Database) and 355 in Chinese. The number of articles published each year increased between 1989 and 2009. Cardiocerebrovascular disease was the most studied target disease. Intervention measures includedTCM preparations (177 articles), acupuncture (133 articles) and combinations of TCM and western medicine (38 articles). Control measures included positive medical (177 articles), basic treatment (100 articles), placebo (219 articles), and blank and mutual (107 articles). All articles included at least one reference; the greatest number was 268. Six of 10 articles with high quality references demonstrated curative effectsagainst target diseasesincludingupper respiratory tract infection, dementia and depression. Interventions that were not recommendedwere tripterygium for rheumatoid arthritis andTCM syndrome differentiation for pediatric nocturia. In 10.4% of the studies, the authors concluded that the intervention had a curative effect. The assessors agreed with the authors' conclusions in 88.32% of cases, but rejected 8.94% (54 articles). CONCLUSION: 1) Training in systematic review methods, including topic selection, study design, methods and technology, should be improved. 2) Upper respiratory tract infection, dementia and depression may become the predominant diseases treatedby TCM, and the corresponding interventions could be developed into practical applications. 3) Use of non-recommended interventions should be controlled, and there should be more research on side effects.', 'id': 'zssy3i4d', 'title': 'Content analysis of systematic reviews on the effectiveness of Traditional Chinese Medicine', '@search.score': 0.6599396, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'There is an increasing demand for non-antibiotics solutions to control infectious disease in intensive pig production. Here, one such alternative, namely pig antibodies purified from slaughterhouse blood was investigated in order to elucidate its potential usability to control post-weaning diarrhoea (PWD), which is one of the top indications for antibiotics usage in the pig production. A very cost-efficient and rapid one-step expanded bed adsorption (EBA) chromatography procedure was used to purify pig immunoglobulin G from slaughterhouse pig plasma (more than 100 litres), resulting in >85% pure pig IgG (ppIgG). The ppIgG thus comprised natural pig immunoglobulins and was subsequently shown to contain activity towards four pig-relevant bacterial strains (three different types of Escherichia coli and one type of Salmonella enterica) but not towards a fish pathogen (Yersinia ruckeri), and was demonstrated to inhibit the binding of the four pig relevant bacteria to a pig intestinal cell line (IPEC-J2). Finally it was demonstrated in an in vivo weaning piglet model for intestinal colonization with an E. coli F4+ challenge strain that ppIgG given in the feed significantly reduced shedding of the challenge strain, reduced the proportion of the bacterial family Enterobacteriaceae, increased the proportion of families Enterococcoceae and Streptococcaceae and generally increased ileal microbiota diversity. Conclusively, our data support the idea that natural IgG directly purified from pig plasma and given as a feed supplement can be used in modern swine production as an efficient and cost-effective means for reducing both occurrence of PWD and antibiotics usage and with a potential for the prevention and treatment of other intestinal infectious diseases even if the causative agent might not be known.', 'id': '1tcpaigw', 'title': 'Natural Pig Plasma Immunoglobulins Have Anti-Bacterial Effects: Potential for Use as Feed Supplement for Treatment of Intestinal Infections in Pigs', '@search.score': 18.940382, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'Human cytomegalovirus (HCMV) was recently demonstrated in the pancreas of about half the patients with type 2 diabetes mellitus in the absence of mumps, rubella or Coxsackie B virus. The present study addresses the question as to whether type 2 diabetes with an HCMV-positive pancreas differs from those with HCMV-negative pancreases with respect to age, sex, treatment, duration of disease, volume densities of B-cells and D-cells, mRNA levels of insulin and somatostatin, islet amyloid peptide deposits and major histocompatibility complex (MHC) class I and class II gene transcription, and protein expression. HCMV-positive type 2 diabetic patients showed a tendency towards a shorter duration of disease and significantly increased levels of MHC class II on RNA. In addition, expression of MHC class II product (HLA-DR) was identified in duct epithelial cells and/or islet cells in 9 diabetic pancreases and in 2 non-diabetic glands. No MHC class I expression could be detected. No other clinical differences between HCMV-positive and HCMV-negative glands were found. All 10 HCMV-positive diabetics showed a strong expression of MHC class II mRN in the pancreas. By immunocytochemistry, 4 of 10 demonstrated expression on the islets; three of ten also expressed MHC DRβ on ductal cells. This finding might be related to the viral infection, as only 2 of the 9 HCMV-negative patients were HLA-DRβ positive and none of the non-diabetic controls showed increased levels of MHC class II mRNA. These data suggest that HCMV infection in the pancreas is associated with type 2 diabetes. However, no conclusions as to a role of this virus in the aetiopathology of type 2 diabetes can be drawn at present.', 'id': 'agiqcmru', 'title': 'Human cytomegalovirus in the pancreas of patients with type 2 diabetes: Is there a relation to clinical features, mRNA and protein expression of insulin, somatostatin, and MHC class II?', '@search.score': 0.026905018836259842, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}
    4{'text': 'The chronic low-grade inflammation in adipose tissue plays a causal role in obesity-induced insulin resistance and its associated pathophysiological consequences. In this study, we investigated the effects of extracts of Broussonetia papyrifera root bark (PRE) and its bioactive components on inflammation and insulin sensitivity. PRE inhibited TNF-α-induced NF-κB transcriptional activity in the NF-κB luciferase assay and pro-inflammatory genes’ expression by blocking phosphorylation of IκB and NF-κB in 3T3-L1 adipocytes, which were mediated by activating AMPK. Ten-week-high fat diet (HFD)-fed C57BL6 male mice treated with PRE had improved glucose intolerance and decreased inflammation in adipose tissue, as indicated by reductions in NF-κB phosphorylation and pro-inflammatory genes’ expression. Furthermore, PRE activated AMP-activated protein kinase (AMPK) and reduced lipogenic genes’ expression in both adipose tissue and liver. Finally, we identified broussoflavonol B (BF) and kazinol J (KJ) as bioactive constituents to suppress pro-inflammatory responses via activating AMPK in 3T3-L1 adipocytes. Taken together, these results indicate the therapeutic potential of PRE, especially BF or KJ, in metabolic diseases such as obesity and type 2 diabetes.', 'id': 'dvhkk405', 'title': 'Broussonetia papyrifera Root Bark Extract Exhibits Anti-inflammatory Effects on Adipose Tissue and Improves Insulin Sensitivity Potentially Via AMPK Activation', '@search.score': 0.6529153, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'The world is celebrating the news that the SARS outbreak now seems to be contained. But the epidemic has revealed gaps in our defences against emerging viral diseases and the ever-looming threat of a flu pandemic.', 'id': 'wbjszxi2', 'title': 'We have been warned', '@search.score': 18.880285, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}{'text': 'BACKGROUND: Diabetes mellitus is a chronic disease with a steadfast increase in prevalence. Due to the chronic course of the disease combining with devastating complications, this disorder could easily carry a financial burden. The early diagnosis of diabetes remains as one of the major challenges medical providers are facing, and the satisfactory screening tools or methods are still required, especially a population- or community-based tool. METHODS: This is a retrospective cross-sectional study involving 15,323 subjects who underwent the annual check-up in the Department of Family Medicine of Shengjing Hospital of China Medical University from January 2017 to June 2017. With a strict data filtration, 10,436 records from the eligible participants were utilized to develop a prediction model using the J48 decision tree algorithm. Nine variables, including age, gender, body mass index (BMI), hypertension, history of cardiovascular disease or stroke, family history of diabetes, physical activity, work-related stress, and salty food preference, were considered. RESULTS: The accuracy, precision, recall, and area under the receiver operating characteristic curve (AUC) value for identifying potential diabetes were 94.2%, 94.0%, 94.2%, and 94.8%, respectively. The structure of the decision tree shows that age is the most significant feature. The decision tree demonstrated that among those participants with age ≤ 49, 5497 participants (97%) of the individuals were identified as nondiabetic, while age > 49, 771 participants (50%) of the individuals were identified as nondiabetic. In the subgroup where people were 34 < age ≤ 49 and BMI ≥ 25, when with positive family history of diabetes, 89 (92%) out of 97 individuals were identified as diabetic and, when without family history of diabetes, 576 (58%) of the individuals were identified as nondiabetic. Work-related stress was identified as being associated with diabetes. In individuals with 34 < age ≤ 49 and BMI ≥ 25 and without family history of diabetes, 22 (51%) of the individuals with high work-related stress were identified as nondiabetic while 349 (88%) of the individuals with low or moderate work-related stress were identified as not having diabetes. CONCLUSIONS: We proposed a classifier based on a decision tree which used nine features of patients which are easily obtained and noninvasive as predictor variables to identify potential incidents of diabetes. The classifier indicates that a decision tree analysis can be successfully applied to screen diabetes, which will support clinical practitioners for rapid diabetes identification. The model provides a means to target the prevention of diabetes which could reduce the burden on the health system through effective case management.', 'id': 'u9z8x4v9', 'title': 'Identification of Potential Type II Diabetes in a Chinese Population with a Sensitive Decision Tree Approach', '@search.score': 0.026356857270002365, '@search.reranker_score': None, '@search.highlights': None, '@search.captions': None}
    " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Convert DataFrame to HTML with custom styles\n", - "html = df[:5].to_html(escape=False)\n", - "\n", - "# Display the DataFrame with custom styles\n", - "display(HTML(html))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### The results are okay, but they can be improved further, as the result im looking for (talking about a particular tree) are too low in the list to get picked up" - ] - }, - { - "attachments": { - "717af0e5-baa2-40f6-934c-09b48d31d750.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Enter Semantic reranking\n", - "![image.png](attachment:717af0e5-baa2-40f6-934c-09b48d31d750.png)\n", - "\n", - "### Instead of taking just the semantic or lexical results, lets take both and run the results through rerank" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# We will create one list of our initial results\n", - "first_stage_results = vector_results + keyword_results\n", - "len(first_stage_results)" - ] - }, - { - "cell_type": "code", - "execution_count": 567, - "metadata": {}, - "outputs": [], - "source": [ - "# Rerank the results\n", - "reranked_results = co.rerank(query=query,\n", - " documents=first_stage_results,\n", - " top_n=10,\n", - " rank_fields=['text', 'title'],\n", - " model='rerank-english-v3.0',\n", - " return_documents=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Now we can look at the updated results" - ] - }, - { - "cell_type": "code", - "execution_count": 568, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Antidiabetes and Anti-obesity Activity of Lagerstroemia speciosa\n", - "The leaves of Lagerstroemia speciosa (Lythraceae), a Southeast Asian tree more commonly known as banaba, have been traditionally consumed in various forms by Philippinos for treatment of diabetes and kidney related diseases. In the 1990s, the popularity of this herbal medicine began to attract the attention of scientists worldwide. Since then, researchers have conducted numerous in vitro and in vivo studies that consistently confirmed the antidiabetic activity of banaba. Scientists have identified different components of banaba to be responsible for its activity. Using tumor cells as a cell model, corosolic acid was isolated from the methanol extract of banaba and shown to be an active compound. More recently, a different cell model and the focus on the water soluble fraction of the extract led to the discovery of other compounds. The ellagitannin Lagerstroemin was identified as an effective component of the banaba extract responsible for the activity. In a different approach, using 3T3-L1 adipocytes as a cell model and a glucose uptake assay as the functional screening method, Chen et al. showed that the banaba water extract exhibited an insulin-like glucose transport inducing activity. Coupling HPLC fractionation with a glucose uptake assay, gallotannins were identified in the banaba extract as components responsible for the activity, not corosolic acid. Penta-O-galloyl-glucopyranose (PGG) was identified as the most potent gallotannin. A comparison of published data with results obtained for PGG indicates that PGG has a significantly higher glucose transport stimulatory activity than Lagerstroemin. Chen et al. have also shown that PGG exhibits anti-adipogenic properties in addition to stimulating the glucose uptake in adipocytes. The combination of glucose uptake and anti-adipogenesis activity is not found in the current insulin mimetic drugs and may indicate a great therapeutic potential of PGG.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Efficacy of traditional Chinese medication Tangminling pill in Chinese patients with type 2 diabetes\n", - "The morbidity of type 2 diabetes mellitus (T2DM) has been increasing rapidly worldwide. Tangminling pill, consisting of ten Chinese herbal medications, is usually prescribed for T2DM in mainland China. Whether treatment with Tangminling can improve clinical outcomes of T2DM patients was still debated. Four studies comparing Tangminling vs. placebo treatment in T2DM patients were included and 767 T2DM patients were enrolled in our analyses. Tangminling treatment exhibited better efficacy than placebo in reducing hemoglobin A1c (HbA1c) (1.11 vs. 0.32%; pooled weighted mean difference [WMD]: 0.80; 95% confidence interval [CI]: 0.65–0.96; P<0.001), fasting plasma glucose (0.82 vs. −0.40 mM; WMD: 1.10; 95% CI: 0.56–1.64; P<0.001), 2-h postprandial glucose (2-hr PG) (2.81 vs. 1.11 mM; WMD: 1.80; 95% CI: 1.72–1.88; P<0.001), homeostatic model assessment-β level (4.28 vs. 0.41; WMD: 0.44; 95% CI: 0.27–0.61; P<0.001), waist circumference (WC) (1.04 vs. 0.36 cm; WMD: 0.78; 95% CI: 0.37–1.19; P<0.001) and body weight index (0.37 vs. 0.11 kg/m(2); WMD: 0.30; 95% CI: −0.00 to 0.61; P=0.05). Tangminling pill might reduce glucose level and body weight and improve β-cell function in T2DM patients. Our study highlights the important role of Tangminling pill in the management of T2DM.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Efficacy of traditional Chinese medication Tangminling pill in Chinese patients with type 2 diabetes\n", - "The morbidity of type 2 diabetes mellitus (T2DM) has been increasing rapidly worldwide. Tangminling pill, consisting of ten Chinese herbal medications, is usually prescribed for T2DM in mainland China. Whether treatment with Tangminling can improve clinical outcomes of T2DM patients was still debated. Four studies comparing Tangminling vs. placebo treatment in T2DM patients were included and 767 T2DM patients were enrolled in our analyses. Tangminling treatment exhibited better efficacy than placebo in reducing hemoglobin A1c (HbA1c) (1.11 vs. 0.32%; pooled weighted mean difference [WMD]: 0.80; 95% confidence interval [CI]: 0.65–0.96; P<0.001), fasting plasma glucose (0.82 vs. −0.40 mM; WMD: 1.10; 95% CI: 0.56–1.64; P<0.001), 2-h postprandial glucose (2-hr PG) (2.81 vs. 1.11 mM; WMD: 1.80; 95% CI: 1.72–1.88; P<0.001), homeostatic model assessment-β level (4.28 vs. 0.41; WMD: 0.44; 95% CI: 0.27–0.61; P<0.001), waist circumference (WC) (1.04 vs. 0.36 cm; WMD: 0.78; 95% CI: 0.37–1.19; P<0.001) and body weight index (0.37 vs. 0.11 kg/m(2); WMD: 0.30; 95% CI: −0.00 to 0.61; P=0.05). Tangminling pill might reduce glucose level and body weight and improve β-cell function in T2DM patients. Our study highlights the important role of Tangminling pill in the management of T2DM.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Sphaeranthus indicus Linn.: A phytopharmacological review\n", - "Sphaeranthus indicus Linn. (Asteraceae) is widely used in Ayurvedic system of medicine to treat vitiated conditions of epilepsy, mental illness, hemicrania, jaundice, hepatopathy, diabetes, leprosy, fever, pectoralgia, cough, gastropathy, hernia, hemorrhoids, helminthiasis, dyspepsia and skin diseases. There are reports providing scientific evidences for hypotensive, anxiolytic, neuroleptic, hypolipidemic, immunomodulatory, antioxidant, anti-inflammatory, bronchodialatory, antihyperglycemic and hepatoprotective activities of this plant. A wide range of phytochemical constituents have been isolated from this plant including sesquiterpene lactones, eudesmenolides, flavanoids and essential oil. A comprehensive account of the morphology, phytochemical constituents, ethnobotanical uses and pharmacological activities reported are included in this review for exploring the immense medicinal potential of this plant.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Therapeutic Delivery of Ang(1–7) via Genetically Modified Probiotic: A Dosing Study\n", - "In recent years a number of beneficial health effects have been ascribed to the renin-angiotensin system (RAS) that extend beyond lowering blood pressure, primarily mediated via the angiotensin-converting enzyme-2 (ACE2)/angiotensin (1–7) or Ang(1–7)/MAS receptor axis. Moreover, once thought as merely a systemic effector, RAS components exist within tissues. The highest tissue concentrations of ACE2 mRNA are located in the gut making it an important target for altering RAS function. Indeed, genetically engineered recombinant probiotics are promising treatment strategies offering delivery of therapeutic proteins with precision. An Ang(1–7) secreting Lactobacillus paracasei (LP) or LP-A has been described for regulation of diabetes and hypertension; however, we are the first to the best of our knowledge to propose this paradigm as it relates to aging. In this Research Practice manuscript, we provide proof of concept for using this technology in a well-characterized rodent model of aging: the Fisher344 x Brown Norway Rat (F344BN). Our primary findings suggest that LP-A increases circulating levels of Ang(1–7) both acutely and chronically (after 8 or 28 treatment days) when administered 3× or 7×/week over 4 weeks. Our future preclinical studies will explore the impact of this treatment on gut and other age-sensitive distal tissues such as brain and muscle.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Natural products: Designing Russian medications\n", - "The study of natural products (low-molecular bioregulators) is an important research area that lies on the boundary of biology and chemistry. It involves searching, isolating, and identifying the structure and studying the biological functions of such substances, as well as investigating their chemical conversions, especially those that lead to highly active products. These research efforts play an important part in deepening biological and chemical knowledge and build the scientific groundwork for designing new drugs and biologically active food additives. Some results of the study of natural compounds were discussed in a paper read at a session of the RAS Presidium and are published below.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Natural products: Designing Russian medications\n", - "The study of natural products (low-molecular bioregulators) is an important research area that lies on the boundary of biology and chemistry. It involves searching, isolating, and identifying the structure and studying the biological functions of such substances, as well as investigating their chemical conversions, especially those that lead to highly active products. These research efforts play an important part in deepening biological and chemical knowledge and build the scientific groundwork for designing new drugs and biologically active food additives. Some results of the study of natural compounds were discussed in a paper read at a session of the RAS Presidium and are published below.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Using Complementary and Alternative Medicines to Target the Host Response during Severe Influenza\n", - "It is now accepted that an overwhelming inflammatory response is the cause of human deaths from avian H5N1 influenza infection. With this in mind we sought to examine the literature for examples of complementary and alternative medicines that reduce inflammation, and to place the results of this search in the context of our own work in a mouse model of influenza disease, using a pharmaceutical agent with anti-inflammatory properties. Two Chinese herbs, Angelica sinensis (Dang Gui) and Salvia miltiorrhiza (Danshen), have been recently shown to protect mice during lethal experimental sepsis via inhibition of the novel inflammatory cytokine High Mobility Group Box 1 protein (HMGB1). Biochanin A, a ligand of the peroxisome proliferator activated receptors (PPAR) alpha and gamma and the active isoflavone in Trifolium pratense (red clover), has anti-inflammatory properties, and thus could be used as an influenza treatment. This is of great interest since we have recently shown that gemfibrozil, a drug used to treat hyperlipidemia in humans and a synthetic ligand of PPAR alpha, significantly reduces the mortality associated with influenza infections in mice. The inflammation-modulating abilities of these natural agents should be considered in light of what is now known about the mechanisms of fatal influenza, and tested as potential candidates for influenza treatments in their own right, or as adjunct treatments to antivirals.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Role of Antioxidants and Natural Products in Inflammation\n", - "Inflammation is a comprehensive array of physiological response to a foreign organism, including human pathogens, dust particles, and viruses. Inflammations are mainly divided into acute and chronic inflammation depending on various inflammatory processes and cellular mechanisms. Recent investigations have clarified that inflammation is a major factor for the progression of various chronic diseases/disorders, including diabetes, cancer, cardiovascular diseases, eye disorders, arthritis, obesity, autoimmune diseases, and inflammatory bowel disease. Free radical productions from different biological and environmental sources are due to an imbalance of natural antioxidants which further leads to various inflammatory associated diseases. In this review article, we have outlined the inflammatory process and its cellular mechanisms involved in the progression of various chronic modern human diseases. In addition, we have discussed the role of free radicals-induced tissue damage, antioxidant defence, and molecular mechanisms in chronic inflammatory diseases/disorders. The systematic knowledge regarding the role of inflammation and its associated adverse effects can provide a clear understanding in the development of innovative therapeutic targets from natural sources that are intended for suppression of various chronic inflammations associated diseases.\n", - "\n", - "--------------------------------------------------\n", - "\n", - "Role of Antioxidants and Natural Products in Inflammation\n", - "Inflammation is a comprehensive array of physiological response to a foreign organism, including human pathogens, dust particles, and viruses. Inflammations are mainly divided into acute and chronic inflammation depending on various inflammatory processes and cellular mechanisms. Recent investigations have clarified that inflammation is a major factor for the progression of various chronic diseases/disorders, including diabetes, cancer, cardiovascular diseases, eye disorders, arthritis, obesity, autoimmune diseases, and inflammatory bowel disease. Free radical productions from different biological and environmental sources are due to an imbalance of natural antioxidants which further leads to various inflammatory associated diseases. In this review article, we have outlined the inflammatory process and its cellular mechanisms involved in the progression of various chronic modern human diseases. In addition, we have discussed the role of free radicals-induced tissue damage, antioxidant defence, and molecular mechanisms in chronic inflammatory diseases/disorders. The systematic knowledge regarding the role of inflammation and its associated adverse effects can provide a clear understanding in the development of innovative therapeutic targets from natural sources that are intended for suppression of various chronic inflammations associated diseases.\n", - "\n", - "--------------------------------------------------\n", - "\n" - ] - } - ], - "source": [ - "for doc in reranked_results.results:\n", - " print(doc.document.title)\n", - " print(doc.document.text)\n", - " print(f\"\\n{'-'*50}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## So what does this mean?\n", - "### To see the impact, lets set up our chat portion of the RAG flow so that we can respond to the user. This function will make it easier to test the chat portion of our RAG flow" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# We will create a basic chat helper function\n", - "import uuid\n", - "\n", - "class Chat:\n", - " def __init__(self, rerank=False, n_search_results=75):\n", - " self.convo_id = uuid.uuid4()\n", - " self.rerank = rerank\n", - " self.n_search_results = n_search_results\n", - " \n", - " def _rerank_documents(self, query, keyword_docs, semantic_docs, top_n=10):\n", - " \"\"\"\n", - " Re-ranks the combined keyword and semantic documents and formats them.\n", - "\n", - " Args:\n", - " query (str): The search query.\n", - " keyword_docs (List[Dict]): Keyword search results.\n", - " semantic_docs (List[Dict]): Semantic search results.\n", - "\n", - " Returns:\n", - " str: Formatted re-ranked documents as a string.\n", - " \"\"\"\n", - " first_stage_results = keyword_docs + semantic_docs\n", - " reranked_results = co.rerank(\n", - " query=query,\n", - " documents=first_stage_results,\n", - " top_n=top_n,\n", - " rank_fields=['text', 'title'],\n", - " model='rerank-english-v3.0',\n", - " return_documents=True\n", - " )\n", - " \n", - " documents = [doc.document for doc in reranked_results.results]\n", - " return documents\n", - " \n", - " \n", - " def _search(self, query):\n", - " # get the search results\n", - " keyword_documents = search(query, mode='lexical')\n", - " semantic_documents = search(query, mode='semantic')\n", - " hybrid_documents = search(query, mode='hybrid')\n", - " \n", - " # If we want to use rerank\n", - " if self.rerank:\n", - " documents = self._rerank_documents(query, keyword_documents, semantic_documents)\n", - " \n", - " # otherwise default to hybrid\n", - " else:\n", - " documents = hybrid_documents[:self.n_search_results]\n", - " \n", - " return documents\n", - " \n", - " \n", - " def chat(self, message):\n", - " \"\"\"\n", - " Generates a chat response based on the given message.\n", - "\n", - " Args:\n", - " message (str): The user's input message.\n", - "\n", - " Yields:\n", - " str: The chat response generated by the model.\n", - " \"\"\"\n", - " # get the search results\n", - " documents = self._search(message)\n", - " \n", - " # If we want to use rerank\n", - " if self.rerank:\n", - " documents_str = '\\n'.join([f\"\\nDocument: {i}\\n{d.title}\\n\\n{d.text}\" for i, d in enumerate(documents)])\n", - " \n", - " # otherwise default to hybrid\n", - " else:\n", - " documents_str = '\\n'.join([f\"\\nDocument: {i}\\n{d['title']}\\n\\n{d['text']}\" for i, d in enumerate(documents)])\n", - " \n", - " # Chat model\n", - " document_token_count = len(co.tokenize(text=documents_str, model='command-r-plus').tokens)\n", - " print(f\"{document_token_count} Tokens in the Prompt\")\n", - " \n", - " model_response = co.chat_stream(\n", - " message=f\"Retrieved Documents:\\n{documents_str}\\n\\nUser Message:\\n{message}\",\n", - " preamble=\"Answer the user's question using information in the documents below\",\n", - " model=\"command-r-plus\",\n", - " temperature=0.1,\n", - " conversation_id=self.convo_id\n", - " )\n", - " \n", - " for token in model_response:\n", - " if token.event_type == 'text-generation':\n", - " yield token.text" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Lets try asking the model without reranking" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import time" - ] - }, - { - "cell_type": "code", - "execution_count": 569, - "metadata": {}, - "outputs": [], - "source": [ - "no_rerank_session = Chat(rerank=False)" - ] - }, - { - "cell_type": "code", - "execution_count": 570, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "26369 Tokens in the Prompt\n", - "Time before the response starts printing: 4.57 seconds\n", - "\n", - "There have been several studies on natural treatments for diabetes. Here are some of the findings:\n", - "\n", - "- A study on the efficacy of the Tangminling pill, a Chinese medication consisting of ten herbal medicines, found that it was more effective than a placebo in reducing glucose levels and body weight, and improving β-cell function in patients with type 2 diabetes.\n", - "- Lagerstroemia speciosa, a Southeast Asian tree commonly known as banaba, has been traditionally consumed in the Philippines for treating diabetes and kidney-related diseases. Scientific studies have confirmed its antidiabetic activity.\n", - "- A study on the effects of extracts from the root bark of Broussonetia papyrifera found that it improved glucose intolerance and decreased inflammation in adipose tissue, indicating its therapeutic potential for metabolic diseases such as type 2 diabetes.\n", - "- A review of the use of herbal products in chronic liver disease found that quercetin and curcumin have beneficial effects as antioxidants, but noted the lack of randomized, placebo-controlled clinical trials to prove their efficacy.\n", - "- A study on the effects of Sphaeranthus indicus Linn., a plant widely used in Ayurvedic medicine, found that it has antihyperglycemic and hepatoprotective activities, suggesting its potential for treating diabetes.\n", - "- A study on the effects of Rosae Multiflorae Fructus and Lonicerae Japonicae Flos, two edible herbs used in traditional remedies for rheumatoid arthritis, found that they inhibited the production of inflammatory mediators in immune cells, providing a pharmacological justification for their use.\n", - "- A study on the effects of hinokiflavone and glycyrrhizin, two natural compounds, found that their combination provided a protective effect on the liver and kidney, although less so than the standard drug silymarin.\n", - "- A study on the effects of indirubin, a compound obtained from the Indigo plant, found that it enhanced brown adipose tissue activity and induced browning of white adipose tissue, suggesting its potential for preventing and treating obesity and its complications, including type 2 diabetes." - ] - } - ], - "source": [ - "start_time = time.time()\n", - "\n", - "# Asking our question\n", - "res = no_rerank_session.chat('Have there been any studies on natural treatment for diabetes?')\n", - "\n", - "first_token = next(res)\n", - "end_time = time.time()\n", - "elapsed_time = end_time - start_time\n", - "print(f\"Time before the response starts printing: {elapsed_time:.2f} seconds\\n\")\n", - "print(first_token, end='')\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### What if we use rerank instead?" - ] - }, - { - "cell_type": "code", - "execution_count": 571, - "metadata": {}, - "outputs": [], - "source": [ - "rerank_session = Chat(rerank=True)" - ] - }, - { - "cell_type": "code", - "execution_count": 572, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "3043 Tokens in the Prompt\n", - "Time before the response starts printing: 1.54 seconds\n", - "\n", - "Yes, there have been studies on natural treatments for diabetes. Here are some examples:\n", - "\n", - "- The leaves of the Lagerstroemia speciosa (Lythraceae) tree, commonly known as banaba, have been used by Filipinos to treat diabetes and kidney-related diseases. Scientists have identified different components of banaba that are responsible for its antidiabetic activity.\n", - "- The Tangminling pill, consisting of ten Chinese herbal medications, is prescribed for type 2 diabetes in mainland China. Studies have shown that it may reduce glucose levels and body weight and improve β-cell function in patients.\n", - "- Sphaeranthus indicus Linn. (Asteraceae) is used in Ayurvedic medicine to treat diabetes, among other conditions. It has been reported to have antihyperglycemic activities.\n", - "- Genetically engineered recombinant probiotics have been proposed as a treatment strategy for diabetes. A study found that an Ang(1–7)-secreting Lactobacillus paracasei (LP) or LP-A increased circulating levels of Ang(1–7) in a rodent model." - ] - } - ], - "source": [ - "start_time = time.time()\n", - "\n", - "# Asking our question\n", - "res = rerank_session.chat('Have there been any studies on natural treatment for diabetes?')\n", - "\n", - "first_token = next(res)\n", - "end_time = time.time()\n", - "elapsed_time = end_time - start_time\n", - "print(f\"Time before the response starts printing: {elapsed_time:.2f} seconds\\n\")\n", - "print(first_token, end='')\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### You can see we can effectively reduce both the latency and token count, while maintaining the high quality results" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### But cant we pass in less documents with normal search?" - ] - }, - { - "cell_type": "code", - "execution_count": 573, - "metadata": {}, - "outputs": [], - "source": [ - "short_session = Chat(rerank=False, n_search_results=10)" - ] - }, - { - "cell_type": "code", - "execution_count": 574, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "3960 Tokens in the Prompt\n", - "Time before the response starts printing: 1.27 seconds\n", - "\n", - "Yes, there have been studies on natural treatments for diabetes. One study examined the efficacy of the Tangminling pill, a Chinese medication consisting of ten herbal medications, in treating type 2 diabetes. Another study looked at the potential of withanolides, particularly extracts from Withania somnifera, in treating chronic diseases, including diabetes." - ] - } - ], - "source": [ - "start_time = time.time()\n", - "\n", - "# Asking our question\n", - "res = short_session.chat('Have there been any studies on natural treatment for diabetes?')\n", - "\n", - "first_trerank_sessionoken = next(res)\n", - "end_time = time.time()\n", - "elapsed_time = end_time - start_time\n", - "print(f\"Time before the response starts printing: {elapsed_time:.2f} seconds\\n\")\n", - "print(first_token, end='')\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### So we can, but the quality slips" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Alright so lets continue our conversation" - ] - }, - { - "cell_type": "code", - "execution_count": 575, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "3369 Tokens in the Prompt\n", - "Here are some early symptoms of various diseases:\n", - "\n", - "- Dengue Virus Infection: fever, headache, retro-orbital pain, myalgia, arthralgia, rash, petechiae, positive tourniquet test, vomiting, leukopenia, platelets ≤150,000 cells/mL, poor capillary refill, cold extremities, and hypotension.\n", - "- Influenza: fever, respiratory symptoms, and myalgia.\n", - "- Pandemic H1N1 2009 Influenza: high fever, cough, rhinorrhea, sore throat, myalgia, and diarrhea.\n", - "- Swine-Origin Influenza A (H1N1): fever, cough, rhinorrhea, and headache.\n", - "- Early Infantile Pertussis: apnoeas, seizures, respiratory failure, hypotension, pulmonary hypertension, pneumothoraces, and seizures.\n", - "- Hantavirus Infection: disorientation, sinustachycardia, and hypotension.\n", - "- Chronic Kidney Disease (CKD): There are often no apparent symptoms in the early stages, especially in normotensive individuals. However, some novel biomarkers have been identified to detect early renal tubular damage, such as urinary vanin-1 and neutrophil gelatinase-associated lipocalin (NGAL).\n", - "\n", - "It is important to note that these are not exhaustive lists, and the presence of these symptoms does not necessarily indicate a specific disease. Medical advice should be sought for an accurate diagnosis." - ] - } - ], - "source": [ - "res = rerank_session.chat(\"Can you tell me about some of the early symptoms of the disease?\")\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### Now we have a new failure mode, the model doesnt have the context from my conversation, so the search didnt return the correct results as it didnt know I meant for it to search for diabetes still" - ] - }, - { - "attachments": { - "dc8aee61-8731-42cd-afef-4ca51db04e4c.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## We need to improve the queries\n", - "![image.png](attachment:dc8aee61-8731-42cd-afef-4ca51db04e4c.png)\n", - "### We can make a new version of our chat class with a modification to use a model to create our query" - ] - }, - { - "cell_type": "code", - "execution_count": 576, - "metadata": {}, - "outputs": [], - "source": [ - "class ContextChat(Chat):\n", - " memory = []\n", - " memory_str = ''\n", - " \n", - " def _set_context(self, message):\n", - " self.memory.append(message)\n", - " self.memory_str = '\\n-'.join(self.memory)\n", - " \n", - " def _generate_query(self):\n", - " prompt = f\"Conversation:\\n{self.memory_str} Use the context of the conversation above and return a rephrased query that takes into account the context of the conversation. Only return the query, nothing else\"\n", - " queries = []\n", - " raw_generation = co.chat(message=prompt, model='command-r')\n", - " query = raw_generation.text.strip()\n", - " print(f\"Generated Query: {query}\")\n", - " return query\n", - " \n", - " def chat(self, message):\n", - " \"\"\"\n", - " Generates a chat response based on the given message.\n", - "\n", - " Args:\n", - " message (str): The user's input message.\n", - "\n", - " Yields:\n", - " str: The chat response generated by the model.\n", - " \"\"\"\n", - " ###############################\n", - " # Add a section to capture the context and get a new query\n", - " #\n", - " self._set_context(message)\n", - " query = self._generate_query()\n", - " ##############################\n", - " \n", - " # get the search results\n", - " documents = self._search(query)\n", - " \n", - " # If we want to use rerank\n", - " if self.rerank:\n", - " documents_str = '\\n'.join([f\"\\nDocument: {i}\\n{d.title}\\n\\n{d.text}\" for i, d in enumerate(documents)])\n", - " \n", - " # otherwise default to hybrid\n", - " else:\n", - " documents_str = '\\n'.join([f\"\\nDocument: {i}\\n{d['title']}\\n\\n{d['text']}\" for i, d in enumerate(documents)])\n", - " \n", - " # Chat model\n", - " document_token_count = len(co.tokenize(text=documents_str, model='command-r-plus').tokens)\n", - " print(f\"{document_token_count} Tokens in the Prompt\")\n", - " \n", - " model_response = co.chat_stream(\n", - " message=f\"Retrieved Documents:\\n{documents_str}\\n\\nUser Message:\\n{message}\",\n", - " preamble=\"Answer the user's question using information in the documents below\",\n", - " model=\"command-r-plus\",\n", - " temperature=0.1,\n", - " conversation_id=self.convo_id\n", - " )\n", - " \n", - " response = '' \n", - " for token in model_response:\n", - " if token.event_type == 'text-generation':\n", - " response += token.text\n", - " yield token.text\n", - " self._set_context(response)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Lets try the same question loop again" - ] - }, - { - "cell_type": "code", - "execution_count": 577, - "metadata": {}, - "outputs": [], - "source": [ - "context_session = ContextChat(rerank=True)" - ] - }, - { - "cell_type": "code", - "execution_count": 578, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Generated Query: Do any studies exist on the effectiveness of natural diabetes remedies?\n", - "3686 Tokens in the Prompt\n", - "Time before the response starts printing: 1.84 seconds\n", - "\n", - "Yes, there have been several studies on natural treatments for diabetes. Here are some examples:\n", - "\n", - "- A study on the efficacy of the Tangminling pill, a traditional Chinese medication consisting of ten Chinese herbal medications, found that it may reduce glucose levels and body weight and improve β-cell function in patients with type 2 diabetes.\n", - "- The leaves of Lagerstroemia speciosa (Lythraceae), a Southeast Asian tree commonly known as banaba, have been traditionally consumed by Filipinos in various forms to treat diabetes and kidney-related diseases. Scientists have identified different active compounds in banaba, such as corosolic acid and ellagitannin Lagerstroemin, which exhibit antidiabetic activity.\n", - "- Broussonetia papyrifera root bark extract (PRE) has been found to exhibit anti-inflammatory effects on adipose tissue and improve insulin sensitivity, indicating its therapeutic potential in metabolic diseases like obesity and type 2 diabetes.\n", - "- Sphaeranthus indicus Linn. is widely used in the Ayurvedic system of medicine to treat various conditions, including diabetes. It has been reported to possess antihyperglycemic properties, among other beneficial activities.\n", - "- A novel Phenol-Rich Compounds Sweet Gel, prepared by blending four natural herbal extracts with a sweet gel medium, has been found to be effective in treating various types of wounds, including leg ulcers in diabetic patients.\n", - "\n", - "These studies suggest that natural treatments for diabetes may hold promise, but more research is likely needed to fully understand their efficacy and safety." - ] - } - ], - "source": [ - "start_time = time.time()\n", - "\n", - "# Asking our question\n", - "res = context_session.chat('Have there been any studies on natural treatment for diabetes?')\n", - "\n", - "first_token = next(res)\n", - "end_time = time.time()\n", - "elapsed_time = end_time - start_time\n", - "print(f\"Time before the response starts printing: {elapsed_time:.2f} seconds\\n\")\n", - "print(first_token, end='')\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "code", - "execution_count": 579, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Generated Query: What are some early warning signs of diabetes, given the variety of natural treatments being studied for their effectiveness in managing the disease?\n", - "2720 Tokens in the Prompt\n", - "While I cannot provide medical advice, here is some general information about potential early signs and symptoms of diabetes:\n", - "\n", - "- Increased thirst and frequent urination: Diabetes is often associated with polyuria, which is the medical term for excessive urination. This is due to the body's attempt to get rid of the excess glucose in the blood by increasing urine production. Along with frequent urination, people may also experience increased thirst (polydipsia) as the body tries to replace the lost fluids.\n", - "- Weight loss: Unexplained weight loss can be an early sign of diabetes, especially type 1 diabetes. This is because the body is unable to properly utilize glucose for energy, leading to weight loss despite a normal or increased appetite.\n", - "- Fatigue and tiredness: Diabetes can cause fatigue due to the body's inability to properly utilize glucose for energy production. This can result in feelings of tiredness and a lack of energy.\n", - "- Blurred vision: High blood sugar levels can affect the eyes, causing blurred vision or other visual disturbances. This is often a temporary symptom and can be managed with proper diabetes treatment.\n", - "- Slow-healing wounds: Diabetes can affect the body's ability to heal wounds, so cuts, bruises, or sores may take longer than usual to heal.\n", - "- Increased hunger: Diabetes can cause fluctuations in blood sugar levels, leading to frequent feelings of hunger, even after eating.\n", - "\n", - "It's important to note that these symptoms may vary depending on the type of diabetes and other individual factors. If you are experiencing any of these symptoms or have concerns about your health, it's always best to consult with a healthcare professional." - ] - } - ], - "source": [ - "res = context_session.chat(\"Can you tell me about some of the early symptoms of the disease?\")\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### Great, so now we can continue the conversation with context-aware queries" - ] - }, - { - "attachments": { - "40574cd0-f664-423f-b344-8b3925e86f3d.png": { - "image/png": "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" - } - }, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Now we can bring it all together with some more subtle improvements\n", - "![image.png](attachment:40574cd0-f664-423f-b344-8b3925e86f3d.png)\n", - "### We will start by creating the context chat class again, but see how we can do it easier with the Cohere SDK\n", - "#### (notice no prompt engineering and fusion of multiple queries)" - ] - }, - { - "cell_type": "code", - "execution_count": 580, - "metadata": {}, - "outputs": [], - "source": [ - "class CohereContextChat(Chat):\n", - " def _multi_search(self, queries):\n", - " all_documents = []\n", - " for query in queries:\n", - " # get the search results\n", - " keyword_documents = search(query, mode='lexical')\n", - " semantic_documents = search(query, mode='semantic')\n", - " hybrid_documents = search(query, mode='hybrid')\n", - " \n", - " # If we want to use rerank\n", - " if self.rerank:\n", - " documents = self._rerank_documents(query, keyword_documents, semantic_documents, top_n=5)\n", - " all_documents.extend(documents) \n", - " \n", - " return all_documents\n", - " \n", - " def chat(self, message):\n", - " \"\"\"\n", - " Generates a chat response based on the given message.\n", - "\n", - " Args:\n", - " message (str): The user's input message.\n", - "\n", - " Yields:\n", - " str: The chat response generated by the model.\n", - " \"\"\"\n", - " # get search queries\n", - " generated_queries = co.chat(message=message, model='command-r', search_queries_only=True)\n", - " queries = [q.text for q in generated_queries.search_queries]\n", - " print(f\"Queries: {queries}\")\n", - " \n", - " # get the search results\n", - " documents = self._multi_search(queries)\n", - " documents = [{'title': d.title, 'text': d.text} for d in documents]\n", - " \n", - " # Chat model \n", - " model_response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r-plus\",\n", - " documents=documents,\n", - " temperature=0.1,\n", - " conversation_id=self.convo_id\n", - " )\n", - " \n", - " citations = []\n", - " for token in model_response:\n", - " if token.event_type == 'text-generation':\n", - " yield token.text\n", - " elif token.event_type == 'citation-generation':\n", - " citations.append(token.citations)\n", - " print(f\"\\n\\n{citations}\")" - ] - }, - { - "cell_type": "code", - "execution_count": 581, - "metadata": {}, - "outputs": [], - "source": [ - "cohere_session = CohereContextChat(rerank=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### And we also get citations as part of our response now!" - ] - }, - { - "cell_type": "code", - "execution_count": 582, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Queries: ['natural treatment for diabetes', 'early signs of diabetes']\n", - "Time before the response starts printing: 4.07 seconds\n", - "\n", - "There have been studies on natural treatments for diabetes. One such study focused on the efficacy of the Tangminling pill, a Chinese herbal medication consisting of ten herbal medications, which is usually prescribed for type 2 diabetes in mainland China. The study found that Tangminling treatment exhibited better efficacy than a placebo in reducing hemoglobin A1c, fasting plasma glucose, and 2-hour postprandial glucose. Another study focused on the antidiabetic properties of the leaves of Lagerstroemia speciosa (Lythraceae), a Southeast Asian tree more commonly known as banaba. Filipinos have traditionally consumed the leaves of this tree in various forms to treat diabetes and kidney-related diseases. In the 1990s, this herbal medicine began to attract the attention of scientists worldwide, who have since conducted numerous in vitro and in vivo studies that have consistently confirmed the antidiabetic activity of banaba.\n", - "\n", - "Additionally, here are some early signs of diabetes:\n", - "- Frequent urination\n", - "- Increased thirst\n", - "- Hunger\n", - "- Fatigue\n", - "- Blurred vision\n", - "- Slow-healing wounds\n", - "- Weight loss\n", - "- Tingling or numbness in hands or feet\n", - "\n", - "[[ChatCitation(start=90, end=122, text='efficacy of the Tangminling pill', document_ids=['doc_1', 'doc_2'])], [ChatCitation(start=126, end=151, text='Chinese herbal medication', document_ids=['doc_1', 'doc_2'])], [ChatCitation(start=166, end=188, text='ten herbal medications', document_ids=['doc_1', 'doc_2'])], [ChatCitation(start=199, end=256, text='usually prescribed for type 2 diabetes in mainland China.', document_ids=['doc_1', 'doc_2'])], [ChatCitation(start=278, end=340, text='Tangminling treatment exhibited better efficacy than a placebo', document_ids=['doc_1', 'doc_2'])], [ChatCitation(start=344, end=425, text='reducing hemoglobin A1c, fasting plasma glucose, and 2-hour postprandial glucose.', document_ids=['doc_1', 'doc_2'])], [ChatCitation(start=455, end=531, text='antidiabetic properties of the leaves of Lagerstroemia speciosa (Lythraceae)', document_ids=['doc_0'])], [ChatCitation(start=535, end=586, text='Southeast Asian tree more commonly known as banaba.', document_ids=['doc_0'])], [ChatCitation(start=587, end=712, text='Filipinos have traditionally consumed the leaves of this tree in various forms to treat diabetes and kidney-related diseases.', document_ids=['doc_0'])], [ChatCitation(start=720, end=725, text='1990s', document_ids=['doc_0'])], [ChatCitation(start=732, end=802, text='herbal medicine began to attract the attention of scientists worldwide', document_ids=['doc_0'])], [ChatCitation(start=819, end=936, text='conducted numerous in vitro and in vivo studies that have consistently confirmed the antidiabetic activity of banaba.', document_ids=['doc_0'])]]\n" - ] - } - ], - "source": [ - "start_time = time.time()\n", - "\n", - "# Asking our question\n", - "res = cohere_session.chat('Have there been any studies on natural treatment for diabetes? Also can you search for some of the early signs')\n", - "\n", - "first_token = next(res)\n", - "end_time = time.time()\n", - "elapsed_time = end_time - start_time\n", - "print(f\"Time before the response starts printing: {elapsed_time:.2f} seconds\\n\")\n", - "print(first_token, end='')\n", - "for r in res:\n", - " print(r, end='')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3.10 - SDK v2", - "language": "python", - "name": "python310-sdkv2" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.11" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Pondr_Question_Generation.ipynb b/notebooks/guides/Pondr_Question_Generation.ipynb index f21cf91f..9543b7a2 100644 --- a/notebooks/guides/Pondr_Question_Generation.ipynb +++ b/notebooks/guides/Pondr_Question_Generation.ipynb @@ -1,226 +1,18 @@ { - "cells": [ - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Pondr: Fostering Connection through Good Conversation\n", - "\n", - "We tend to chat all the time with friends new and olds, but often it feels like we’re just scratching at the surface, or defaulting to predictable, mundane conversations. Really good conversations — ones that introduce an element of vulnerability, spur a moment of fun, or create a deep sense of closeness — are few and far between. And when we have those types of conversations, we remember them.\n", - "\n", - "Pondr is a game that turns strangers into friends, and friends into besties, by fostering connection and closeness through really good conversations. Using Cohere, Pondr generates question prompts on command that are uniquely tailored to the players’ setting. Whether you’re looking to deepen a connection with someone you’ve known forever, or you’re just hoping to become more familiar with a new friend, Pondr will help you drive the right sort of conversation.\n", - "\n", - "You can build your own version of Pondr by following these simple steps: \n", - "\n", - "1. Generate potential conversation questions\n", - "2. Rank the generated questions\n", - "3. Put the generation and classification behind an interface\n", - "\n", - "In this notebook we will walk through the first two steps.\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Setup\n", - "Install and import the tools we will need as well as initializing the Cohere model." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "from cohere.responses.classify import Example\n", - "import pandas as pd" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co=cohere.Client('YOUR_API_KEY')" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### 1) Generate Potential Conversation Questions\n", - "Generate a list of potential conversation questions and retain the first 10." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "#user_input is hardcoded for this example\n", - "user_input='I am meeting up with a coworker. We are meeting at a fancy restaurant. I wanna ask some interesting questions. These questions should be deep.'\n", - "prompt=user_input+'\\nHere are 10 interesting questions to ask:\\n1)'\n", - "response=co.generate(model='xlarge', prompt=prompt, max_tokens=200, temperature=5).generations[0].text\n", - "response" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "def generation_to_df(generation):\n", - " generation=response.split('\\n')\n", - " clean_questions=[]\n", - " for i in range(10):\n", - " curr_q=generation[i]\n", - " clean_questions.append(curr_q[curr_q.find(')')+1:])\n", - " clean_q_df=pd.DataFrame(clean_questions, columns=['questions'])\n", - " return clean_q_df" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "clean_q_df = generation_to_df(response)\n", - "pd.options.display.max_colwidth=150\n", - "clean_q_df" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### 2) Classify Questions\n", - "Rank and sort the questions based on interestingness and specificity." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# example labelled questions that act as a training samples for the classification\n", - "interestingness=[\n", - " Example(\"What do you think is the hardest part of what I do for a living?\", \"Not Interesting\"), \n", - " Example(\"What\\'s the first thing you noticed about me?\", \"Interesting\"), \n", - " Example(\"Do you think plants thrive or die in my care?\", \"Interesting\"), \n", - " Example(\"Do I seem like more of a creative or analytical type?\", \"Interesting\"), \n", - " Example(\"What subject do you think I thrived at in school?\", \"Not Interesting\"), \n", - " Example(\"What\\'s been your happiest memory this past year?\", \"Interesting\"), \n", - " Example(\"What lesson took you the longest to un-learn?\", \"Not Interesting\"), \n", - " Example(\"How can you become a better person?\", \"Not Interesting\"), \n", - " Example(\"Do you think I intimidate others? Why or why not?\", \"Interesting\"), \n", - " Example(\"What\\'s the most embarrassing thing that happened to you on a date?\", \"Not Interesting\"), \n", - " Example(\"How would you describe what you think my type is in three words?\", \"Interesting\"), \n", - " Example(\"What do you think I\\'m most likely to splurge on?\", \"Interesting\"), \n", - " Example(\"As a child what do you think I wanted to be when I grow up?\", \"Interesting\"), \n", - " Example(\"Do you think you are usually early, on time, or late to events?\", \"Not Interesting\"), \n", - " Example(\"Do you think I was popular at school?\", \"Interesting\"), \n", - " Example(\"What questions are you trying to answer most in your life right now?\", \"Not Interesting\")]\n", - "specificity=[\n", - " Example(\"What\\'s the first thing you noticed about me?\", \"Specific\"), \n", - " Example(\"Do you think plants thrive or die in my care?\", \"Specific\"), \n", - " Example(\"Do I seem like more of a creative or analytical type?\", \"Not Specific\"), \n", - " Example(\"How would you describe what you think my type is in three words?\", \"Not Specific\"), \n", - " Example(\"What do you think I\\'m most likely to splurge on?\", \"Specific\"), \n", - " Example(\"What subject do you think I thrived at in school?\", \"Not Specific\"), \n", - " Example(\"As a child what do you think I wanted to be when I grow up?\", \"Specific\"), \n", - " Example(\"Do you think I was popular at school?\", \"Specific\"), \n", - " Example(\"Do you think you\\'re usually early, on time, or late to events?\", \"Specific\"), \n", - " Example(\"Do you think I intimidate others? Why or why not?\", \"Specific\"), \n", - " Example(\"What\\'s been your happiest memory this past year?\", \"Not Specific\"), \n", - " Example(\"What subject do you think I thrived at in school?\", \"Specific\"), \n", - " Example(\"What\\'s the biggest mistake that you think you needed to make to become who you are now?\", \"Specific\"), \n", - " Example(\"Is there anything you\\'ve done recently that you\\'re incredibly proud of?\", \"Not Specific\"), \n", - " Example(\"How are you and your siblings similar?\", \"Not Specific\"), \n", - " Example(\"What\\'s the worst pain you have ever been in that wasn\\'t physical?\", \"Specific\"), \n", - " Example(\"Has a stranger ever changed your life?\", \"Specific\"), \n", - " Example(\"Do you think the image you have of yourself matches the image other people see you as?\", \"Specific\"), \n", - " Example(\"What would your younger self not believe about your life today?\", \"Specific\")]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "def add_attribute(df, attribute, name, target):\n", - "\n", - " response = co.classify(\n", - " model='medium',\n", - " inputs=list(df['questions']),\n", - " examples=attribute)\n", - "\n", - " q_conf=[]\n", - " for q in response.classifications:\n", - " q_conf.append(q.labels[target].confidence)\n", - "\n", - " df[name]=q_conf" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "add_attribute(clean_q_df, interestingness, 'interestingness', 'Interesting')\n", - "add_attribute(clean_q_df, specificity, 'specificity', 'Specific')\n", - "clean_q_df['average']= clean_q_df.iloc[:,1:].mean(axis=1)\n", - "clean_q_df.sort_values(by='average', ascending=False)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3.9.13 ('base')", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.13" - }, - "orig_nbformat": 4, - "vscode": { - "interpreter": { - "hash": "4f64e0feeb48255507f9272d9b90b042729172fc814dfe10c82ed9c24fde65d7" - } - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Pondr_Question_Generation.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/QuestionAnwering_Cohere_SagemakerJumpstart.ipynb b/notebooks/guides/QuestionAnwering_Cohere_SagemakerJumpstart.ipynb index d5caa6c4..8cb41a57 100644 --- a/notebooks/guides/QuestionAnwering_Cohere_SagemakerJumpstart.ipynb +++ b/notebooks/guides/QuestionAnwering_Cohere_SagemakerJumpstart.ipynb @@ -1,1556 +1,18 @@ { - "cells": [ - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Question Answering using LangChain and Cohere's Generate and Embedding Models from SageMaker JumpStart\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This notebook was contributed by James Yi – Sr. Partner Solutions Architect - AI/ML, Amazon Web Services" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "---\n", - "\n", - "This notebook's CI test result for us-west-2 is as follows. CI test results in other regions can be found at the end of the notebook.\n", - "\n", - "![This us-west-2 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/us-west-2/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "---" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this notebook we will demonstrate how to use Cohere Generate model to answer questions using a library of documents as a reference, by using Cohere embedding model for document embeddings and retrieval. \n", - "\n", - "The Cohere Platform empowers enterprises and developers to use Large Language Models (LLMs) privately and securely with AWS JumpStart deployment. We have announced the availability of Cohere’s LLMs through Amazon SageMaker in Jan 2023. Customers can easily subscribe [Cohere’s LLMs through AWS Marketplace](https://aws.amazon.com/marketplace/seller-profile?id=87af0c85-6cf9-4ed8-bee0-b40ce65167e0) and use them in Amazon SageMaker.\n", - "\n", - "[Command](https://aws.amazon.com/marketplace/pp/prodview-n44fbeuycwldi?sr=0-3&ref_=beagle&applicationId=AWSMPContessa) is Cohere’s text generation model. It is trained to follow user commands and to be instantly useful in practical business applications. Command ranks at the top of the Holistic Evaluation of Language Models (HELM) benchmark, an evaluation leaderboard comparing large language models on a wide number of tasks. [Cohere Multilingual Embedding Model](https://aws.amazon.com/marketplace/pp/prodview-z6huxszcqc25i) allows you to classify, embed, and tokenize text in multiple languages. \n", - "\n", - "In this notebook, we will use [Cohere Generate Model - Command-Light](https://aws.amazon.com/marketplace/pp/prodview-6dmzzso5vu5my?sr=0-1&ref_=beagle&applicationId=AWSMPContessa) for text generation and [Cohere Multilingual Embedding Model](https://aws.amazon.com/marketplace/pp/prodview-z6huxszcqc25i) for text embedding. You can follow the [Cohere model deployment jupyterbooks in this github](https://github.com/cohere-ai/cohere-sagemaker/tree/main/notebooks) for each model deployment.\n", - "\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Pre-requisites:\n", - "\n", - "1. Ensure that IAM role used has **AmazonSageMakerFullAccess**\n", - "1. To deploy this ML model successfully, ensure that:\n", - " 1. Either your IAM role has these three permissions and you have authority to make AWS Marketplace subscriptions in the AWS account used: \n", - " 1. **aws-marketplace:ViewSubscriptions**\n", - " 1. **aws-marketplace:Unsubscribe**\n", - " 1. **aws-marketplace:Subscribe** \n", - " 2. or your AWS account has subscriptions to [Cohere Generate Model - Command-Light](https://aws.amazon.com/marketplace/pp/prodview-6dmzzso5vu5my?sr=0-1&ref_=beagle&applicationId=AWSMPContessa) and [Cohere Multilingual Embedding Model](https://aws.amazon.com/marketplace/ai/procurement?productId=b50f0eee-4595-48b3-8507-253f3c8ca728)." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 1. Subscribe to the model packages and deploy Cohere Generate model and Embedding model in SageMaker JumpStart\n", - "\n", - "To subscribe to the model packages:\n", - "\n", - "1. Open the model package listing pages [Cohere Generate Model - Command-Light](https://aws.amazon.com/marketplace/pp/prodview-6dmzzso5vu5my) and [Cohere Multilingual Embedding Model](https://aws.amazon.com/marketplace/ai/procurement?productId=b50f0eee-4595-48b3-8507-253f3c8ca728).\n", - "1. On the AWS Marketplace listing, click on the **Continue to subscribe** button.\n", - "1. On the **Subscribe to this software** page, review and click on **\"Accept Offer\"** if you and your organization agrees with EULA, pricing, and support terms.\n", - "1. Once you click on **Continue to configuration** button and then choose a **region**, you will see a **Product Arn** displayed. This is the model package ARN that you need to specify while creating a deployable model using Boto3. Copy the ARN corresponding to your region and specify the same in the following cell.\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "jupyter": { - "outputs_hidden": true - }, - "pycharm": { - "name": "#%%\n" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "!pip install --upgrade sagemaker --quiet\n", - "!pip install --upgrade cohere-sagemaker\n", - "!pip install ipywidgets==7.0.0 --quiet\n", - "!pip install langchain==0.0.148 --quiet\n", - "!pip install faiss-cpu --quiet" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "import time\n", - "import sagemaker, boto3, json\n", - "from cohere_sagemaker import Client\n", - "from sagemaker.session import Session\n", - "from sagemaker.model import Model\n", - "from sagemaker import image_uris, model_uris, script_uris, hyperparameters\n", - "from sagemaker.predictor import Predictor\n", - "from sagemaker.utils import name_from_base\n", - "from typing import Any, Dict, List, Optional\n", - "from langchain.embeddings import SagemakerEndpointEmbeddings\n", - "from langchain.llms.sagemaker_endpoint import ContentHandlerBase\n", - "\n", - "sagemaker_session = Session()\n", - "aws_role = sagemaker_session.get_caller_identity_arn()\n", - "aws_region = boto3.Session().region_name\n", - "sess = sagemaker.Session()\n", - "model_version = \"*\"" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Deploy Cohere Generate Model" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "cohere_generate_package = \"cohere-gpt-medium-v1-8-081bb643f4ae3394a249d913abc6085c\"\n", - "\n", - "# Mapping for Model Packages\n", - "generate_model_package_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:model-package/{cohere_generate_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:model-package/{cohere_generate_package}\",\n", - " \"us-west-1\": f\"arn:aws:sagemaker:us-west-1:382657785993:model-package/{cohere_generate_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:model-package/{cohere_generate_package}\",\n", - " \"ca-central-1\": f\"arn:aws:sagemaker:ca-central-1:470592106596:model-package/{cohere_generate_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:model-package/{cohere_generate_package}\",\n", - " \"eu-west-1\": f\"arn:aws:sagemaker:eu-west-1:985815980388:model-package/{cohere_generate_package}\",\n", - " \"eu-west-2\": f\"arn:aws:sagemaker:eu-west-2:856760150666:model-package/{cohere_generate_package}\",\n", - " \"eu-west-3\": f\"arn:aws:sagemaker:eu-west-3:843114510376:model-package/{cohere_generate_package}\",\n", - " \"eu-north-1\": f\"arn:aws:sagemaker:eu-north-1:136758871317:model-package/{cohere_generate_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:model-package/{cohere_generate_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:model-package/{cohere_generate_package}\",\n", - " \"ap-northeast-2\": f\"arn:aws:sagemaker:ap-northeast-2:745090734665:model-package/{cohere_generate_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:model-package/{cohere_generate_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:model-package/{cohere_generate_package}\",\n", - " \"sa-east-1\": f\"arn:aws:sagemaker:sa-east-1:270155090741:model-package/{cohere_generate_package}\",\n", - "}\n", - "\n", - "region = boto3.Session().region_name\n", - "if region not in generate_model_package_map.keys():\n", - " raise Exception(f\"Current boto3 session region {region} is not supported.\")\n", - "\n", - "generate_model_package_arn = generate_model_package_map[region]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from cohere_sagemaker import Client\n", - "\n", - "Cohere_Generate_Endpoint_Name = \"jumpstart-example-raglc-cohere-command-light-01\"\n", - "\n", - "co_generate = Client(region_name=region)\n", - "co_generate.create_endpoint(\n", - " arn=generate_model_package_arn,\n", - " endpoint_name=Cohere_Generate_Endpoint_Name,\n", - " instance_type=\"ml.g5.xlarge\",\n", - " n_instances=1,\n", - ")\n", - "\n", - "# If the endpoint is already created, you just need to connect to it\n", - "# co_generate.connect_to_endpoint(endpoint_name=Cohere_Generate_Endpoint_Name)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": { - "tags": [] - }, - "source": [ - "### Deploy Cohere Embedding Model" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "cohere_embedding_package = \"cohere-multilingual-small-v1-1-e0210aae7f8135adaec4b199df604c4c\"\n", - "\n", - "# Mapping for Model Packages\n", - "embedding_model_package_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:model-package/{cohere_embedding_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:model-package/{cohere_embedding_package}\",\n", - " \"us-west-1\": f\"arn:aws:sagemaker:us-west-1:382657785993:model-package/{cohere_embedding_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:model-package/{cohere_embedding_package}\",\n", - " \"ca-central-1\": f\"arn:aws:sagemaker:ca-central-1:470592106596:model-package/{cohere_embedding_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:model-package/{cohere_embedding_package}\",\n", - " \"eu-west-1\": f\"arn:aws:sagemaker:eu-west-1:985815980388:model-package/{cohere_embedding_package}\",\n", - " \"eu-west-2\": f\"arn:aws:sagemaker:eu-west-2:856760150666:model-package/{cohere_embedding_package}\",\n", - " \"eu-west-3\": f\"arn:aws:sagemaker:eu-west-3:843114510376:model-package/{cohere_embedding_package}\",\n", - " \"eu-north-1\": f\"arn:aws:sagemaker:eu-north-1:136758871317:model-package/{cohere_embedding_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:model-package/{cohere_embedding_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:model-package/{cohere_embedding_package}\",\n", - " \"ap-northeast-2\": f\"arn:aws:sagemaker:ap-northeast-2:745090734665:model-package/{cohere_embedding_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:model-package/{cohere_embedding_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:model-package/{cohere_embedding_package}\",\n", - " \"sa-east-1\": f\"arn:aws:sagemaker:sa-east-1:270155090741:model-package/{cohere_embedding_package}\",\n", - "}\n", - "\n", - "# region = boto3.Session().region_name\n", - "if region not in embedding_model_package_map.keys():\n", - " raise Exception(f\"Current boto3 session region {region} is not supported.\")\n", - "\n", - "embedding_model_package_arn = embedding_model_package_map[region]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "Cohere_Embedding_Endpoint_Name = \"jumpstart-example-raglc-cohere-embedding-01\"\n", - "\n", - "co_embedding = Client(region_name=region)\n", - "co_embedding.create_endpoint(\n", - " arn=embedding_model_package_arn,\n", - " endpoint_name=Cohere_Embedding_Endpoint_Name,\n", - " instance_type=\"ml.g5.xlarge\",\n", - " n_instances=1,\n", - ")\n", - "\n", - "# If the endpoint is already created, you just need to connect to it\n", - "# co_embedding.connect_to_endpoint(endpoint_name=Cohere_Embedding_Endpoint_Name)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 2. Ask a question to LLM without providing the context\n", - "\n", - "To better illustrate why we need retrieval-augmented generation (RAG) based approach to solve the question and anwering problem. Let's directly ask the model a question and see how they respond." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "def query_endpoint_with_json_payload(encoded_json, endpoint_name, content_type=\"application/json\"):\n", - " client = boto3.client(\"runtime.sagemaker\")\n", - " response = client.invoke_endpoint(\n", - " EndpointName=endpoint_name, ContentType=content_type, Body=encoded_json\n", - " )\n", - " return response\n", - "\n", - "\n", - "def parse_response_model_cohere_generate(query_response):\n", - " model_predictions = json.loads(query_response[\"Body\"].read())\n", - " generated_text = model_predictions[\"generations\"][0][\"text\"]\n", - " return generated_text" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "question = \"Which instances can I use with Managed Spot Training in SageMaker?\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "payload = {\n", - " \"prompt\": question,\n", - " \"max_tokens\": 100,\n", - " \"k\": 50,\n", - " \"p\": 0.95,\n", - " \"stop_sequences\": None,\n", - " \"return_likelihoods\": None,\n", - " \"truncate\": None,\n", - "}\n", - "\n", - "query_response = query_endpoint_with_json_payload(\n", - " json.dumps(payload).encode(\"utf-8\"), endpoint_name=Cohere_Generate_Endpoint_Name\n", - ")\n", - "generated_texts = parse_response_model_cohere_generate(query_response)\n", - "print(\n", - " f\"For Cohere Generate Light Model, the generated output without context is: {generated_texts}\\n\"\n", - ")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "You can see the generated answer is wrong or doesn't make much sense. " - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 3. Improve the answer to the same question using **prompt engineering** with insightful context\n", - "\n", - "\n", - "To better answer the question well, we provide extra contextual information, combine it with a prompt, and send it to model together with the question. Below is an example." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "context = \"\"\"Managed Spot Training can be used with all instances supported in Amazon SageMaker. Managed Spot Training is supported in all AWS Regions where Amazon SageMaker is currently available.\"\"\"\n", - "prompt = \"\"\"Answer based on context:\\n\\n%s\\n\\nquestion: %s\"\"\" % (context, question)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "payload = {\n", - " \"prompt\": prompt,\n", - " \"max_tokens\": 100,\n", - " \"k\": 50,\n", - " \"p\": 0.95,\n", - " \"stop_sequences\": None,\n", - " \"return_likelihoods\": None,\n", - " \"truncate\": None,\n", - "}\n", - "\n", - "query_response = query_endpoint_with_json_payload(\n", - " json.dumps(payload).encode(\"utf-8\"), endpoint_name=Cohere_Generate_Endpoint_Name\n", - ")\n", - "generated_texts = parse_response_model_cohere_generate(query_response)\n", - "print(f\"For Cohere Generate Light Model, the generated output with context is: {generated_texts}\\n\")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The output from step 3 tells us the chance to get the correct response significantly correlates with the insightful context you send into the LLM. \n", - "\n", - "**Now, the question becomes where can I find the insightful context based on the user query? The answer is to use a pre-stored knowledge data base with retrieval augmented generation, as shown in step 4 below.**" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 4. Use RAG based approach with [LangChain](https://python.langchain.com/en/latest/index.html) and SageMaker endpoints to build a simplified question and answering application.\n", - "\n", - "\n", - "We plan to use document embeddings to fetch the most relevant documents in our document knowledge library and combine them with the prompt that we provide to LLM.\n", - "\n", - "To achieve that, we will do following.\n", - "\n", - "1. **Generate embedings for each of document in the knowledge library with Cohere Multilingual embedding model.**\n", - "2. **Identify top K most relevant documents based on user query.**\n", - " - 2.1 **For a query of your interest, generate the embedding of the query using the same embedding model.**\n", - " - 2.2 **Search the indexes of top K most relevant documents in the embedding space using in-memory Faiss search.**\n", - " - 2.3 **Use the indexes to retrieve the corresponded documents.**\n", - "3. **Combine the retrieved documents with prompt and question and send them into SageMaker LLM.**\n", - "\n", - "\n", - "\n", - "Note: The retrieved document/text should be large enough to contain enough information to answer a question; but small enough to fit into the LLM prompt. \n", - "\n", - "---\n", - "To build a simiplied QA application with LangChain, we need: \n", - "1. Wrap up our SageMaker endpoints for embedding model and LLM into `langchain.embeddings.SagemakerEndpointEmbeddings` and `langchain.llms.sagemaker_endpoint.SagemakerEndpoint`. That requires a small overwritten of `SagemakerEndpointEmbeddings` class to make it compatible with SageMaker embedding mdoel.\n", - "2. Prepare the dataset to build the knowledge data base. \n", - "\n", - "---" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Wrap up our SageMaker endpoints for embedding model into `langchain.embeddings.SagemakerEndpointEmbeddings`. That requires a small overwritten of `SagemakerEndpointEmbeddings` class to make it compatible with SageMaker embedding mdoel." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from langchain.embeddings.sagemaker_endpoint import EmbeddingsContentHandler\n", - "\n", - "\n", - "class SagemakerEndpointCohereEmbeddingsJumpStart(SagemakerEndpointEmbeddings):\n", - " def embed_documents(self, texts: List[str], chunk_size: int = 5) -> List[List[float]]:\n", - " \"\"\"Compute doc embeddings using a SageMaker Inference Endpoint.\n", - "\n", - " Args:\n", - " texts: The list of texts to embed.\n", - " chunk_size: The chunk size defines how many input texts will\n", - " be grouped together as request. If None, will use the\n", - " chunk size specified by the class.\n", - "\n", - " Returns:\n", - " List of embeddings, one for each text.\n", - " \"\"\"\n", - " results = []\n", - " _chunk_size = len(texts) if chunk_size > len(texts) else chunk_size\n", - "\n", - " for i in range(0, len(texts), _chunk_size):\n", - " response = self._embedding_func(texts[i : i + _chunk_size])\n", - " print\n", - " results.extend(response)\n", - " return results\n", - "\n", - "\n", - "class ContentHandler(EmbeddingsContentHandler):\n", - " content_type = \"application/json\"\n", - " accepts = \"application/json\"\n", - "\n", - " def transform_input(self, prompt: str, model_kwargs={}) -> bytes:\n", - " input_str = json.dumps({\"texts\": prompt, **model_kwargs})\n", - " return input_str.encode(\"utf-8\")\n", - "\n", - " def transform_output(self, output: bytes) -> str:\n", - " response_json = json.loads(output.read().decode(\"utf-8\"))\n", - " embeddings = response_json[\"embeddings\"]\n", - " return embeddings\n", - "\n", - "\n", - "content_handler = ContentHandler()\n", - "\n", - "embeddings = SagemakerEndpointCohereEmbeddingsJumpStart(\n", - " endpoint_name=Cohere_Embedding_Endpoint_Name,\n", - " region_name=aws_region,\n", - " content_handler=content_handler,\n", - ")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we wrap up our SageMaker endpoints for LLM into `langchain.llms.sagemaker_endpoint.SagemakerEndpoint`. " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from langchain.llms.sagemaker_endpoint import LLMContentHandler, SagemakerEndpoint\n", - "\n", - "parameters = {\n", - " \"max_tokens\": 200,\n", - " \"k\": 250,\n", - " \"p\": 0.95,\n", - " \"temperature\": 1,\n", - " \"stop_sequences\": None,\n", - " \"return_likelihoods\": None,\n", - " \"truncate\": None,\n", - "}\n", - "\n", - "\n", - "class ContentHandler(LLMContentHandler):\n", - " content_type = \"application/json\"\n", - " accepts = \"application/json\"\n", - "\n", - " def transform_input(self, prompt: str, model_kwargs={}) -> bytes:\n", - " input_str = json.dumps({\"prompt\": prompt, **model_kwargs})\n", - " return input_str.encode(\"utf-8\")\n", - "\n", - " def transform_output(self, output: bytes) -> str:\n", - " response_json = json.loads(output.read().decode(\"utf-8\"))\n", - " return response_json[\"generations\"][0][\"text\"]\n", - "\n", - "\n", - "content_handler = ContentHandler()\n", - "\n", - "sm_llm = SagemakerEndpoint(\n", - " endpoint_name=Cohere_Generate_Endpoint_Name,\n", - " region_name=aws_region,\n", - " model_kwargs=parameters,\n", - " content_handler=content_handler,\n", - ")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, let's download the example data and prepare it for demonstration. We will use [Amazon SageMaker FAQs](https://aws.amazon.com/sagemaker/faqs/) as knowledge library. The data are formatted in a CSV file with two columns Question and Answer. We use the Answer column as the documents of knowledge library, from which relevant documents are retrieved based on a query. \n", - "\n", - "**For your purpose, you can replace the example dataset of your own to build a custom question and answering application.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "original_data = \"s3://jumpstart-cache-prod-us-east-2/training-datasets/Amazon_SageMaker_FAQs/\"\n", - "\n", - "!mkdir -p rag_data\n", - "!aws s3 cp --recursive $original_data rag_data" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "For the case when you have data saved in multiple subsets. The following code will read all files that end with `.csv` and concatenate them together. Please ensure each `csv` file has the same format." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "import glob\n", - "import os\n", - "import pandas as pd\n", - "\n", - "all_files = glob.glob(os.path.join(\"rag_data/\", \"*.csv\"))\n", - "\n", - "df_knowledge = pd.concat(\n", - " (pd.read_csv(f, header=None, names=[\"Question\", \"Answer\"]) for f in all_files),\n", - " axis=0,\n", - " ignore_index=True,\n", - ")" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Drop the `Question` column as it is not used in this demonstration." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "df_knowledge.drop([\"Question\"], axis=1, inplace=True)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "df_knowledge.head(5)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "df_knowledge.to_csv(\"rag_data/processed_data.csv\", header=False, index=False)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from langchain.chains import RetrievalQA\n", - "from langchain.llms import OpenAI\n", - "from langchain.document_loaders import TextLoader\n", - "from langchain.indexes import VectorstoreIndexCreator\n", - "from langchain.vectorstores import Chroma, AtlasDB, FAISS\n", - "from langchain.text_splitter import CharacterTextSplitter\n", - "from langchain import PromptTemplate\n", - "from langchain.chains.question_answering import load_qa_chain\n", - "from langchain.document_loaders.csv_loader import CSVLoader" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Use langchain to read the `csv` data. There are multiple built-in functions in LangChain to read different format of files such as `txt`, `html`, and `pdf`. For details, see [LangChain document loaders](https://python.langchain.com/en/latest/modules/indexes/document_loaders.html)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "loader = CSVLoader(file_path=\"rag_data/processed_data.csv\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "documents = loader.load()\n", - "# text_splitter = CharacterTextSplitter(chunk_size=300, chunk_overlap=0)\n", - "# texts = text_splitter.split_documents(documents) ### if you use langchain.document_loaders.TextLoader to load text file. You can uncomment the code\n", - "## to split the text." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**Now, we can build an QA application. LangChain makes it extremly simple with following few lines of code.**" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Based on the question below, we can achieven the points in Step 4 with just a few lines of code as shown below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "question" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "index_creator = VectorstoreIndexCreator(\n", - " vectorstore_cls=FAISS,\n", - " embedding=embeddings,\n", - " text_splitter=CharacterTextSplitter(chunk_size=300, chunk_overlap=0),\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "index = index_creator.from_loaders([loader])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "index.query(question=question, llm=sm_llm)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 5. Customize the QA application above with different prompt.\n", - "\n", - "Now, we see how simple it is to use LangChain to achieve question and answering application with just few lines of code. Let's break down the above `VectorstoreIndexCreator` and see what's happening under the hood. Furthermore, we will see how to incorporate a customize prompt rather than using a default prompt with `VectorstoreIndexCreator`." - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Firstly, we **generate embedings for each of document in the knowledge library with SageMaker GPT-J-6B embedding model.**" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "docsearch = FAISS.from_documents(documents, embeddings)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "question" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Based on the question above, we then **identify top K most relevant documents based on user query, where K = 3 in this setup**." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "docs = docsearch.similarity_search(question, k=3)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Print out the top 3 most relevant docuemnts as below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "docs" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Finally, we **combine the retrieved documents with prompt and question and send them into SageMaker LLM.** \n", - "\n", - "We define a customized prompt as below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "prompt_template = \"\"\"Answer based on context:\\n\\n{context}\\n\\n{question}\"\"\"\n", - "\n", - "PROMPT = PromptTemplate(template=prompt_template, input_variables=[\"context\", \"question\"])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "chain = load_qa_chain(llm=sm_llm, prompt=PROMPT)" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Send the top 3 most relevant docuemnts and question into LLM to get a answer." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "result = chain({\"input_documents\": docs, \"question\": question}, return_only_outputs=True)[\n", - " \"output_text\"\n", - "]" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Print the final answer from LLM as below, which is accurate." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "result" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Notebook CI Test Results\n", - "\n", - "This notebook was tested in multiple regions. The test results are as follows, except for us-west-2 which is shown at the top of the notebook.\n", - "\n", - "\n", - "![This us-east-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/us-east-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This us-east-2 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/us-east-2/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This us-west-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/us-west-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This ca-central-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/ca-central-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This sa-east-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/sa-east-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This eu-west-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/eu-west-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This eu-west-2 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/eu-west-2/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This eu-west-3 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/eu-west-3/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This eu-central-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/eu-central-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This eu-north-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/eu-north-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This ap-southeast-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/ap-southeast-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This ap-southeast-2 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/ap-southeast-2/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This ap-northeast-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/ap-northeast-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This ap-northeast-2 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/ap-northeast-2/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n", - "\n", - "![This ap-south-1 badge failed to load. Check your device's internet connectivity, otherwise the service is currently unavailable](https://h75twx4l60.execute-api.us-west-2.amazonaws.com/sagemaker-nb/ap-south-1/introduction_to_amazon_algorithms|jumpstart-foundation-models|question_answering_retrieval_augmented_generation|question_answering_Cohere+langchain_jumpstart.ipynb)\n" - ] - }, - { - "attachments": {}, - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "availableInstances": [ - { - "_defaultOrder": 0, - "_isFastLaunch": true, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 4, - "name": "ml.t3.medium", - "vcpuNum": 2 - }, - { - "_defaultOrder": 1, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 8, - "name": "ml.t3.large", - "vcpuNum": 2 - }, - { - "_defaultOrder": 2, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.t3.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 3, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.t3.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 4, - "_isFastLaunch": true, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 8, - "name": "ml.m5.large", - "vcpuNum": 2 - }, - { - "_defaultOrder": 5, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.m5.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 6, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.m5.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 7, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 64, - "name": "ml.m5.4xlarge", - "vcpuNum": 16 - }, - { - "_defaultOrder": 8, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 128, - "name": "ml.m5.8xlarge", - "vcpuNum": 32 - }, - { - "_defaultOrder": 9, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 192, - "name": "ml.m5.12xlarge", - "vcpuNum": 48 - }, - { - "_defaultOrder": 10, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 256, - "name": "ml.m5.16xlarge", - "vcpuNum": 64 - }, - { - "_defaultOrder": 11, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 384, - "name": "ml.m5.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 12, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 8, - "name": "ml.m5d.large", - "vcpuNum": 2 - }, - { - "_defaultOrder": 13, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.m5d.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 14, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.m5d.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 15, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 64, - "name": "ml.m5d.4xlarge", - "vcpuNum": 16 - }, - { - "_defaultOrder": 16, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 128, - "name": "ml.m5d.8xlarge", - "vcpuNum": 32 - }, - { - "_defaultOrder": 17, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 192, - "name": "ml.m5d.12xlarge", - "vcpuNum": 48 - }, - { - "_defaultOrder": 18, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 256, - "name": "ml.m5d.16xlarge", - "vcpuNum": 64 - }, - { - "_defaultOrder": 19, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 384, - "name": "ml.m5d.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 20, - "_isFastLaunch": false, - "category": "General purpose", - "gpuNum": 0, - "hideHardwareSpecs": true, - "memoryGiB": 0, - "name": "ml.geospatial.interactive", - "supportedImageNames": [ - "sagemaker-geospatial-v1-0" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/QuestionAnwering_Cohere_SagemakerJumpstart.ipynb." + ] + } ], - "vcpuNum": 0 - }, - { - "_defaultOrder": 21, - "_isFastLaunch": true, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 4, - "name": "ml.c5.large", - "vcpuNum": 2 - }, - { - "_defaultOrder": 22, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 8, - "name": "ml.c5.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 23, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.c5.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 24, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.c5.4xlarge", - "vcpuNum": 16 - }, - { - "_defaultOrder": 25, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 72, - "name": "ml.c5.9xlarge", - "vcpuNum": 36 - }, - { - "_defaultOrder": 26, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 96, - "name": "ml.c5.12xlarge", - "vcpuNum": 48 - }, - { - "_defaultOrder": 27, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 144, - "name": "ml.c5.18xlarge", - "vcpuNum": 72 - }, - { - "_defaultOrder": 28, - "_isFastLaunch": false, - "category": "Compute optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 192, - "name": "ml.c5.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 29, - "_isFastLaunch": true, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.g4dn.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 30, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.g4dn.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 31, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 64, - "name": "ml.g4dn.4xlarge", - "vcpuNum": 16 - }, - { - "_defaultOrder": 32, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 128, - "name": "ml.g4dn.8xlarge", - "vcpuNum": 32 - }, - { - "_defaultOrder": 33, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 4, - "hideHardwareSpecs": false, - "memoryGiB": 192, - "name": "ml.g4dn.12xlarge", - "vcpuNum": 48 - }, - { - "_defaultOrder": 34, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 256, - "name": "ml.g4dn.16xlarge", - "vcpuNum": 64 - }, - { - "_defaultOrder": 35, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 61, - "name": "ml.p3.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 36, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 4, - "hideHardwareSpecs": false, - "memoryGiB": 244, - "name": "ml.p3.8xlarge", - "vcpuNum": 32 - }, - { - "_defaultOrder": 37, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 8, - "hideHardwareSpecs": false, - "memoryGiB": 488, - "name": "ml.p3.16xlarge", - "vcpuNum": 64 - }, - { - "_defaultOrder": 38, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 8, - "hideHardwareSpecs": false, - "memoryGiB": 768, - "name": "ml.p3dn.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 39, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.r5.large", - "vcpuNum": 2 - }, - { - "_defaultOrder": 40, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.r5.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 41, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 64, - "name": "ml.r5.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 42, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 128, - "name": "ml.r5.4xlarge", - "vcpuNum": 16 - }, - { - "_defaultOrder": 43, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 256, - "name": "ml.r5.8xlarge", - "vcpuNum": 32 - }, - { - "_defaultOrder": 44, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 384, - "name": "ml.r5.12xlarge", - "vcpuNum": 48 - }, - { - "_defaultOrder": 45, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 512, - "name": "ml.r5.16xlarge", - "vcpuNum": 64 - }, - { - "_defaultOrder": 46, - "_isFastLaunch": false, - "category": "Memory Optimized", - "gpuNum": 0, - "hideHardwareSpecs": false, - "memoryGiB": 768, - "name": "ml.r5.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 47, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 16, - "name": "ml.g5.xlarge", - "vcpuNum": 4 - }, - { - "_defaultOrder": 48, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 32, - "name": "ml.g5.2xlarge", - "vcpuNum": 8 - }, - { - "_defaultOrder": 49, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 64, - "name": "ml.g5.4xlarge", - "vcpuNum": 16 - }, - { - "_defaultOrder": 50, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 128, - "name": "ml.g5.8xlarge", - "vcpuNum": 32 - }, - { - "_defaultOrder": 51, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 1, - "hideHardwareSpecs": false, - "memoryGiB": 256, - "name": "ml.g5.16xlarge", - "vcpuNum": 64 - }, - { - "_defaultOrder": 52, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 4, - "hideHardwareSpecs": false, - "memoryGiB": 192, - "name": "ml.g5.12xlarge", - "vcpuNum": 48 - }, - { - "_defaultOrder": 53, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 4, - "hideHardwareSpecs": false, - "memoryGiB": 384, - "name": "ml.g5.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 54, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 8, - "hideHardwareSpecs": false, - "memoryGiB": 768, - "name": "ml.g5.48xlarge", - "vcpuNum": 192 - }, - { - "_defaultOrder": 55, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 8, - "hideHardwareSpecs": false, - "memoryGiB": 1152, - "name": "ml.p4d.24xlarge", - "vcpuNum": 96 - }, - { - "_defaultOrder": 56, - "_isFastLaunch": false, - "category": "Accelerated computing", - "gpuNum": 8, - "hideHardwareSpecs": false, - "memoryGiB": 1152, - "name": "ml.p4de.24xlarge", - "vcpuNum": 96 - } - ], - "instance_type": "ml.t3.medium", - "kernelspec": { - "display_name": "Python 3 (PyTorch 1.13 Python 3.9 CPU Optimized)", - "language": "python", - "name": "python3__SAGEMAKER_INTERNAL__arn:aws:sagemaker:us-east-1:081325390199:image/pytorch-1.13-cpu-py39" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.16" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb b/notebooks/guides/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb index 61b4aacc..97acc18b 100644 --- a/notebooks/guides/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb +++ b/notebooks/guides/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb @@ -1,827 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "ctaLvRUsfpj8", - "metadata": { - "id": "ctaLvRUsfpj8" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "61bac5b5", - "metadata": { - "id": "61bac5b5" - }, - "source": [ - "# RAG with Chat, Embed, and Rerank\n", - "\n", - "This notebook shows how to build a RAG-powered chatbot with Cohere's Chat endpoint. The chatbot can extract relevant information from external documents and produce verifiable, inline citations in its responses.\n", - "\n", - "This application will use several Cohere API endpoints:\n", - "\n", - "- Chat: For handling the main logic of the chatbot, including turning a user message into queries, generating responses, and producing citations\n", - "- Embed: For turning textual documents into their embeddings representation, later to be used in retrieval (we’ll use the latest, state-of-the-art Embed v3 model)\n", - "- Rerank: For reranking the retrieved documents according to their relevance to a query\n", - "\n", - "The diagram below provides an overview of what we’ll build." - ] - }, - { - "cell_type": "markdown", - "id": "CLNMDV4IuA3C", - "metadata": { - "id": "CLNMDV4IuA3C" - }, - "source": [ - "![rag-workflow-2.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "id": "f6ab2d5d", - "metadata": { - "id": "f6ab2d5d" - }, - "source": [ - "Here is a summary of the steps involved.\n", - "\n", - "Initial phase:\n", - "- **Step 0**: Ingest the documents – get documents, chunk, embed, and index.\n", - "\n", - "For each user-chatbot interaction:\n", - "- **Step 1**: Get the user message\n", - "- **Step 2**: Call the Chat endpoint in query-generation mode\n", - "- If at least one query is generated\n", - " - **Step 3**: Retrieve and rerank relevant documents\n", - " - **Step 4**: Call the Chat endpoint in document mode to generate a grounded response with citations\n", - "- If no query is generated\n", - " - **Step 4**: Call the Chat endpoint in normal mode to generate a response" - ] - }, - { - "cell_type": "markdown", - "id": "TWyo_5WoNUM-", - "metadata": { - "id": "TWyo_5WoNUM-" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "5pLAhQmTOKiV", - "metadata": { - "id": "5pLAhQmTOKiV" - }, - "outputs": [], - "source": [ - "! pip install cohere hnswlib unstructured python-dotenv -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "f3a03a57", - "metadata": { - "id": "f3a03a57" - }, - "outputs": [], - "source": [ - "import cohere\n", - "from pinecone import Pinecone, PodSpec\n", - "import uuid\n", - "import hnswlib\n", - "from typing import List, Dict\n", - "from unstructured.partition.html import partition_html\n", - "from unstructured.chunking.title import chunk_by_title\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys\n", - "pc = Pinecone(api_key=\"PINECONE_API_KEY\") # (get API key at app.pinecone.io)" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "f0b1935e", - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import os\n", - "import dotenv\n", - "\n", - "dotenv.load_dotenv()\n", - "co = cohere.Client(os.getenv(\"COHERE_API_KEY\"))\n", - "pc = Pinecone(\n", - " api_key=os.getenv(\"PINECONE_API_KEY\")\n", - ")\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "Dx1cncziCWBB", - "metadata": { - "cellView": "form", - "id": "Dx1cncziCWBB" - }, - "outputs": [], - "source": [ - "# #@title Enable text wrapping in Google Colab\n", - "# Uncomment the code below for Google Colab\n", - "\n", - "# from IPython.display import HTML, display\n", - "\n", - "# def set_css():\n", - "# display(HTML('''\n", - "# \n", - "# '''))\n", - "# get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "markdown", - "id": "2f7e7d1c", - "metadata": { - "id": "2f7e7d1c" - }, - "source": [ - "# Create a vector store for ingestion and retrieval\n", - "\n", - "First, we define the list of documents we want to ingest and make available for retrieval. As an example, we'll use the contents from the first module of Cohere's *LLM University: What are Large Language Models?*." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "3dca4a88", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "3dca4a88", - "outputId": "b05da1ee-0456-4387-c232-a43e0ffed54c" - }, - "outputs": [], - "source": [ - "raw_documents = [\n", - " {\n", - " \"title\": \"Text Embeddings\",\n", - " \"url\": \"https://docs.cohere.com/docs/text-embeddings\"},\n", - " {\n", - " \"title\": \"Similarity Between Words and Sentences\",\n", - " \"url\": \"https://docs.cohere.com/docs/similarity-between-words-and-sentences\"},\n", - " {\n", - " \"title\": \"The Attention Mechanism\",\n", - " \"url\": \"https://docs.cohere.com/docs/the-attention-mechanism\"},\n", - " {\n", - " \"title\": \"Transformer Models\",\n", - " \"url\": \"https://docs.cohere.com/docs/transformer-models\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "id": "5e2a8968", - "metadata": { - "id": "5e2a8968" - }, - "source": [ - "Usually the number of documents for practical applications is vast, and so we'll need to be able to search documents efficiently. This involves breaking the documents into chunks, generating embeddings, and indexing the embeddings, as shown in the image below. \n", - "\n", - "We implement this in the `Vectorstore` class below, which takes the `raw_documents` list as input. Three methods are immediately called when creating an object of the `Vectorstore` class:\n", - "\n", - "\n", - "`load_and_chunk()` \n", - "This method uses the `partition_html()` method from the `unstructured` library to load the documents from URL and break them into smaller chunks. Each chunk is turned into a dictionary object with three fields:\n", - "- `title` - the web page’s title,\n", - "- `text` - the textual content of the chunk, and\n", - "- `url` - the web page’s URL. \n", - " \n", - " \n", - "`embed()` \n", - "This method uses Cohere's `embed-english-v3.0` model to generate embeddings of the chunked documents. Since our documents will be used for retrieval, we set `input_type=\"search_document\"`. We send the documents to the Embed endpoint in batches, because the endpoint has a limit of 96 documents per call.\n", - "\n", - "`index()` \n", - "This method uses the `hsnwlib` package to index the document chunk embeddings. This will ensure efficient similarity search during retrieval. Note that `hnswlib` uses a vector library, and we have chosen it for its simplicity." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "7c33412c", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "7c33412c", - "outputId": "cf04f8ed-8000-4433-f976-2d37747f21e7" - }, - "outputs": [], - "source": [ - "class Vectorstore:\n", - " \"\"\"\n", - " A class representing a collection of documents indexed into a vectorstore.\n", - "\n", - " Parameters:\n", - " raw_documents (list): A list of dictionaries representing the sources of the raw documents. Each dictionary should have 'title' and 'url' keys.\n", - "\n", - " Attributes:\n", - " raw_documents (list): A list of dictionaries representing the raw documents.\n", - " docs (list): A list of dictionaries representing the chunked documents, with 'title', 'text', and 'url' keys.\n", - " docs_embs (list): A list of the associated embeddings for the document chunks.\n", - " docs_len (int): The number of document chunks in the collection.\n", - " idx (hnswlib.Index): The index used for document retrieval.\n", - "\n", - " Methods:\n", - " load_and_chunk(): Loads the data from the sources and partitions the HTML content into chunks.\n", - " embed(): Embeds the document chunks using the Cohere API.\n", - " index(): Indexes the document chunks for efficient retrieval.\n", - " retrieve(): Retrieves document chunks based on the given query.\n", - " \"\"\"\n", - "\n", - " def __init__(self, raw_documents: List[Dict[str, str]]):\n", - " self.raw_documents = raw_documents\n", - " self.docs = []\n", - " self.docs_embs = []\n", - " self.retrieve_top_k = 10\n", - " self.rerank_top_k = 3\n", - " self.load_and_chunk()\n", - " self.embed()\n", - " self.index()\n", - "\n", - "\n", - " def load_and_chunk(self) -> None:\n", - " \"\"\"\n", - " Loads the text from the sources and chunks the HTML content.\n", - " \"\"\"\n", - " print(\"Loading documents...\")\n", - "\n", - " for raw_document in self.raw_documents:\n", - " elements = partition_html(url=raw_document[\"url\"])\n", - " chunks = chunk_by_title(elements)\n", - " for chunk in chunks:\n", - " self.docs.append(\n", - " {\n", - " \"title\": raw_document[\"title\"],\n", - " \"text\": str(chunk),\n", - " \"url\": raw_document[\"url\"],\n", - " }\n", - " )\n", - "\n", - " def embed(self) -> None:\n", - " \"\"\"\n", - " Embeds the document chunks using the Cohere API.\n", - " \"\"\"\n", - " print(\"Embedding document chunks...\")\n", - "\n", - " batch_size = 90\n", - " self.docs_len = len(self.docs)\n", - " for i in range(0, self.docs_len, batch_size):\n", - " batch = self.docs[i : min(i + batch_size, self.docs_len)]\n", - " texts = [item[\"text\"] for item in batch]\n", - " docs_embs_batch = co.embed(\n", - " texts=texts, model=\"embed-english-v3.0\", input_type=\"search_document\"\n", - " ).embeddings\n", - " self.docs_embs.extend(docs_embs_batch)\n", - "\n", - " def index(self) -> None:\n", - " \"\"\"\n", - " Indexes the documents for efficient retrieval.\n", - " \"\"\"\n", - " print(\"Indexing documents...\")\n", - "\n", - " index_name = 'rag-01'\n", - "\n", - " # If the index does not exist, we create it\n", - " if index_name not in pc.list_indexes().names():\n", - " pc.create_index(\n", - " name=index_name,\n", - " dimension=len(self.docs_embs[0]),\n", - " metric=\"cosine\",\n", - " spec=PodSpec(\n", - " environment=\"gcp-starter\"\n", - " )\n", - " )\n", - " \n", - " # connect to index\n", - " self.idx = pc.Index(index_name)\n", - " \n", - " batch_size = 128\n", - "\n", - " ids = [str(i) for i in range(len(self.docs))]\n", - " # create list of metadata dictionaries\n", - " meta = self.docs\n", - "\n", - " # create list of (id, vector, metadata) tuples to be upserted\n", - " to_upsert = list(zip(ids, self.docs_embs, meta))\n", - "\n", - " for i in range(0, len(self.docs), batch_size):\n", - " i_end = min(i+batch_size, len(self.docs))\n", - " self.idx.upsert(vectors=to_upsert[i:i_end])\n", - "\n", - " # let's view the index statistics\n", - " print(\"Indexing complete\")\n", - "\n", - "\n", - " def retrieve(self, query: str) -> List[Dict[str, str]]:\n", - " \"\"\"\n", - " Retrieves document chunks based on the given query.\n", - "\n", - " Parameters:\n", - " query (str): The query to retrieve document chunks for.\n", - "\n", - " Returns:\n", - " List[Dict[str, str]]: A list of dictionaries representing the retrieved document chunks, with 'title', 'text', and 'url' keys.\n", - " \"\"\"\n", - "\n", - " docs_retrieved = []\n", - " query_emb = co.embed(\n", - " texts=[query], model=\"embed-english-v3.0\", input_type=\"search_query\"\n", - " ).embeddings\n", - "\n", - "\n", - " res = self.idx.query(vector=query_emb, top_k=self.retrieve_top_k, include_metadata=True)\n", - " docs_to_rerank = [match['metadata']['text'] for match in res['matches']]\n", - "\n", - " rerank_results = co.rerank(\n", - " query=query,\n", - " documents=docs_to_rerank,\n", - " top_n=self.rerank_top_k,\n", - " model=\"rerank-english-v2.0\",\n", - " )\n", - " \n", - " docs_reranked = [res['matches'][result.index] for result in rerank_results.results]\n", - " \n", - " for doc in docs_reranked:\n", - " docs_retrieved.append(doc['metadata'])\n", - "\n", - " return docs_retrieved" - ] - }, - { - "cell_type": "markdown", - "id": "e1bf5d85", - "metadata": { - "id": "e1bf5d85" - }, - "source": [ - "In the code cell below, we initialize an instance of the `Vectorstore` class and pass in the `raw_documents` list as input." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "4643e630", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 178 - }, - "id": "4643e630", - "outputId": "fe01fcb6-3574-4322-d8d0-57d37aad397d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loading documents...\n", - "Embedding document chunks...\n", - "Indexing documents...\n", - "Indexing complete\n" - ] - } - ], - "source": [ - "# Create an instance of the Vectorstore class with the given sources\n", - "vectorstore = Vectorstore(raw_documents)" - ] - }, - { - "cell_type": "markdown", - "id": "61928287", - "metadata": { - "id": "61928287" - }, - "source": [ - "The `Vectorstore` class also has a `retrieve()` method, which we'll use to retrieve relevant document chunks given a query (as in Step 3 in the diagram shared at the beginning of this notebook). This method has two components: (1) dense retrieval, and (2) reranking.\n", - "\n", - "### Dense retrieval\n", - "\n", - "First, we embed the query using the same `embed-english-v3.0` model we used to embed the document chunks, but this time we set `input_type=\"search_query\"`.\n", - "\n", - "Search is performed by the `knn_query()` method from the `hnswlib` library. Given a query, it returns the document chunks most similar to the query. We can define the number of document chunks to return using the attribute `self.retrieve_top_k=10`.\n", - "\n", - "### Reranking\n", - "\n", - "After semantic search, we implement a reranking step. While our semantic search component is already highly capable of retrieving relevant sources, the [Rerank endpoint](https://cohere.com/rerank) provides an additional boost to the quality of the search results, especially for complex and domain-specific queries. It takes the search results and sorts them according to their relevance to the query.\n", - "\n", - "We call the Rerank endpoint with the `co.rerank()` method and define the number of top reranked document chunks to retrieve using the attribute `self.rerank_top_k=3`. The model we use is `rerank-english-v2.0`. \n", - "\n", - "This method returns the top retrieved document chunks `chunks_retrieved` so that they can be passed to the chatbot.\n", - "\n", - "In the code cell below, we check the document chunks that are retrieved for the query `\"multi-head attention definition\"`." - ] - }, - { - "cell_type": "markdown", - "id": "OwozNf_uPEyX", - "metadata": { - "id": "OwozNf_uPEyX" - }, - "source": [ - "## Test Retrieval" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "82617b91", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 267 - }, - "id": "82617b91", - "outputId": "7f1f2bc8-8ed9-4190-bd6b-7af2d9dc1980" - }, - "outputs": [ + "cells": [ { - "data": { - "text/plain": [ - "[{'text': 'The attention step used in transformer models is actually much more powerful, and it’s called multi-head attention. In multi-head attention, several different embeddings are used to modify the vectors and add context to them. Multi-head attention has helped language models reach much higher levels of efficacy when processing and generating text.',\n", - " 'title': 'Transformer Models',\n", - " 'url': 'https://docs.cohere.com/docs/transformer-models'},\n", - " {'text': \"What you learned in this chapter is simple self-attention. However, we can do much better than that. There is a method called multi-head attention, in which one doesn't only consider one embedding, but several different ones. These are all obtained from the original by transforming it in different ways. Multi-head attention has been very successful at the task of adding context to text. If you'd like to learn more about the self and multi-head attention, you can check out the following two\",\n", - " 'title': 'The Attention Mechanism',\n", - " 'url': 'https://docs.cohere.com/docs/the-attention-mechanism'},\n", - " {'text': 'Attention helps give context to each word, based on the other words in the sentence (or text).',\n", - " 'title': 'Transformer Models',\n", - " 'url': 'https://docs.cohere.com/docs/transformer-models'}]" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/RAG_with_Chat_Embed_and_Rerank_via_Pinecone.ipynb." ] - }, - "execution_count": 115, - "metadata": {}, - "output_type": "execute_result" } - ], - "source": [ - "vectorstore.retrieve(\"multi-head attention definition\")" - ] - }, - { - "cell_type": "markdown", - "id": "e69fbca9", - "metadata": { - "id": "e69fbca9" - }, - "source": [ - "# Create a chatbot\n", - "\n", - "Next, we implement a class to handle the interaction between the user and the chatbot. It takes an instance of the `Vectorstore` class as input.\n", - "\n", - "The `run()` method will be used to run the chatbot application. It begins with the logic for getting the user message, along with a way for the user to end the conversation. \n", - "\n", - "Based on the user message, the chatbot needs to decide if it needs to consult external information before responding. If so, the chatbot determines an optimal set of search queries to use for retrieval. When we call `co.chat()` with `search_queries_only=True`, the Chat endpoint handles this for us automatically.\n", - "\n", - "The generated queries can be accessed from the `search_queries` field of the object that is returned. Then, what happens next depends on how many queries are returned.\n", - "- If queries are returned, we call the `retrieve()` method of the Vectorstore object for the retrieval step. The retrieved document chunks are then passed to the Chat endpoint by adding a `documents` parameter when we call `co.chat()` again.\n", - "- Otherwise, if no queries are returned, we call the Chat endpoint another time, passing the user message and without needing to add any documents to the call.\n", - "\n", - "In either case, we also pass the `conversation_id` parameter, which retains the interactions between the user and the chatbot in the same conversation thread. We also enable the `stream` parameter so we can stream the chatbot response.\n", - "\n", - "We then print the chatbot's response. In the case that the external information was used to generate a response, we also display citations." - ] - }, - { - "cell_type": "code", - "execution_count": 116, - "id": "d2c15a1f", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "d2c15a1f", - "outputId": "8daa9159-338c-45ec-e9ed-830aedcdf0d8" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "class Chatbot:\n", - " def __init__(self, vectorstore: Vectorstore):\n", - " \"\"\"\n", - " Initializes an instance of the Chatbot class.\n", - "\n", - " Parameters:\n", - " vectorstore (Vectorstore): An instance of the Vectorstore class.\n", - "\n", - " \"\"\"\n", - " self.vectorstore = vectorstore\n", - " self.conversation_id = str(uuid.uuid4())\n", - "\n", - " def run(self):\n", - " \"\"\"\n", - " Runs the chatbot application.\n", - "\n", - " \"\"\"\n", - " while True:\n", - " # Get the user message\n", - " message = input(\"User: \")\n", - "\n", - " # Typing \"quit\" ends the conversation\n", - " if message.lower() == \"quit\":\n", - " print(\"Ending chat.\")\n", - " break\n", - " # else: # Uncomment for Google Colab to avoid printing the same thing twice\n", - " # print(f\"User: {message}\") # Uncomment for Google Colab to avoid printing the same thing twice\n", - "\n", - " # Generate search queries (if any)\n", - " response = co.chat(message=message,\n", - " model=\"command-r\",\n", - " search_queries_only=True)\n", - "\n", - " # If there are search queries, retrieve document chunks and respond\n", - " if response.search_queries:\n", - " print(\"Retrieving information...\", end=\"\")\n", - "\n", - " # Retrieve document chunks for each query\n", - " documents = []\n", - " for query in response.search_queries:\n", - " documents.extend(self.vectorstore.retrieve(query.text))\n", - "\n", - " # Use document chunks to respond\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r\",\n", - " documents=documents,\n", - " conversation_id=self.conversation_id,\n", - " )\n", - "\n", - " # If there is no search query, directly respond\n", - " else:\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r\",\n", - " conversation_id=self.conversation_id,\n", - " )\n", - "\n", - " # Print the chatbot response, citations, and documents\n", - " print(\"\\nChatbot:\")\n", - " citations = []\n", - " cited_documents = []\n", - "\n", - " # Display response\n", - " for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " elif event.event_type == \"citation-generation\":\n", - " citations.extend(event.citations)\n", - " elif event.event_type == \"search-results\":\n", - " cited_documents = event.documents\n", - "\n", - " # Display citations and source documents\n", - " if citations:\n", - " print(\"\\n\\nCITATIONS:\")\n", - " for citation in citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in cited_documents:\n", - " print(document)\n", - "\n", - " print(f\"\\n{'-'*100}\\n\")" - ] - }, - { - "cell_type": "markdown", - "id": "F0X9FZqwOwQ6", - "metadata": { - "id": "F0X9FZqwOwQ6" - }, - "source": [ - "# Run the chatbot" - ] - }, - { - "cell_type": "markdown", - "id": "3cb442f7", - "metadata": { - "id": "3cb442f7" - }, - "source": [ - "We can now run the chatbot. For this, we create the instance of `Chatbot` and run the chatbot by invoking the `run()` method.\n", - "\n", - "The format of each citation is:\n", - "- `start`: The starting point of a span where one or more documents are referenced\n", - "- `end`: The ending point of a span where one or more documents are referenced\n", - "- `text`: The text representing this span\n", - "- `document_ids`: The IDs of the documents being referenced (`doc_0` being the ID of the first document passed to the `documents` creating parameter in the endpoint call, and so on)" - ] - }, - { - "cell_type": "code", - "execution_count": 118, - "id": "42d3f345", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "42d3f345", - "outputId": "8b935c8b-b1d4-4913-bdf8-73ba503402b8" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "Chatbot:\n", - "Hello! What's your question? I'm here to help you in any way I can.\n", - "----------------------------------------------------------------------------------------------------\n", - "\n", - "Retrieving information...\n", - "Chatbot:\n", - "Word embeddings associate words with lists of numbers, so that similar words are close to each other and dissimilar words are further away.\n", - "Sentence embeddings do the same thing, but for sentences. Each sentence is associated with a vector of numbers in a coherent way, so that similar sentences are assigned similar vectors, and different sentences are given different vectors.\n", - "\n", - "CITATIONS:\n", - "start=0 end=15 text='Word embeddings' document_ids=['doc_0']\n", - "start=16 end=53 text='associate words with lists of numbers' document_ids=['doc_0']\n", - "start=63 end=100 text='similar words are close to each other' document_ids=['doc_0']\n", - "start=105 end=139 text='dissimilar words are further away.' document_ids=['doc_0']\n", - "start=140 end=159 text='Sentence embeddings' document_ids=['doc_0', 'doc_2']\n", - "start=160 end=177 text='do the same thing' document_ids=['doc_0', 'doc_2']\n", - "start=198 end=211 text='Each sentence' document_ids=['doc_0', 'doc_2']\n", - "start=215 end=250 text='associated with a vector of numbers' document_ids=['doc_0', 'doc_2']\n", - "start=256 end=264 text='coherent' document_ids=['doc_2']\n", - "start=278 end=295 text='similar sentences' document_ids=['doc_0', 'doc_2']\n", - "start=300 end=324 text='assigned similar vectors' document_ids=['doc_0', 'doc_2']\n", - "start=330 end=349 text='different sentences' document_ids=['doc_0', 'doc_2']\n", - "start=354 end=378 text='given different vectors.' document_ids=['doc_0', 'doc_2']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'doc_0', 'text': 'In the previous chapters, you learned about word and sentence embeddings and similarity between words and sentences. In short, a word embedding is a way to associate words with lists of numbers (vectors) in such a way that similar words are associated with numbers that are close by, and dissimilar words with numbers that are far away from each other. A sentence embedding does the same thing, but associating a vector to every sentence. Similarity is a way to measure how similar two words (or', 'title': 'The Attention Mechanism', 'url': 'https://docs.cohere.com/docs/the-attention-mechanism'}\n", - "{'id': 'doc_1', 'text': 'Sentence embeddings\\n\\nSo word embeddings seem to be pretty useful, but in reality, human language is much more complicated than simply a bunch of words put together. Human language has structure, sentences, etc. How would one be able to represent, for instance, a sentence? Well, here’s an idea. How about the sums of scores of all the words? For example, say we have a word embedding that assigns the following scores to these words:\\n\\nNo: [1,0,0,0]\\n\\nI: [0,2,0,0]\\n\\nAm: [-1,0,1,0]\\n\\nGood: [0,0,1,3]', 'title': 'Text Embeddings', 'url': 'https://docs.cohere.com/docs/text-embeddings'}\n", - "{'id': 'doc_2', 'text': 'This is where sentence embeddings come into play. A sentence embedding is just like a word embedding, except it associates every sentence with a vector full of numbers, in a coherent way. By coherent, I mean that it satisfies similar properties as a word embedding. For instance, similar sentences are assigned to similar vectors, different sentences are assigned to different vectors, and most importantly, each of the coordinates of the vector identifies some (whether clear or obscure) property of', 'title': 'Text Embeddings', 'url': 'https://docs.cohere.com/docs/text-embeddings'}\n", - "\n", - "----------------------------------------------------------------------------------------------------\n", - "\n", - "Retrieving information...\n", - "Chatbot:\n", - "The similarities between words and sentences are both quantitative measures of how close the two given items are. There are two types of similarities that can be defined: dot product similarity, and cosine similarity. These methods can determine how similar two words, or sentences, are.\n", - "\n", - "CITATIONS:\n", - "start=54 end=75 text='quantitative measures' document_ids=['doc_0']\n", - "start=79 end=88 text='how close' document_ids=['doc_0']\n", - "start=124 end=133 text='two types' document_ids=['doc_0', 'doc_4']\n", - "start=171 end=193 text='dot product similarity' document_ids=['doc_0', 'doc_4']\n", - "start=199 end=217 text='cosine similarity.' document_ids=['doc_0', 'doc_4']\n", - "start=236 end=257 text='determine how similar' document_ids=['doc_0', 'doc_4']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'doc_0', 'text': 'Now that we know embeddings quite well, let’s move on to using them to find similarities. There are two types of similarities we’ll define in this post: dot product similarity and cosine similarity. Both are very similar and very useful to determine if two words (or sentences) are similar.', 'title': 'Similarity Between Words and Sentences', 'url': 'https://docs.cohere.com/docs/similarity-between-words-and-sentences'}\n", - "{'id': 'doc_1', 'text': 'But let me add some numbers to this reasoning to make it more clear. Imagine that we calculate similarities for the words in each sentence, and we get the following:\\n\\nThis similarity makes sense in the following ways:\\n\\nThe similarity between each word and itself is 1.\\n\\nThe similarity between any irrelevant word (“the”, “of”, etc.) and any other word is 0.\\n\\nThe similarity between “bank” and “river” is 0.11.\\n\\nThe similarity between “bank” and “money” is 0.25.', 'title': 'The Attention Mechanism', 'url': 'https://docs.cohere.com/docs/the-attention-mechanism'}\n", - "{'id': 'doc_2', 'text': 'And the results are:\\n\\nThe similarity between sentences 1 and 2: 6738.2858668486715\\n\\nThe similarity between sentences 1 and 3: -122.22666955510499\\n\\nThe similarity between sentences 2 and 3: -3.494608113647928\\n\\nThese results certainly confirm our predictions. The similarity between sentences 1 and 2 is 6738, which is high. The similarities between sentences 1 and 3, and 2 and 3, are -122 and -3.5 (dot products are allowed to be negative too!), which are much lower.', 'title': 'Similarity Between Words and Sentences', 'url': 'https://docs.cohere.com/docs/similarity-between-words-and-sentences'}\n", - "{'id': 'doc_3', 'text': 'But let me add some numbers to this reasoning to make it more clear. Imagine that we calculate similarities for the words in each sentence, and we get the following:\\n\\nThis similarity makes sense in the following ways:\\n\\nThe similarity between each word and itself is 1.\\n\\nThe similarity between any irrelevant word (“the”, “of”, etc.) and any other word is 0.\\n\\nThe similarity between “bank” and “river” is 0.11.\\n\\nThe similarity between “bank” and “money” is 0.25.', 'title': 'The Attention Mechanism', 'url': 'https://docs.cohere.com/docs/the-attention-mechanism'}\n", - "{'id': 'doc_4', 'text': 'Now that we know embeddings quite well, let’s move on to using them to find similarities. There are two types of similarities we’ll define in this post: dot product similarity and cosine similarity. Both are very similar and very useful to determine if two words (or sentences) are similar.', 'title': 'Similarity Between Words and Sentences', 'url': 'https://docs.cohere.com/docs/similarity-between-words-and-sentences'}\n", - "{'id': 'doc_5', 'text': 'And the results are:\\n\\nThe similarity between sentences 1 and 2: 6738.2858668486715\\n\\nThe similarity between sentences 1 and 3: -122.22666955510499\\n\\nThe similarity between sentences 2 and 3: -3.494608113647928\\n\\nThese results certainly confirm our predictions. The similarity between sentences 1 and 2 is 6738, which is high. The similarities between sentences 1 and 3, and 2 and 3, are -122 and -3.5 (dot products are allowed to be negative too!), which are much lower.', 'title': 'Similarity Between Words and Sentences', 'url': 'https://docs.cohere.com/docs/similarity-between-words-and-sentences'}\n", - "\n", - "----------------------------------------------------------------------------------------------------\n", - "\n", - "Ending chat.\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Create an instance of the Chatbot class\n", - "chatbot = Chatbot(vectorstore)\n", - "\n", - "# Run the chatbot\n", - "chatbot.run()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "-JBWZVz9ObcV", - "metadata": { - "id": "-JBWZVz9ObcV" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Recipes_for_better_meeting_notes_summary.ipynb b/notebooks/guides/Recipes_for_better_meeting_notes_summary.ipynb index a2defd0e..88263523 100644 --- a/notebooks/guides/Recipes_for_better_meeting_notes_summary.ipynb +++ b/notebooks/guides/Recipes_for_better_meeting_notes_summary.ipynb @@ -1,422 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Note: we are in the process of updating the links in this notebook. If a link doesn't work, please open an issue and we'll rectify it ASAP. Thanks for your understanding!\n", - "\n", - "Links to add:\n", - "* Cell 1: full system for auto-meeting notes" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LplM9PSe8djM" - }, - "source": [ - "# Recipes for better meeting notes summaries\n", - "\n", - "This notebook builds on top of [our guide towards building better meeting summaries](https://github.com/cohere-ai/notebooks/blob/22dceb0ce27d73cc27f74cbf2b7c82568cbd26b7/notebooks/Meeting_Summaries_General_%26_LangChain.ipynb). In that guide, we saw how to use Command-R to summarize meeting transcripts with a focus on imparting distinct formatting requirements.\n", - "\n", - "In this notebook, we'll cover useful recipes that we use internally to summarize our own meeting notes automatically (see [this guide](https://colab.research.google.com/drive/1BTAAV4ss-iPtxT0ueS7djbIIwPSt3Dpp) for an outline of the full system). We will focus on extracting specific items from the meeting notes, namely:\n", - "\n", - "1. Extract action items with assignees (who's on the hook for which task)\n", - "2. Summarise speaker perspectives (who said what)\n", - "3. Focus on a narrow topic (what was said about a given topic)\n", - "\n", - "Finally, we'll show that prompting the model for 1.-3. can be combined with the formatting instructions covered from our previous guide.\n", - "\n", - "We're constantly improving our summarisation capabilities across domains. For more information, you can reach out to us at summarize@cohere.com.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6SfEhd3O74--" - }, - "source": [ - "## Setup\n", - "\n", - "You'll need a Cohere API key to run this notebook. If you don't have a key, head to https://cohere.com/ to generate your key." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "J1HzGqnY74bj", - "outputId": "e822cac4-debf-4f98-d238-0f3f104c44e9" - }, - "outputs": [], - "source": [ - "%%capture\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" datasets tokenizers\n", - "\n", - "import cohere\n", - "from getpass import getpass\n", - "from datasets import load_dataset\n", - "\n", - "import re\n", - "from typing import Optional\n", - "\n", - "# Set up Cohere client\n", - "co_api_key = getpass(\"Enter your Cohere API key: \")\n", - "co_model = \"command-r\"\n", - "co = cohere.Client(co_api_key)\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "SbVCPxMSD3fs" - }, - "outputs": [], - "source": [ - "# We're also defining some util functions for later\n", - "\n", - "def pprint(s: Optional[str] = None, maxchars: int = 100):\n", - " \"\"\"\n", - " Wrap long text into lines of at most `maxchars` (preserves linebreaks occurring in text)\n", - " \"\"\"\n", - " if not s:\n", - " print()\n", - " else:\n", - " print(\"\\n\".join(line.strip() for line in re.findall(rf\".{{1,{maxchars}}}(?:\\s+|$)\", s)))\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ABgVdI7I8Jmk" - }, - "source": [ - "## Load test data\n", - "\n", - "Let's load a meeting transcript to see Command in action!\n", - "\n", - "* If you have your own transcript, you can load it to Colab using your favourite method.\n", - "* If you don't, we'll use a sample from the [QMSum dataset](https://github.com/Yale-LILY/QMSum). QMSum contains cleaned meeting transcripts with [diarised speakers](https://en.wikipedia.org/wiki/Speaker_diarisation); this will be perfect for testing our model's ability to assign action items to specific speakers.\n", - "* We'll see later that the recipe shared herein isn't limited to meeting notes transcript, but extends to any data with diarised speakers!" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "yS-hEiwQ71lv", - "outputId": "91343727-fef2-497f-fb98-2e0fbffb90fb" - }, - "outputs": [], - "source": [ - "# If you have your own transcript you would like to test Command on, load it here\n", - "# transcript = ...\n", - "\n", - "# Otherwise, we'll use QMSum\n", - "# Note this will download the QMSum dataset to your instance\n", - "qmsum = load_dataset(\"MocktaiLEngineer/qmsum-processed\")\n", - "# Pick any one transcript\n", - "transcripts = qmsum[\"validation\"][\"meeting_transcript\"]\n", - "transcript = transcripts[60]\n", - "pprint(transcript)\n", - "pprint()\n", - "\n", - "# Measure the number of tokens\n", - "num_tokens = len(co.tokenize(transcript).tokens)\n", - "pprint(f\"Number of tokens: {num_tokens}\")\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TVWdxs3IIqpr" - }, - "source": [ - "If you've loaded the QMSum dataset, you'll see a back-and-forth dialogue between a Project Manager, an Industrial Designer, and two speakers responsible for Marketing and the User Interface. They seem engaged in a design discussion with elements of retropsective. Let's see what action items followed from this meeting!\n", - "\n", - "Note that if your text length exceeds the context window of Command, you'll need to pre-process that text. Learn more about how to efficiently pre-process long texts at XXX [_include reference_].\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "zSMTIfnH8xSk" - }, - "source": [ - "## Build the prompt to extract action items" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kd3SCjWh9Zh9" - }, - "source": [ - "To extract action items, no special training is needed with Command!\n", - "\n", - "Here's one possible prompt to get action items with the speaker they were assigned to, in the form of bullet points:\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "QAFIK0OP8vwU" - }, - "outputs": [], - "source": [ - "prompt_template = \"\"\"\n", - "## meeting transcript\n", - "{transcript}\n", - "\n", - "## instructions\n", - "Summarize the meeting transcript above, focusing it exclusively around action items. \\\n", - "Format your answer in the form of bullets. \\\n", - "Make sure to include the person each action item is assigned to. \\\n", - "Don't include preambles, postambles or explanations, but respond only with action items.\n", - "\n", - "## summary\n", - "\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0PII_STgJ6oz" - }, - "source": [ - "We applied a few best practices in constructing this prompt:\n", - "\n", - "1. We include a preamble imbuing the model with a persona\n", - "2. We use Markdown-style headers (i.e. with `##`) to delineate the preamble, the text-to-summarise, the instructions, and the output\n", - "3. We make the instructions specific: we specify that we want action items with their assignees and specify the expected format\n", - "\n", - "Experiment with your own prompts, and let us know which worked best for you!" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "e2eK_CvN-5Ms" - }, - "source": [ - "## Generate action items\n", - "\n", - "Let's string together the prompt, and generate action items!" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "9zqeRjrI-3Jm", - "outputId": "a262bf9b-2980-4daf-bb47-aeec4b8fd68d" - }, - "outputs": [], - "source": [ - "prompt = prompt_template.format(transcript=transcript)\n", - "resp = co.chat(message=prompt, model=co_model, temperature=0.3)\n", - "action_items = resp.text\n", - "print(action_items)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "e7taNqRTO2_A" - }, - "source": [ - "Not bad! We can see that the model successfully retrieved the action items that needed an immediate follow-up, and assigned the correct speaker to them. It also formatted the output as bullet points, as requested." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "anQARVO8RTMh" - }, - "source": [ - "Sometimes, we need to specify more complex output formats. For instance, we might want to automatically send action items to a company's project management software, which might only accept a certain data format.\n", - "\n", - "Say that software accepts only action items as JSON objects where assignees are keys. We could postprocess the previous response into that JSON. Or we could specify those requirements directly into our prompt template:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "GYJ9CPD0ThYT", - "outputId": "b35e23e1-40e3-489f-a0d7-b1e70e059c71" - }, - "outputs": [], - "source": [ - "prompt_template_json = \"\"\"\n", - "## meeting transcript\n", - "{transcript}\n", - "\n", - "## instructions\n", - "Summarize the meeting transcript above, focusing it exclusively around action items. \\\n", - "Format your answer in the form of a compilable JSON, where every speaker is a new key. \\\n", - "Make sure to include the person each action item is assigned to. \\\n", - "Don't include preambles, postambles or explanations, but respond only with action items.\n", - "\n", - "## summary\n", - "\"\"\"\n", - "\n", - "prompt = prompt_template_json.format(transcript=transcript)\n", - "resp = co.chat(message=prompt, model=co_model, temperature=0.3)\n", - "action_items = resp.text\n", - "print(action_items)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DZ3X_kJB1mIM" - }, - "source": [ - "Great! All we needed was to specify the target output format for Command to obey.\n", - "\n", - "Try using different instructions to suit Command's outputs to your needs." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "igIW6yH_ip7_" - }, - "source": [ - "## How to replicate this pattern for more sub-tasks\n", - "\n", - "Command-R readily accommodates changes to the instructions to focus it on other subtasks. As an example, below we adapt the recipe developed for action items to perform two new tasks out-of-the-box:\n", - "\n", - "* a. Summarise the meeting from the point of view of every speaker\n", - "* b. Summarise everything's that been said about a specific topic" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mw9esSWUjIE-" - }, - "source": [ - "#### a. User perspectives\n", - "\n", - "We'll also make the summary extractive, i.e. we encourage the model to reuse passages from the actual meeting." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "v_QSmS5rilHD", - "outputId": "6013098d-66e7-49ac-b43c-c0a28287e149" - }, - "outputs": [], - "source": [ - "prompt_template_perspectives = \"\"\"\n", - "## meeting transcript\n", - "{transcript}\n", - "\n", - "## instructions\n", - "Summarize the perspectives of every speaker. \\\n", - "Format your answer in the form of a JSON, where every speaker is a new key. Don't use your own words, but reuse passages from the meeting transcript where possible. \\\n", - "Don't include preambles, postambles or explanations, but respond only with your summary of each speaker's perspectives.\n", - "\n", - "## summary\n", - "\"\"\"\n", - "\n", - "prompt = prompt_template_perspectives.format(transcript=transcript)\n", - "resp = co.chat(message=prompt, model=co_model, temperature=0.3)\n", - "perspectives = resp.text\n", - "print(perspectives)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9ESmupp0jNoa" - }, - "source": [ - "#### b. Topic focus" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "X-JyBrpDilQ_", - "outputId": "a24edbe7-47ed-4e88-b8b0-ee4de45c8dba" - }, - "outputs": [], - "source": [ - "prompt_template_focus_topic = \"\"\"\\\n", - "## meeting transcript\n", - "{transcript}\n", - "\n", - "## instructions\n", - "Summarize everything that's been said about {topic} in the meeting transcript above. If the meeting transcript doesn't mention {topic}, simply state \\\n", - "that there is no trace of {topic} in the provided meeting transcript. \\\n", - "Format your answer in the form of paragraphs. \\\n", - "Don't include preambles, postambles or explanations, but respond only with your summary of {topic}.\n", - "\n", - "## summary\n", - "\"\"\"\n", - "\n", - "# Try new topics here!\n", - "topic = \"objects shaped like bananas\"\n", - "\n", - "prompt = prompt_template_focus_topic.format(transcript=transcript, topic=topic)\n", - "resp = co.chat(message=prompt, model=co_model, temperature=0.3)\n", - "perspectives = resp.text\n", - "print(perspectives)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0ytrkOUYjPXm" - }, - "source": [ - "Try some of your own prompts and share them back with us at summarize@cohere.com!" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" - }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Recipes_for_better_meeting_notes_summary.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Summarization_Evals.ipynb b/notebooks/guides/Summarization_Evals.ipynb index 9c7c74b0..b9ad11e4 100644 --- a/notebooks/guides/Summarization_Evals.ipynb +++ b/notebooks/guides/Summarization_Evals.ipynb @@ -1,1138 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "WClxySHIzi9G" - }, - "source": [ - "![Cohere-Logo-Color-RGB.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LplM9PSe8djM" - }, - "source": [ - "# Evaluating summarization\n", - "\n", - "In this cookbook, we will be demonstrating an approach we use for evaluating summarization tasks using LLM evaluation.\n", - "\n", - "### Table of contents:\n", - "1. [Get started](#start)\n", - "2. [Construct the evaluation dataset](#dataset)\n", - "3. [Build the evaluation framework](#eval-framework)\n", - "5. [Run evaluations](#run-evals)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6SfEhd3O74--" - }, - "source": [ - "\n", - "\n", - "# Get Started\n", - "\n", - "You'll need a Cohere API key to run this notebook. If you don't have a key, head to https://cohere.com/ to generate your key." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "H2TfKiPM3a6f" - }, - "outputs": [], - "source": [ - "# %%capture\n", - "!pip install \"cohere<5\" datasets --quiet" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "J1HzGqnY74bj" - }, - "outputs": [], - "source": [ - "import json\n", - "import random\n", - "import re\n", - "from typing import List, Optional\n", - "\n", - "import cohere\n", - "from getpass import getpass\n", - "from datasets import load_dataset\n", - "import pandas as pd\n", - "\n", - "# Set up Cohere client\n", - "co_api_key = getpass(\"Enter your Cohere API key: \")\n", - "co_model = \"command-r\"\n", - "co = cohere.Client(api_key=co_api_key)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "dEN21GS913wo" - }, - "source": [ - "As test data, we'll use transcripts from the [QMSum dataset](https://github.com/Yale-LILY/QMSum). Note that in addition to the transcripts, this dataset also contains reference summaries -- we will use only the transcripts as our approach is reference-free." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "1JfU70r113wo" - }, - "outputs": [], - "source": [ - "qmsum = load_dataset(\"MocktaiLEngineer/qmsum-processed\", split=\"validation\")\n", - "transcripts = [x for x in qmsum[\"meeting_transcript\"] if x is not None]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "3U58iKz213wo" - }, - "source": [ - "\n", - "\n", - "# Construct the evaluation dataset\n", - "\n", - "We are interested in evaluating summarization in real-world, enterprise use cases, which typically have two distinguishing features as compared to academic summarization benchmarks:\n", - "- Enterprise use cases often focus on specific summarization objectives, e.g. \"summarize action items\".\n", - "- Enterprise use cases often feature specific instruction constraints, e.g. \"summarize in bullets with each bullet under 20 words\".\n", - "\n", - "Therefore, we must first create a dataset that contains diverse summarization prompts. We will do this programmatically by building prompts from their components, as defined below:\n", - "- Prompt = text (e.g. transcript to be summarized) + instruction\n", - "- Instruction = instruction objective (e.g. \"summarize action items\") + modifiers\n", - "- Modifiers = format/length modifiers (e.g. \"use bullets\") + style/tone modifiers (e.g. \"do not mention names\") + ...\n", - "\n", - "First, we define the prompt that combines the text and instructions. Here, we use a very basic prompt:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "2Bj2I0If13wp" - }, - "outputs": [], - "source": [ - "prompt_template = \"\"\"## meeting transcript\n", - "{transcript}\n", - "\n", - "## instructions\n", - "{instructions}\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "vc33XZLL13wp" - }, - "source": [ - "Next, we build the instructions. Because each instruction may have a different objective and modifiers, we track them using metadata. This will later be required for evaluation (i.e. to know what the prompt is asking)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "4uMI5VXm13wp" - }, - "outputs": [], - "source": [ - "# In this cookbook, we will only consider the following 2 objectives and 4 format/length modifiers\n", - "\n", - "instruction_objectives = {\n", - " \"general_summarization\": \"Summarize the meeting based on the transcript.\",\n", - " \"action_items\": \"What are the follow-up items based on the meeting transcript?\",\n", - "}\n", - "\n", - "# Note that not all format/length modifiers are compatible with all objectives. For example, action\n", - "# items are best suited for bullet format.\n", - "format_length_modifiers = {\n", - " \"paragraphs_short\": {\n", - " \"text\": \"In paragraph form, output your response. Use at least 10 words and at most 50 words in total.\",\n", - " \"objectives\": [\"general_summarization\"],\n", - " \"eval_metadata\": {\n", - " \"format\": \"paragraphs\",\n", - " \"min_length\": 10,\n", - " \"max_length\": 50,\n", - " },\n", - " },\n", - " \"paragraphs_medium\": {\n", - " \"text\": \"Return the answer in the form of paragraphs. Make sure your answer is between 50 and 200 words long.\",\n", - " \"objectives\": [\"general_summarization\"],\n", - " \"eval_metadata\": {\n", - " \"format\": \"paragraphs\",\n", - " \"min_length\": 50,\n", - " \"max_length\": 200,\n", - " },\n", - " },\n", - " \"bullets_short_3\": {\n", - " \"text\": \"Format your answer in the form of bullets. Use exactly 3 bullets. Each bullet should be at least 10 words and at most 20 words.\",\n", - " \"objectives\": [\"general_summarization\", \"action_items\"],\n", - " \"eval_metadata\": {\n", - " \"format\": \"bullets\",\n", - " \"number\": 3,\n", - " \"min_length\": 10,\n", - " \"max_length\": 20,\n", - " },\n", - " },\n", - " \"bullets_medium_2\": {\n", - " \"text\": \"In bullets, output your response. Make sure to use exactly 2 bullets. Make sure each bullet is between 20 and 80 words long.\",\n", - " \"objectives\": [\"general_summarization\", \"action_items\"],\n", - " \"eval_metadata\": {\n", - " \"format\": \"bullets\",\n", - " \"number\": 2,\n", - " \"min_length\": 20,\n", - " \"max_length\": 80,\n", - " },\n", - " },\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "u0dqBqxO13wq" - }, - "source": [ - "Let's combine the objectives and format/length modifiers to finish building the instructions." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "qMTxDOL713wq", - "outputId": "e854d1bf-0ea7-41cd-b385-98294d19472e" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "[\n", - " {\n", - " \"instruction\": \"Summarize the meeting based on the transcript. In paragraph form, output your response. Use at least 10 words and at most 50 words in total.\",\n", - " \"eval_metadata\": {\n", - " \"format\": \"paragraphs\",\n", - " \"min_length\": 10,\n", - " \"max_length\": 50\n", - " },\n", - " \"objective\": \"general_summarization\"\n", - " },\n", - " {\n", - " \"instruction\": \"Summarize the meeting based on the transcript. Return the answer in the form of paragraphs. Make sure your answer is between 50 and 200 words long.\",\n", - " \"eval_metadata\": {\n", - " \"format\": \"paragraphs\",\n", - " \"min_length\": 50,\n", - " \"max_length\": 200\n", - " },\n", - " \"objective\": \"general_summarization\"\n", - " }\n", - "]\n" - ] - } - ], - "source": [ - "instructions = []\n", - "for obj_name, obj_text in instruction_objectives.items():\n", - " for mod_data in format_length_modifiers.values():\n", - " for mod_obj in mod_data[\"objectives\"]:\n", - " if mod_obj == obj_name:\n", - " instruction = {\n", - " \"instruction\": f\"{obj_text} {mod_data['text']}\",\n", - " \"eval_metadata\": mod_data[\"eval_metadata\"],\n", - " \"objective\": obj_name,\n", - " }\n", - " instructions.append(instruction)\n", - "\n", - "# View the first two instructions\n", - "print(json.dumps(instructions[:2], indent=4))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1MeNfW-m13wr" - }, - "source": [ - "Finally, let's build the final prompts by semi-randomly pairing the instructions with transcripts from the QMSum dataset." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "LYTMTFAm13wr" - }, - "outputs": [], - "source": [ - "data = pd.DataFrame(instructions)\n", - "\n", - "# Randomly shuffle the top 25% of transcripts by length, then assign them to the data\n", - "transcripts = sorted(transcripts, key=lambda x: len(x), reverse=True)[:int(len(transcripts) * 0.25)]\n", - "random.seed(42)\n", - "random.shuffle(transcripts)\n", - "data[\"transcript\"] = transcripts[:len(data)]\n", - "\n", - "# Build the prompt\n", - "data[\"prompt\"] = data.apply(lambda x: prompt_template.format(transcript=x[\"transcript\"], instructions=x[\"instruction\"]), axis=1)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "igP0-oHN13wr" - }, - "outputs": [], - "source": [ - "# (Optional) Let's also check the token lengths of our prompts.\n", - "data[\"transcript_token_len\"] = [len(x) for x in co.batch_tokenize(data[\"transcript\"].tolist(), model=co_model)]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "CbPVxiuV13wr", - "outputId": "bc9e9cf6-31c0-4404-f43f-fdfb5679cd56" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "## meeting transcript\n", - "PhD F: As opposed to the rest of us \n", - "PhD D: Well comment OK I I remind that me my first objective eh in the project is to to study difference parameters to to find a a good solution to detect eh the overlapping zone in eh speech recorded But eh tsk comment ehhh comment In that way comment I I I begin to to study and to analyze the ehn the recorded speech eh the different session to to find and to locate and to mark eh the the different overlapping zone And eh so eh I was eh I am transcribing the the first session and I I have found eh eh one thousand acoustic events eh besides the overlapping zones eh I I I mean the eh breaths eh aspiration eh eh talk eh eh clap eh comment I do not know what is the different names eh you use to to name the the pause n speech \n", - "Grad G: Oh I do not think we ve been doing it at that level of detail So \n", - "PhD D: Eh I I I do I do not need to to to mmm to m to label the the different acoustic but I prefer because eh I would like to to study if eh I I will find eh eh a good eh parameters eh to detect overlapping I would like to to to test these parameters eh with the another eh eh acoustic events to nnn to eh to find what is the ehm the false eh the false eh hypothesis eh nnn which eh are produced when we use the the ehm this eh parameter eh I mean pitch eh eh difference eh feature \n", - "PhD A: You know I think some of these that are the nonspeech overlapping events may be difficult even for humans to tell that there s two there I mean if it s a tapping sound you would not necessarily or you know something like that it would be it might be hard to know that it was two separate events \n", - "Grad G: Well You were not talking about just overlaps were you ? You were just talking about acoustic events \n", - "PhD D: I I I I t I t I talk eh about eh acoustic events in general but eh my my objective eh will be eh to study eh overlapping zone Eh ? comment n Eh in twelve minutes I found eh eh one thousand acoustic events \n", - "Professor E: How many overlaps were there in it ? No no how many of them were the overlaps of speech though ? \n", - "PhD D: How many ? Eh almost eh three hundred eh in one session in five eh in forty five minutes Alm Three hundred overlapping zone With the overlapping zone overlapping speech speech what eh different duration \n", - "Postdoc B: Does this ? So if you had an overlap involving three people how many times was that counted ? \n", - "PhD D: three people two people Eh I would like to consider eh one people with difference noise eh in the background be \n", - "Professor E: No no but I think what she s asking is pause if at some particular for some particular stretch you had three people talking instead of two did you call that one event ? \n", - "PhD D: Oh Oh I consider one event eh for th for that eh for all the zone This th I I I con I consider I consider eh an acoustic event the overlapping zone the period where three speaker or eh are talking together \n", - "Grad G: So let s say me and Jane are talking at the same time and then Liz starts talking also over all of us How many events would that be ? \n", - "PhD D: So I do not understand \n", - "Grad G: So two people are talking comment and then a third person starts talking Is there an event right here ? \n", - "PhD D: Eh no No no For me is the overlapping zone because because you you have s you have more one eh more one voice eh eh produced in a in in a moment \n", - "Grad G: So i if two or more people are talking \n", - "Professor E: OK So I think We just wanted to understand how you are defining it So then in the region between since there there is some continuous region in between regions where there is only one person speaking And one contiguous region like that you are calling an event Is it Are you calling the beginning or the end of it the event or are you calling the entire length of it the event ? \n", - "PhD D: I consider the the nnn the nnn nnn eh the entirety eh eh all all the time there were the voice has overlapped This is the idea But eh I I do not distinguish between the the numbers of eh speaker I m not considering eh the the ehm eh the fact of eh eh for example what did you say ? Eh at first eh eh two talkers are eh speaking and eh eh a third person eh join to to that For me it s eh it s eh all overlap zone with eh several numbers of speakers is eh eh the same acoustic event Wi but without any mark between the zone of the overlapping zone with two speakers eh speaking together and the zone with the three speakers \n", - "Postdoc B: That would j just be one \n", - "PhD D: Eh with eh a beginning mark and the ending mark Because eh for me is the is the zone with eh some kind of eh distortion the spectral I do not mind By the moment by the moment \n", - "Grad G: Well but But you could imagine that three people talking has a different spectral characteristic than two \n", - "PhD D: I I do not but eh but eh I have to study comment What will happen in a general way \n", - "Grad G: So You had to start somewhere \n", - "PhD C: So there s a lot of overlap \n", - "PhD D: I I do not know what eh will will happen with the \n", - "Grad G: That s a lot of overlap \n", - "Professor E: So again that s that s three three hundred in forty five minutes that are that are speakers just speakers \n", - "Postdoc B: But a a a th \n", - "Professor E: So that s about eight per minute \n", - "Postdoc B: But a thousand events in twelve minutes that s \n", - "PhD C: But that can include taps \n", - "Postdoc B: Well but a thousand taps in eight minutes is a l in twelve minutes is a lot \n", - "PhD D: I I con I consider I consider acoustic events eh the silent too \n", - "Grad G: Silence starting or silence ending \n", - "PhD D: silent ground to bec to detect eh because I consider acoustic event all the things are not eh speech In ge in in in a general point of view \n", - "Professor E: OK so how many of those thousand were silence ? \n", - "PhD F: Not speech not speech or too much speech \n", - "Professor E: Right So how many of those thousand were silence silent sections ? \n", - "PhD D: silent I I I I do not I I have not the eh I I would like to to do a stylistic study\n", - "\n", - "## instructions\n", - "Summarize the meeting based on the transcript. In paragraph form, output your response. Use at least 10 words and at most 50 words in total.\n" - ] - } - ], - "source": [ - "# Let's examine one of the prompts\n", - "print(data[\"prompt\"][0])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "tDamVDyP13wr" - }, - "source": [ - "\n", - "\n", - "# Build the evaluation framework\n", - "\n", - "We now setup the tools we will use for evaluation.\n", - "\n", - "We use three criteria that are graded using LLMs:\n", - "- Completeness: checks if the summary includes all the important information from the original text that it should include\n", - "- Correctness: checks if there are any hallucinations or factual inaccuracies in the summary\n", - "- Conciseness: checks if the summary includes any unnecessary information or wordiness\n", - "\n", - "In this cookbook, we will use Command-R to grade the completions. However, note that in practice, we typically use an ensemble of multiple LLM evaluators to reduce any bias." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "KGQQp2Yd13wr" - }, - "outputs": [], - "source": [ - "# LLM evaluation uses an LLM to grade the completion. We pass the original prompt, completion, and a\n", - "# criteria to the LLM, and it returns a score between 1 and 5. We will use the following template as\n", - "# the grading prompt.\n", - "\n", - "grading_prompt_template = \"\"\"You are an AI grader that given a prompt, a completion, and a criterion, grades the completion based on the prompt and criterion. Below is a prompt, a completion, and a criterion with which to grade the completion. You need to respond according to the criterion instructions.\n", - "\n", - "PROMPT:\n", - "{prompt}\n", - "\n", - "COMPLETION:\n", - "{completion}\n", - "\n", - "CRITERION:\n", - "{criteria}\n", - "\n", - "Do not provide an explanation or respond with additional text. Only respond with the final score in the format SCORE: \n", - "\n", - "SCORE:\"\"\"\n", - "\n", - "\n", - "criteria_completeness = \"\"\"Please judge the above completion on whether or not all the completion fully addresses the task posed in the prompt. The completion should contain all the information that is asked for by the prompt while also upholding the constraints mentioned in the prompt. Please return a grade between 1 and 5, where 1 means the completion does not address the prompt at all, 2 means the completion mostly does not address the prompt, 3 is neutral, 4 means the completion mostly addresses the prompt, and 5 means the completion fully addresses the prompt.\"\"\"\n", - "\n", - "criteria_correctness = \"\"\"Please judge the above completion on whether or not all information was derived from the prompt and that no information is false. Return a grade between 1 and 5, where 1 means the answers are entirely untruthful relative to the prompt, 2 means the answers are somewhat untruthful relative to the prompt, 3 means neutral, 4 means the answers are somewhat truthful relative to the prompt, and 5 means the answers are entirely truthful relative to the prompt. Evaluate only the truthfulness of the answers, not whether or not they capture all the relevant information in the prompt.\"\"\"\n", - "\n", - "criteria_conciseness = \"\"\"Please judge the above completion on whether or not the completion contains any unnecessary information or wordiness that does not help answer the specific instruction given in the prompt. Return a grade between 1 and 5, where 1 means the completion contains many unnecessary details and wordiness that do not answer the specific instruction given in the prompt, 2 means the completion contains some unnecessary details or wordiness, 3 means neutral, 4 means the completion contains few unnecessary details or wordiness, and 5 means the completion contains only necessary details that answer the specific instruction given in the prompt.\"\"\"\n", - "\n", - "\n", - "def score_llm(prompt: str, completion: str, criteria: str) -> int:\n", - " \"\"\"\n", - " Score a completion based on a prompt and a criterion using LLM Because we\n", - " grade all completions on a scale of 1-5, we will normalize the scores by 5 so that the final score\n", - " is between 0 and 1.\n", - " \"\"\"\n", - " grading_prompt = grading_prompt_template.format(\n", - " prompt=prompt, completion=completion, criteria=criteria\n", - " )\n", - " # Use Cohere to grade the completion\n", - " completion = co.chat(message=grading_prompt, model=co_model, temperature=0.2).text\n", - "\n", - " ### Alternatively, use OpenAI to grade the completion (requires key)\n", - " # import openai\n", - " # completion = openai.OpenAI(api_key=\"INSERT OPENAI KEY HERE\").chat.completions.create(\n", - " # model=\"gpt-4\",\n", - " # messages=[{\"role\": \"user\", \"content\": grading_prompt}],\n", - " # temperature=0.2,\n", - " # ).choices[0].message.content\n", - "\n", - " # Extract the score from the completion\n", - " score = float(re.search(r\"[12345]\", completion).group()) / 5\n", - " return score" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "22dEEsXt13wr" - }, - "source": [ - "In addition, we have two criteria that are graded programmatically:\n", - "- Format: checks if the summary follows the format (e.g. bullets) that was requested in the prompt\n", - "- Length: checks if the summary follows the length that was requested in the prompt." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "i1niSoI-13wr" - }, - "outputs": [], - "source": [ - "# Non-LLM checks\n", - "\n", - "def score_format(completion: str, format_type: str) -> int:\n", - " \"\"\"\n", - " Returns 1 if the completion is in the correct format, 0 otherwise.\n", - " \"\"\"\n", - " if format_type == \"paragraphs\":\n", - " return int(_is_only_paragraphs(completion))\n", - " elif format_type == \"bullets\":\n", - " return int(_is_only_bullets(completion))\n", - " return 0\n", - "\n", - "def score_length(\n", - " completion: str,\n", - " format_type: str,\n", - " min_val: int,\n", - " max_val: int,\n", - " number: Optional[int] = None\n", - ") -> int:\n", - " \"\"\"\n", - " Returns 1 if the completion has the correct length for the given format, 0 otherwise. This\n", - " includes both word count and number of items (optional).\n", - " \"\"\"\n", - " # Split into items (each bullet for bullets or each paragraph for paragraphs)\n", - " if format_type == \"bullets\":\n", - " items = _extract_markdown_bullets(completion, include_bullet=False)\n", - " elif format_type == \"paragraphs\":\n", - " items = completion.split(\"\\n\")\n", - "\n", - " # Strip whitespace and remove empty items\n", - " items = [item for item in items if item.strip() != \"\"]\n", - "\n", - " # Check number of items if provided\n", - " if number is not None and len(items) != number:\n", - " return 0\n", - "\n", - " # Check length of each item\n", - " for item in items:\n", - " num_words = item.strip().split()\n", - " if min_val is None and len(num_words) > max_val:\n", - " return 0\n", - " elif max_val is None and len(num_words) < min_val:\n", - " return 0\n", - " elif not min_val <= len(num_words) <= max_val:\n", - " return 0\n", - " return 1\n", - "\n", - "\n", - "def _is_only_bullets(text: str) -> bool:\n", - " \"\"\"\n", - " Returns True if text is only markdown bullets.\n", - " \"\"\"\n", - " bullets = _extract_markdown_bullets(text, include_bullet=True)\n", - "\n", - " for bullet in bullets:\n", - " text = text.replace(bullet, \"\")\n", - "\n", - " return text.strip() == \"\"\n", - "\n", - "\n", - "def _is_only_paragraphs(text: str) -> bool:\n", - " \"\"\"\n", - " Returns True if text is only paragraphs (no bullets).\n", - " \"\"\"\n", - " bullets = _extract_markdown_bullets(text, include_bullet=True)\n", - "\n", - " return len(bullets) == 0\n", - "\n", - "\n", - "def _extract_markdown_bullets(text: str, include_bullet: bool = False) -> List[str]:\n", - " \"\"\"\n", - " Extracts markdown bullets from text as a list. If include_bullet is True, the bullet will be\n", - " included in the output. The list of accepted bullets is: -, *, +, •, and any number followed by\n", - " a period.\n", - " \"\"\"\n", - " if include_bullet:\n", - " return re.findall(r\"^[ \\t]*(?:[-*+•]|[\\d]+\\.).*\\w+.*$\", text, flags=re.MULTILINE)\n", - " return re.findall(r\"^[ \\t]*(?:[-*+•]|[\\d]+\\.)(.*\\w+.*)$\", text, flags=re.MULTILINE)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kBpk-GTe13ws" - }, - "source": [ - "\n", - "\n", - "# Run evaluations\n", - "\n", - "Now that we have our evaluation dataset and defined our evaluation functions, let's run evaluations!\n", - "\n", - "First, we generate completions to be graded. We will use Cohere's [Command-R](https://huggingface.co/CohereForAI/c4ai-command-r-v01) model, boasting a context length of 128K." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "HzbOQmWE13ws" - }, - "outputs": [], - "source": [ - "completions = []\n", - "for prompt in data[\"prompt\"]:\n", - " completion = co.chat(message=prompt, model=\"command-r\", temperature=0.2).text\n", - " completions.append(completion)\n", - "\n", - "data[\"completion\"] = completions" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "JbVA8_Xr13ws", - "outputId": "cebab3f2-4b02-4044-978e-3ae3ae2efa2d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "PhD student D presented their method for detecting and counting acoustic events in recorded speech sessions, including speech overlaps and silence. The team sought clarification on D's definitions and counted events, discussing the challenges of distinguishing between different types of events.\n" - ] - } - ], - "source": [ - "# Let's look at the completion for the example prompt we showed earlier\n", - "print(data[\"completion\"][0])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6fWjuruK13ws" - }, - "source": [ - "Let's grade the completions using our LLM and non-LLM checks." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "6XesZHCN13ws" - }, - "outputs": [], - "source": [ - "# Score format\n", - "data[\"format_score\"] = data.apply(\n", - " lambda x: score_format(x[\"completion\"], x[\"eval_metadata\"][\"format\"]), axis=1\n", - ")\n", - "\n", - "# Score length\n", - "data[\"length_score\"] = data.apply(\n", - " lambda x: score_length(\n", - " x[\"completion\"],\n", - " x[\"eval_metadata\"][\"format\"],\n", - " x[\"eval_metadata\"].get(\"min_length\"),\n", - " x[\"eval_metadata\"].get(\"max_length\"),\n", - " ),\n", - " axis=1,\n", - ")\n", - "\n", - "# Score completeness\n", - "data[\"completeness_score\"] = data.apply(\n", - " lambda x: score_llm(x[\"prompt\"], x[\"completion\"], criteria_completeness), axis=1\n", - ")\n", - "\n", - "# Score correctness\n", - "data[\"correctness_score\"] = data.apply(\n", - " lambda x: score_llm(x[\"prompt\"], x[\"completion\"], criteria_correctness), axis=1\n", - ")\n", - "\n", - "# Score conciseness\n", - "data[\"conciseness_score\"] = data.apply(\n", - " lambda x: score_llm(x[\"prompt\"], x[\"completion\"], criteria_conciseness), axis=1\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 657 - }, - "id": "4gJypAVI13ws", - "outputId": "67aae9c3-02eb-4a1f-efcb-ba6c4781d18c" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "summary": "{\n \"name\": \"data\",\n \"rows\": 6,\n \"fields\": [\n {\n \"column\": \"instruction\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 6,\n \"samples\": [\n \"Summarize the meeting based on the transcript. In paragraph form, output your response. Use at least 10 words and at most 50 words in total.\",\n \"Summarize the meeting based on the transcript. Return the answer in the form of paragraphs. Make sure your answer is between 50 and 200 words long.\",\n \"What are the follow-up items based on the meeting transcript? In bullets, output your response. Make sure to use exactly 2 bullets. Make sure each bullet is between 20 and 80 words long.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"eval_metadata\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"objective\",\n \"properties\": {\n \"dtype\": \"category\",\n \"num_unique_values\": 2,\n \"samples\": [\n \"action_items\",\n \"general_summarization\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"transcript\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 6,\n \"samples\": [\n \"PhD F: As opposed to the rest of us \\nPhD D: Well comment OK I I remind that me my first objective eh in the project is to to study difference parameters to to find a a good solution to detect eh the overlapping zone in eh speech recorded But eh tsk comment ehhh comment In that way comment I I I begin to to study and to analyze the ehn the recorded speech eh the different session to to find and to locate and to mark eh the the different overlapping zone And eh so eh I was eh I am transcribing the the first session and I I have found eh eh one thousand acoustic events eh besides the overlapping zones eh I I I mean the eh breaths eh aspiration eh eh talk eh eh clap eh comment I do not know what is the different names eh you use to to name the the pause n speech \\nGrad G: Oh I do not think we ve been doing it at that level of detail So \\nPhD D: Eh I I I do I do not need to to to mmm to m to label the the different acoustic but I prefer because eh I would like to to study if eh I I will find eh eh a good eh parameters eh to detect overlapping I would like to to to test these parameters eh with the another eh eh acoustic events to nnn to eh to find what is the ehm the false eh the false eh hypothesis eh nnn which eh are produced when we use the the ehm this eh parameter eh I mean pitch eh eh difference eh feature \\nPhD A: You know I think some of these that are the nonspeech overlapping events may be difficult even for humans to tell that there s two there I mean if it s a tapping sound you would not necessarily or you know something like that it would be it might be hard to know that it was two separate events \\nGrad G: Well You were not talking about just overlaps were you ? You were just talking about acoustic events \\nPhD D: I I I I t I t I talk eh about eh acoustic events in general but eh my my objective eh will be eh to study eh overlapping zone Eh ? comment n Eh in twelve minutes I found eh eh one thousand acoustic events \\nProfessor E: How many overlaps were there in it ? No no how many of them were the overlaps of speech though ? \\nPhD D: How many ? Eh almost eh three hundred eh in one session in five eh in forty five minutes Alm Three hundred overlapping zone With the overlapping zone overlapping speech speech what eh different duration \\nPostdoc B: Does this ? So if you had an overlap involving three people how many times was that counted ? \\nPhD D: three people two people Eh I would like to consider eh one people with difference noise eh in the background be \\nProfessor E: No no but I think what she s asking is pause if at some particular for some particular stretch you had three people talking instead of two did you call that one event ? \\nPhD D: Oh Oh I consider one event eh for th for that eh for all the zone This th I I I con I consider I consider eh an acoustic event the overlapping zone the period where three speaker or eh are talking together \\nGrad G: So let s say me and Jane are talking at the same time and then Liz starts talking also over all of us How many events would that be ? \\nPhD D: So I do not understand \\nGrad G: So two people are talking comment and then a third person starts talking Is there an event right here ? \\nPhD D: Eh no No no For me is the overlapping zone because because you you have s you have more one eh more one voice eh eh produced in a in in a moment \\nGrad G: So i if two or more people are talking \\nProfessor E: OK So I think We just wanted to understand how you are defining it So then in the region between since there there is some continuous region in between regions where there is only one person speaking And one contiguous region like that you are calling an event Is it Are you calling the beginning or the end of it the event or are you calling the entire length of it the event ? \\nPhD D: I consider the the nnn the nnn nnn eh the entirety eh eh all all the time there were the voice has overlapped This is the idea But eh I I do not distinguish between the the numbers of eh speaker I m not considering eh the the ehm eh the fact of eh eh for example what did you say ? Eh at first eh eh two talkers are eh speaking and eh eh a third person eh join to to that For me it s eh it s eh all overlap zone with eh several numbers of speakers is eh eh the same acoustic event Wi but without any mark between the zone of the overlapping zone with two speakers eh speaking together and the zone with the three speakers \\nPostdoc B: That would j just be one \\nPhD D: Eh with eh a beginning mark and the ending mark Because eh for me is the is the zone with eh some kind of eh distortion the spectral I do not mind By the moment by the moment \\nGrad G: Well but But you could imagine that three people talking has a different spectral characteristic than two \\nPhD D: I I do not but eh but eh I have to study comment What will happen in a general way \\nGrad G: So You had to start somewhere \\nPhD C: So there s a lot of overlap \\nPhD D: I I do not know what eh will will happen with the \\nGrad G: That s a lot of overlap \\nProfessor E: So again that s that s three three hundred in forty five minutes that are that are speakers just speakers \\nPostdoc B: But a a a th \\nProfessor E: So that s about eight per minute \\nPostdoc B: But a thousand events in twelve minutes that s \\nPhD C: But that can include taps \\nPostdoc B: Well but a thousand taps in eight minutes is a l in twelve minutes is a lot \\nPhD D: I I con I consider I consider acoustic events eh the silent too \\nGrad G: Silence starting or silence ending \\nPhD D: silent ground to bec to detect eh because I consider acoustic event all the things are not eh speech In ge in in in a general point of view \\nProfessor E: OK so how many of those thousand were silence ? \\nPhD F: Not speech not speech or too much speech \\nProfessor E: Right So how many of those thousand were silence silent sections ? \\nPhD D: silent I I I I do not I I have not the eh I I would like to to do a stylistic study\",\n \"Lynne Neagle AM: Thank you very much And the next questions then are from Suzy Davies \\nSuzy Davies AM: Thank you Chair I just wanted to have a quick answer from probably the Minister I think about the primary legislation and the regulations that followed about which childrens rights impact assessments have been done Have any been done and can they be shared with the committee if they have ? Sorry Deputy Minister\\u2014my mistake \\nJulie Morgan AM: Well it is been a very difficult time as you appreciate in terms of having to make legislation very quickly and it has not been possible to do the impact assessments that we would normally do However I am very pleased to say that we are actually launching a survey of children We are going to be launching it next week And this is to try to get from children their views of what is happened what we have been doing and their views on the whole COVID19 situation So we are doing this in conjunction with the childrens commissioner and with Young Wales and with the Youth Parliament So this is an online survey that we hope will be going out to thousands of children and we will get their response in terms of what are the important issues that have arisen for them what they feel about what is happened during this period what they feel about the way that we have dealt with the schools the way that they have had to cope in not going school and being at home for so long And so we are trying to get feedback from young people So I am very pleased that we are doing that but in terms of an impact assessment it has been very difficult as I am sure you can imagine to be able to do those at these times I think that Albert wants to come in on that \\nSuzy Davies AM: Yes because I will pursue that in a sec \\nAlbert Heaney: Thank you Thank you Chair and I think Nicola indicated before me so apologies Nicola Just to say for the committee really importantly that we have not introduced any easements in relation to childrens services legislation I think that is really quite crucial So from a Welsh context the standards that are in place do remain so therefore there would not have been a necessity for us to do a childrens rights impact assessment in relation to the primary legislation I think that is particularly a strong point to us in Wales both in terms of safeguarding arrangements but also ensuring that childrens rights are protected at a crucial time \\nNicola Edwards: Thanks In terms of childcare and education we are obviously looking at the provisions under the coronavirus Act to allow us to maybe ease some of the statutory requirements and we are going to be undertaking a full suite of impact assessments on those Obviously the coronavirus Act itself was UK Government legislation and they ran their own impact assessments but in terms of how we implement it in the childcare and education space\\u2014and I think Albert was just saying the same thing\\u2014we definitely will be looking at those impacts in terms of going forward \\nSuzy Davies AM: Well just to come back on that then are you saying to me that as a result of the various coronavirus regulations that we have had no assessments for childrens needs have been postponed cancelled or done very quickly online rather than in person ? \\nJulie Morgan AM: Well I think as Albert said that there was no relaxation of regulation for childrens social care You know that is\\u2014there have not been any in Wales \\nSuzy Davies AM: No but that is what\\u2014 There is no relaxation but what is happening in practice ? We are down on staff across all our councils and in our third sectors\\u2014who is doing the childrens needs assessments particularly for young carers ? \\nJulie Morgan AM: Well I\\u2014 Albert can you answer that ? \\nAlbert Heaney: I think the first thing to say to the committee is that going back we took a very strong line at the beginning that we were not going to introduce easements in requirements to childrens social services Of course through the way that practitioners and social work practitioners have to operate they are having to operate through a different time So assessments are still taking place for child protection and safeguarding concerns assessments are still taking place and especially in relation to\\u2014as you mentioned\\u2014young carers to support their needs So arrangements\\u2014Inaudible But they are having to be slightly differently done\\u2014so some of the technology and keeping in contact and keeping those visits So we have used for example the St Davids Day fund to make sure that care leavers are well supported in terms of having contact and are accessible and able to engage as well So we are having to be a little bit more\\u2014and social services departments are having to be a little bit more\\u2014innovative in the use of technology in the way that they have engaged as well But personal visits are taking place and visits especially as the Minister mentioned earlier on\\u2014they actually individually assess each case to determine the frequency of visits to make sure that those contacts are maintained with children at a critical time \\nSuzy Davies AM: Thank you I do not want to take this much further but personal visits and social distancing could be slightly problematic I just want to finish with this one question if I may We have had recommendations from the Carers Trust or Carers Trust Wales Have they been accepted by Government and is it those that are driving the agenda of the task and finish group that you announced the other day Deputy Minister ? \\nJulie Morgan AM: Well those will certainly be considered by the task and finish group I have had a letter from the Carers Trust about those issues and we are setting up this group as you know and we will be looking at those issues in the group \\nSuzy Davies AM: Thank you Any steal on when that might report ? \\nJulie Morgan AM: I do not have that at the moment \\nLynne Neagle AM: Maybe we could have a note on that Deputy Minister Can I just say we are running short of time ? We did start late so if the Ministers are happy we will carry on until 210 pm\\u2014310 pm\\u2014if that is And the next questions are from Si\\u00e2n Gwenllian Hold on a sec Si\\u00e2n we have lost translation again Can we just see what can be done to get the translation back ? Sorry Si\\u00e2n Is there anyone who can help with the translation ? There you go Si\\u00e2n Thank you \\nSian Gwenllian AM: You will know Deputy Minister\\u2014because we have discussed this in private session\\u2014my major concerns with regard to the childcare sector and what kind of childcare sector we will have at the end of this crisis as families start to return to the workplace There are still some childcare providers who are falling between the cracks and are not receiving financial support Do you agree\\u2014are there people who are still not being supported and why is not the Welsh Government able to provide that support for everyone in the childcare sector ? \\nJulie Morgan AM: Thank you Si\\u00e2n for that question And I know that we have had a discussion about this before Basically we are aware that there are some sectors in the childcare sector that do fall through some of the loops We have guaranteed that we will pay the money for the childcare offer for three months So that is guaranteed to them and they are able to take advantage of the Governments job retainer scheme but that does mean that there is a problem as I think we have discussed before of the double funding issue and that is something that we have been trying to resolve and there have been discussions with the Treasury in Whitehall about ways forward on this I am going to ask Nicola to come in in a minute because she is much more up to date with the discussions about that but so far I do not think very much progress has been made on that But we are looking to see if there are any other ways that we can get help to the childcare sector and I am actually following this meeting with a meeting with the Deputy Minister for equality and chief whip who is responsible for the voluntary sector because obviously many of the groups that we are talking about would come under the voluntary sector because they have voluntary committees but they fall between many stools because they rent premises rather than own premises and they do not have high turnovers that would qualify them for some of these grants So perhaps I could ask Nicola to come in to expand on that\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"prompt\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 6,\n \"samples\": [\n \"## meeting transcript\\nPhD F: As opposed to the rest of us \\nPhD D: Well comment OK I I remind that me my first objective eh in the project is to to study difference parameters to to find a a good solution to detect eh the overlapping zone in eh speech recorded But eh tsk comment ehhh comment In that way comment I I I begin to to study and to analyze the ehn the recorded speech eh the different session to to find and to locate and to mark eh the the different overlapping zone And eh so eh I was eh I am transcribing the the first session and I I have found eh eh one thousand acoustic events eh besides the overlapping zones eh I I I mean the eh breaths eh aspiration eh eh talk eh eh clap eh comment I do not know what is the different names eh you use to to name the the pause n speech \\nGrad G: Oh I do not think we ve been doing it at that level of detail So \\nPhD D: Eh I I I do I do not need to to to mmm to m to label the the different acoustic but I prefer because eh I would like to to study if eh I I will find eh eh a good eh parameters eh to detect overlapping I would like to to to test these parameters eh with the another eh eh acoustic events to nnn to eh to find what is the ehm the false eh the false eh hypothesis eh nnn which eh are produced when we use the the ehm this eh parameter eh I mean pitch eh eh difference eh feature \\nPhD A: You know I think some of these that are the nonspeech overlapping events may be difficult even for humans to tell that there s two there I mean if it s a tapping sound you would not necessarily or you know something like that it would be it might be hard to know that it was two separate events \\nGrad G: Well You were not talking about just overlaps were you ? You were just talking about acoustic events \\nPhD D: I I I I t I t I talk eh about eh acoustic events in general but eh my my objective eh will be eh to study eh overlapping zone Eh ? comment n Eh in twelve minutes I found eh eh one thousand acoustic events \\nProfessor E: How many overlaps were there in it ? No no how many of them were the overlaps of speech though ? \\nPhD D: How many ? Eh almost eh three hundred eh in one session in five eh in forty five minutes Alm Three hundred overlapping zone With the overlapping zone overlapping speech speech what eh different duration \\nPostdoc B: Does this ? So if you had an overlap involving three people how many times was that counted ? \\nPhD D: three people two people Eh I would like to consider eh one people with difference noise eh in the background be \\nProfessor E: No no but I think what she s asking is pause if at some particular for some particular stretch you had three people talking instead of two did you call that one event ? \\nPhD D: Oh Oh I consider one event eh for th for that eh for all the zone This th I I I con I consider I consider eh an acoustic event the overlapping zone the period where three speaker or eh are talking together \\nGrad G: So let s say me and Jane are talking at the same time and then Liz starts talking also over all of us How many events would that be ? \\nPhD D: So I do not understand \\nGrad G: So two people are talking comment and then a third person starts talking Is there an event right here ? \\nPhD D: Eh no No no For me is the overlapping zone because because you you have s you have more one eh more one voice eh eh produced in a in in a moment \\nGrad G: So i if two or more people are talking \\nProfessor E: OK So I think We just wanted to understand how you are defining it So then in the region between since there there is some continuous region in between regions where there is only one person speaking And one contiguous region like that you are calling an event Is it Are you calling the beginning or the end of it the event or are you calling the entire length of it the event ? \\nPhD D: I consider the the nnn the nnn nnn eh the entirety eh eh all all the time there were the voice has overlapped This is the idea But eh I I do not distinguish between the the numbers of eh speaker I m not considering eh the the ehm eh the fact of eh eh for example what did you say ? Eh at first eh eh two talkers are eh speaking and eh eh a third person eh join to to that For me it s eh it s eh all overlap zone with eh several numbers of speakers is eh eh the same acoustic event Wi but without any mark between the zone of the overlapping zone with two speakers eh speaking together and the zone with the three speakers \\nPostdoc B: That would j just be one \\nPhD D: Eh with eh a beginning mark and the ending mark Because eh for me is the is the zone with eh some kind of eh distortion the spectral I do not mind By the moment by the moment \\nGrad G: Well but But you could imagine that three people talking has a different spectral characteristic than two \\nPhD D: I I do not but eh but eh I have to study comment What will happen in a general way \\nGrad G: So You had to start somewhere \\nPhD C: So there s a lot of overlap \\nPhD D: I I do not know what eh will will happen with the \\nGrad G: That s a lot of overlap \\nProfessor E: So again that s that s three three hundred in forty five minutes that are that are speakers just speakers \\nPostdoc B: But a a a th \\nProfessor E: So that s about eight per minute \\nPostdoc B: But a thousand events in twelve minutes that s \\nPhD C: But that can include taps \\nPostdoc B: Well but a thousand taps in eight minutes is a l in twelve minutes is a lot \\nPhD D: I I con I consider I consider acoustic events eh the silent too \\nGrad G: Silence starting or silence ending \\nPhD D: silent ground to bec to detect eh because I consider acoustic event all the things are not eh speech In ge in in in a general point of view \\nProfessor E: OK so how many of those thousand were silence ? \\nPhD F: Not speech not speech or too much speech \\nProfessor E: Right So how many of those thousand were silence silent sections ? \\nPhD D: silent I I I I do not I I have not the eh I I would like to to do a stylistic study\\n\\n## instructions\\nSummarize the meeting based on the transcript. In paragraph form, output your response. Use at least 10 words and at most 50 words in total.\",\n \"## meeting transcript\\nLynne Neagle AM: Thank you very much And the next questions then are from Suzy Davies \\nSuzy Davies AM: Thank you Chair I just wanted to have a quick answer from probably the Minister I think about the primary legislation and the regulations that followed about which childrens rights impact assessments have been done Have any been done and can they be shared with the committee if they have ? Sorry Deputy Minister\\u2014my mistake \\nJulie Morgan AM: Well it is been a very difficult time as you appreciate in terms of having to make legislation very quickly and it has not been possible to do the impact assessments that we would normally do However I am very pleased to say that we are actually launching a survey of children We are going to be launching it next week And this is to try to get from children their views of what is happened what we have been doing and their views on the whole COVID19 situation So we are doing this in conjunction with the childrens commissioner and with Young Wales and with the Youth Parliament So this is an online survey that we hope will be going out to thousands of children and we will get their response in terms of what are the important issues that have arisen for them what they feel about what is happened during this period what they feel about the way that we have dealt with the schools the way that they have had to cope in not going school and being at home for so long And so we are trying to get feedback from young people So I am very pleased that we are doing that but in terms of an impact assessment it has been very difficult as I am sure you can imagine to be able to do those at these times I think that Albert wants to come in on that \\nSuzy Davies AM: Yes because I will pursue that in a sec \\nAlbert Heaney: Thank you Thank you Chair and I think Nicola indicated before me so apologies Nicola Just to say for the committee really importantly that we have not introduced any easements in relation to childrens services legislation I think that is really quite crucial So from a Welsh context the standards that are in place do remain so therefore there would not have been a necessity for us to do a childrens rights impact assessment in relation to the primary legislation I think that is particularly a strong point to us in Wales both in terms of safeguarding arrangements but also ensuring that childrens rights are protected at a crucial time \\nNicola Edwards: Thanks In terms of childcare and education we are obviously looking at the provisions under the coronavirus Act to allow us to maybe ease some of the statutory requirements and we are going to be undertaking a full suite of impact assessments on those Obviously the coronavirus Act itself was UK Government legislation and they ran their own impact assessments but in terms of how we implement it in the childcare and education space\\u2014and I think Albert was just saying the same thing\\u2014we definitely will be looking at those impacts in terms of going forward \\nSuzy Davies AM: Well just to come back on that then are you saying to me that as a result of the various coronavirus regulations that we have had no assessments for childrens needs have been postponed cancelled or done very quickly online rather than in person ? \\nJulie Morgan AM: Well I think as Albert said that there was no relaxation of regulation for childrens social care You know that is\\u2014there have not been any in Wales \\nSuzy Davies AM: No but that is what\\u2014 There is no relaxation but what is happening in practice ? We are down on staff across all our councils and in our third sectors\\u2014who is doing the childrens needs assessments particularly for young carers ? \\nJulie Morgan AM: Well I\\u2014 Albert can you answer that ? \\nAlbert Heaney: I think the first thing to say to the committee is that going back we took a very strong line at the beginning that we were not going to introduce easements in requirements to childrens social services Of course through the way that practitioners and social work practitioners have to operate they are having to operate through a different time So assessments are still taking place for child protection and safeguarding concerns assessments are still taking place and especially in relation to\\u2014as you mentioned\\u2014young carers to support their needs So arrangements\\u2014Inaudible But they are having to be slightly differently done\\u2014so some of the technology and keeping in contact and keeping those visits So we have used for example the St Davids Day fund to make sure that care leavers are well supported in terms of having contact and are accessible and able to engage as well So we are having to be a little bit more\\u2014and social services departments are having to be a little bit more\\u2014innovative in the use of technology in the way that they have engaged as well But personal visits are taking place and visits especially as the Minister mentioned earlier on\\u2014they actually individually assess each case to determine the frequency of visits to make sure that those contacts are maintained with children at a critical time \\nSuzy Davies AM: Thank you I do not want to take this much further but personal visits and social distancing could be slightly problematic I just want to finish with this one question if I may We have had recommendations from the Carers Trust or Carers Trust Wales Have they been accepted by Government and is it those that are driving the agenda of the task and finish group that you announced the other day Deputy Minister ? \\nJulie Morgan AM: Well those will certainly be considered by the task and finish group I have had a letter from the Carers Trust about those issues and we are setting up this group as you know and we will be looking at those issues in the group \\nSuzy Davies AM: Thank you Any steal on when that might report ? \\nJulie Morgan AM: I do not have that at the moment \\nLynne Neagle AM: Maybe we could have a note on that Deputy Minister Can I just say we are running short of time ? We did start late so if the Ministers are happy we will carry on until 210 pm\\u2014310 pm\\u2014if that is And the next questions are from Si\\u00e2n Gwenllian Hold on a sec Si\\u00e2n we have lost translation again Can we just see what can be done to get the translation back ? Sorry Si\\u00e2n Is there anyone who can help with the translation ? There you go Si\\u00e2n Thank you \\nSian Gwenllian AM: You will know Deputy Minister\\u2014because we have discussed this in private session\\u2014my major concerns with regard to the childcare sector and what kind of childcare sector we will have at the end of this crisis as families start to return to the workplace There are still some childcare providers who are falling between the cracks and are not receiving financial support Do you agree\\u2014are there people who are still not being supported and why is not the Welsh Government able to provide that support for everyone in the childcare sector ? \\nJulie Morgan AM: Thank you Si\\u00e2n for that question And I know that we have had a discussion about this before Basically we are aware that there are some sectors in the childcare sector that do fall through some of the loops We have guaranteed that we will pay the money for the childcare offer for three months So that is guaranteed to them and they are able to take advantage of the Governments job retainer scheme but that does mean that there is a problem as I think we have discussed before of the double funding issue and that is something that we have been trying to resolve and there have been discussions with the Treasury in Whitehall about ways forward on this I am going to ask Nicola to come in in a minute because she is much more up to date with the discussions about that but so far I do not think very much progress has been made on that But we are looking to see if there are any other ways that we can get help to the childcare sector and I am actually following this meeting with a meeting with the Deputy Minister for equality and chief whip who is responsible for the voluntary sector because obviously many of the groups that we are talking about would come under the voluntary sector because they have voluntary committees but they fall between many stools because they rent premises rather than own premises and they do not have high turnovers that would qualify them for some of these grants So perhaps I could ask Nicola to come in to expand on that\\n\\n## instructions\\nSummarize the meeting based on the transcript. Return the answer in the form of paragraphs. Make sure your answer is between 50 and 200 words long.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"transcript_token_len\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 568,\n \"min\": 1100,\n \"max\": 2618,\n \"num_unique_values\": 6,\n \"samples\": [\n 1378,\n 1649\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"completion\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 6,\n \"samples\": [\n \"PhD student D presented their method for detecting and counting acoustic events in recorded speech sessions, including speech overlaps and silence. The team sought clarification on D's definitions and counted events, discussing the challenges of distinguishing between different types of events.\",\n \"The meeting between Welsh politicians and officials centered around the impact of the coronavirus legislation on children's rights and the childcare sector in Wales. Julie Morgan, the AM, explained that a survey would be launched to gather the views of children on the pandemic situation, as it had been challenging to conduct children's rights impact assessments during these fast-paced times. \\n\\nAlbert Heaney emphasized that there had been no relaxation of children's social care regulations in Wales, but that the methods of assessment had to be adapted due to the pandemic. In response to Sian Gwenllian's concerns, Julie Morgan acknowledged that some childcare providers were struggling financially due to the pandemic. She assured that the government was trying to support them, but the complexity of the issue had hindered progress, especially regarding the double funding problem.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"format_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 0,\n \"min\": 1,\n \"max\": 1,\n \"num_unique_values\": 1,\n \"samples\": [\n 1\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"length_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 0,\n \"min\": 0,\n \"max\": 1,\n \"num_unique_values\": 2,\n \"samples\": [\n 0\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"completeness_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 1.2161883888976234e-16,\n \"min\": 0.8,\n \"max\": 0.8,\n \"num_unique_values\": 1,\n \"samples\": [\n 0.8\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"correctness_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 0.0,\n \"min\": 1.0,\n \"max\": 1.0,\n \"num_unique_values\": 1,\n \"samples\": [\n 1.0\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"conciseness_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 1.2161883888976234e-16,\n \"min\": 0.8,\n \"max\": 0.8,\n \"num_unique_values\": 1,\n \"samples\": [\n 0.8\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}", - "type": "dataframe", - "variable_name": "data" - }, - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    instructioneval_metadataobjectivetranscriptprompttranscript_token_lencompletionformat_scorelength_scorecompleteness_scorecorrectness_scoreconciseness_score
    0Summarize the meeting based on the transcript....{'format': 'paragraphs', 'min_length': 10, 'ma...general_summarizationPhD F: As opposed to the rest of us \\nPhD D: W...## meeting transcript\\nPhD F: As opposed to th...1378PhD student D presented their method for detec...110.81.00.8
    1Summarize the meeting based on the transcript....{'format': 'paragraphs', 'min_length': 50, 'ma...general_summarizationLynne Neagle AM: Thank you very much And the n...## meeting transcript\\nLynne Neagle AM: Thank ...1649The meeting between Welsh politicians and offi...110.81.00.8
    2Summarize the meeting based on the transcript....{'format': 'bullets', 'number': 3, 'min_length...general_summarizationIndustrial Designer: Yep So we are to mainly d...## meeting transcript\\nIndustrial Designer: Ye...1100- The team discusses the design of a remote co...100.81.00.8
    3Summarize the meeting based on the transcript....{'format': 'bullets', 'number': 2, 'min_length...general_summarizationIndustrial Designer: Mm I think one of the ver...## meeting transcript\\nIndustrial Designer: Mm...2618- The team discusses the target demographic fo...110.81.00.8
    4What are the follow-up items based on the meet...{'format': 'bullets', 'number': 3, 'min_length...action_itemsMarketing: so a lot of people have to be able ...## meeting transcript\\nMarketing: so a lot of ...2286- Investigate remote's compatibility with vari...110.81.00.8
    5What are the follow-up items based on the meet...{'format': 'bullets', 'number': 2, 'min_length...action_itemsProject Manager: Alright So finance And we wil...## meeting transcript\\nProject Manager: Alrigh...1965- The project manager will send the updated de...110.81.00.8
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " instruction \\\n", - "0 Summarize the meeting based on the transcript.... \n", - "1 Summarize the meeting based on the transcript.... \n", - "2 Summarize the meeting based on the transcript.... \n", - "3 Summarize the meeting based on the transcript.... \n", - "4 What are the follow-up items based on the meet... \n", - "5 What are the follow-up items based on the meet... \n", - "\n", - " eval_metadata objective \\\n", - "0 {'format': 'paragraphs', 'min_length': 10, 'ma... general_summarization \n", - "1 {'format': 'paragraphs', 'min_length': 50, 'ma... general_summarization \n", - "2 {'format': 'bullets', 'number': 3, 'min_length... general_summarization \n", - "3 {'format': 'bullets', 'number': 2, 'min_length... general_summarization \n", - "4 {'format': 'bullets', 'number': 3, 'min_length... action_items \n", - "5 {'format': 'bullets', 'number': 2, 'min_length... action_items \n", - "\n", - " transcript \\\n", - "0 PhD F: As opposed to the rest of us \\nPhD D: W... \n", - "1 Lynne Neagle AM: Thank you very much And the n... \n", - "2 Industrial Designer: Yep So we are to mainly d... \n", - "3 Industrial Designer: Mm I think one of the ver... \n", - "4 Marketing: so a lot of people have to be able ... \n", - "5 Project Manager: Alright So finance And we wil... \n", - "\n", - " prompt transcript_token_len \\\n", - "0 ## meeting transcript\\nPhD F: As opposed to th... 1378 \n", - "1 ## meeting transcript\\nLynne Neagle AM: Thank ... 1649 \n", - "2 ## meeting transcript\\nIndustrial Designer: Ye... 1100 \n", - "3 ## meeting transcript\\nIndustrial Designer: Mm... 2618 \n", - "4 ## meeting transcript\\nMarketing: so a lot of ... 2286 \n", - "5 ## meeting transcript\\nProject Manager: Alrigh... 1965 \n", - "\n", - " completion format_score \\\n", - "0 PhD student D presented their method for detec... 1 \n", - "1 The meeting between Welsh politicians and offi... 1 \n", - "2 - The team discusses the design of a remote co... 1 \n", - "3 - The team discusses the target demographic fo... 1 \n", - "4 - Investigate remote's compatibility with vari... 1 \n", - "5 - The project manager will send the updated de... 1 \n", - "\n", - " length_score completeness_score correctness_score conciseness_score \n", - "0 1 0.8 1.0 0.8 \n", - "1 1 0.8 1.0 0.8 \n", - "2 0 0.8 1.0 0.8 \n", - "3 1 0.8 1.0 0.8 \n", - "4 1 0.8 1.0 0.8 \n", - "5 1 0.8 1.0 0.8 " + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Summarization_Evals.ipynb." ] - }, - "execution_count": 36, - "metadata": {}, - "output_type": "execute_result" } - ], - "source": [ - "# Let's examine the final evaluation results\n", - "data" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9bOUjcce13ws" - }, - "source": [ - "Finally, let's plot the average scores per critiera." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 598 - }, - "id": "J_MeQOWX13ws", - "outputId": "8cedc547-6311-4700-c873-5dbbcbc6f52d" - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "avg_scores = data[[\"format_score\", \"length_score\", \"completeness_score\", \"correctness_score\", \"conciseness_score\"]].mean()\n", - "ax = avg_scores.plot.bar(title=\"Average Scores\", xlabel=\"Criteria\", ylabel=\"Score\")" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.11" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Text_Classification_Using_Embeddings.ipynb b/notebooks/guides/Text_Classification_Using_Embeddings.ipynb index a1a3efe5..64ea4752 100644 --- a/notebooks/guides/Text_Classification_Using_Embeddings.ipynb +++ b/notebooks/guides/Text_Classification_Using_Embeddings.ipynb @@ -1,436 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "V4B-KoWi1HDP" - }, - "source": [ - "# Text Classification Using Embeddings\n", - "This notebook shows how to build a classifiers using Cohere's embeddings.\n", - "\n", - "\n", - "The example classification task here will be sentiment analysis of film reviews. We'll train a simple classifier to detect whether a film review is negative (class 0) or positive (class 1).\n", - "\n", - "We'll go through the following steps:\n", - "\n", - "1. Get the dataset\n", - "2. Get the embeddings of the reviews (for both the training set and the test set).\n", - "3. Train a classifier using the training set\n", - "4. Evaluate the performance of the classifier on the testing set" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "XdM6oEyo9oUp" - }, - "outputs": [], - "source": [ - "# Let's first install Cohere's python SDK\n", - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" scikit-learn" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "If you're running an older version of the SDK you'll want to upgrade it, like this:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "#!pip install --upgrade cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "d0DIiCJoe_-_" - }, - "source": [ - "## 1. Get the dataset" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "bAVd49D6BjGK" - }, - "outputs": [], - "source": [ - "import cohere\n", - "from sklearn.model_selection import train_test_split\n", - "\n", - "import pandas as pd\n", - "pd.set_option('display.max_colwidth', None)\n", - "\n", - "# Get the SST2 training and test sets\n", - "df = pd.read_csv('https://github.com/clairett/pytorch-sentiment-classification/raw/master/data/SST2/train.tsv', delimiter='\\t', header=None)" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Text_Classification_Using_Embeddings.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "BYhG9GO3B_Gd", - "outputId": "e16f74d7-f6b1-44a6-db0b-49f21a3862e6" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    01
    0a stirring , funny and finally transporting re imagining of beauty and the beast and 1930s horror films1
    1apparently reassembled from the cutting room floor of any given daytime soap0
    2they presume their audience wo n't sit still for a sociology lesson , however entertainingly presented , so they trot out the conventional science fiction elements of bug eyed monsters and futuristic women in skimpy clothes0
    3this is a visually stunning rumination on love , memory , history and the war between art and commerce1
    4jonathan parker 's bartleby should have been the be all end all of the modern office anomie films1
    \n", - "
    " - ], - "text/plain": [ - " 0 \\\n", - "0 a stirring , funny and finally transporting re imagining of beauty and the beast and 1930s horror films \n", - "1 apparently reassembled from the cutting room floor of any given daytime soap \n", - "2 they presume their audience wo n't sit still for a sociology lesson , however entertainingly presented , so they trot out the conventional science fiction elements of bug eyed monsters and futuristic women in skimpy clothes \n", - "3 this is a visually stunning rumination on love , memory , history and the war between art and commerce \n", - "4 jonathan parker 's bartleby should have been the be all end all of the modern office anomie films \n", - "\n", - " 1 \n", - "0 1 \n", - "1 0 \n", - "2 0 \n", - "3 1 \n", - "4 1 " - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Let's glance at the dataset\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7KIMt6G7dlGC" - }, - "source": [ - "We'll only use a subset of the training and testing datasets in this example. We'll only use 500 examples since this is a toy example. You'll want to increase the number to get better performance and evaluation." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The `train_test_split` method splits arrays or matrices into random train and test subsets." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# Set the number of examples from the dataset\n", - "num_examples = 500\n", - "# Create a dataframe that\n", - "df_sample = df.sample(num_examples)\n", - "\n", - "# Split into training and testing sets\n", - "sentences_train, sentences_test, labels_train, labels_test = train_test_split(\n", - " list(df_sample[0]), list(df_sample[1]), test_size=0.25, random_state=0)\n", - "\n", - "# The embeddings endpoint can take up to 96 texts, so we'll have to truncate \n", - "# sentences_train, sentences_test, labels_train, and labels_test. \n", - "\n", - "sentences_train = sentences_train[:95]\n", - "sentences_test = sentences_test[:95]\n", - "\n", - "labels_train = labels_train[:95]\n", - "labels_test = labels_test[:95]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "aymSE9YFEymy" - }, - "source": [ - "## 2. Set up the Cohere client and get the embeddings of the reviews\n", - "We're now ready to retrieve the embeddings from the API. You'll need your API key for this next cell. [Sign up to Cohere](https://os.cohere.ai/) and get one if you haven't yet." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "qqfWFS1RDE54" - }, - "outputs": [], - "source": [ - "# Add the model name, API key, URL, etc.\n", - "model_name = \"embed-english-v3.0\"\n", - "api_key = \"\"\n", - "\n", - "# Here, we're setting up the data objects we'll pass to the embeds endpoint.\n", - "input_type = \"classification\"\n", - "\n", - "# Create and retrieve a Cohere API key from dashboard.cohere.ai\n", - "co = cohere.Client(api_key)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "# Embed the training set\n", - "embeddings_train = co.embed(texts=sentences_train,\n", - " model=model_name,\n", - " input_type=input_type\n", - " ).embeddings\n", - "\n", - "# Embed the testing set\n", - "embeddings_test = co.embed(texts=sentences_test,\n", - " model=model_name,\n", - " input_type=input_type\n", - " ).embeddings\n", - "\n", - "# Here we are using the endpoint co.embed() " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Note that the ordering of the arguments is important. If you put `input_type` in before `model_name`, you'll get an error." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "rhg6HkuXeFF_" - }, - "source": [ - "We now have two sets of embeddings, `embeddings_train` contains the embeddings of the training sentences while `embeddings_test` contains the embeddings of the testing sentences.\n", - "\n", - "Curious what an embedding looks like? we can print it:" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "AFWgw-l7eXRG", - "outputId": "f958e3ff-f6b0-457b-d0e9-9acad20a7e4e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Review text: the script was reportedly rewritten a dozen times either 11 times too many or else too few\n", - "Embedding vector: [1.1531117, -0.8543223, -1.2496399, -0.28317127, -0.75870246, 0.5373464, 0.63233083, 0.5766576, 1.8336298, 0.44203663]\n" - ] - } - ], - "source": [ - "print(f\"Review text: {sentences_train[0]}\")\n", - "print(f\"Embedding vector: {embeddings_train[0][:10]}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "gTjZkSXEeoMB" - }, - "source": [ - "## 3. Train a classifier using the training set\n", - "Now that we have the embedding we can train our classifier. We'll use an SVM from sklearn." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "1FGyYoHSCK03", - "outputId": "d9e09ecb-e569-47a3-8c66-41b076ea5d42" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "Pipeline(steps=[('standardscaler', StandardScaler()),\n", - " ('svc', SVC(class_weight='balanced'))])" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# import SVM classifier code\n", - "from sklearn.svm import SVC\n", - "from sklearn.pipeline import make_pipeline\n", - "from sklearn.preprocessing import StandardScaler\n", - "\n", - "\n", - "# Initialize a support vector machine, with class_weight='balanced' because \n", - "# our training set has roughly an equal amount of positive and negative \n", - "# sentiment sentences\n", - "svm_classifier = make_pipeline(StandardScaler(), SVC(class_weight='balanced')) \n", - "\n", - "# fit the support vector machine\n", - "svm_classifier.fit(embeddings_train, labels_train)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VuAjDXjzfWJ7" - }, - "source": [ - "## 4. Evaluate the performance of the classifier on the testing set" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "NrHAN510fWlm", - "outputId": "3036bf44-9b71-4698-859a-1f55f0ecc282" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Validation accuracy on Large is 91.2%!\n" - ] - } - ], - "source": [ - "# get the score from the test set, and print it out to screen!\n", - "score = svm_classifier.score(embeddings_test, labels_test)\n", - "print(f\"Validation accuracy on is {100*score}%!\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "You may get a slightly different number when you run this code." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0WnfBgA-OkKL", - "pycharm": { - "name": "#%% md\n" - } - }, - "source": [ - "This was a small scale example, meant as a proof of concept and designed to illustrate how you can build a custom classifier quickly using a small amount of labelled data and Cohere's embeddings. Increase the number of training examples to achieve better performance on this task." - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [], - "name": "Text Classification Using Embeddings.ipynb", - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.7" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Three_Ways_to_Build_a_Text_Classifier_with_Cohere.ipynb b/notebooks/guides/Three_Ways_to_Build_a_Text_Classifier_with_Cohere.ipynb index 35a1daea..fca18b06 100644 --- a/notebooks/guides/Three_Ways_to_Build_a_Text_Classifier_with_Cohere.ipynb +++ b/notebooks/guides/Three_Ways_to_Build_a_Text_Classifier_with_Cohere.ipynb @@ -1,741 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "NOoAYv5ThsHg" - }, - "source": [ - "# Three Ways to Build a Text Classifier with Cohere.ipynb" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AVf0QmYJiJ22" - }, - "source": [ - "With LLMs, instead of having to prepare thousands of training data points, you can get up and running with just a handful of examples, called *few-shot* classification. Having said that, you probably want to have a certain level of control over how you train a classifier, and especially, how to get the best performance out of a model. For example, if you do happen to have a large dataset at your disposal, you will want to make full use of it when training a classifier. With the Cohere API, we want to give this flexibility to developers." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "eiOMX1UaSN2V" - }, - "source": [ - "***Read the accompanying [blog post here.](https://txt.cohere.ai/classify-three-options/)***" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![[Blog] Three Ways to Build a Text Classifier with the Cohere API](https://github.com/cohere-ai/notebooks/raw/main/notebooks/images/classify-three-options/classify-options-feat.png)" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "29uwe-jzJ9rh" - }, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"", -"! pip install \"cohere<5\" > /dev/null" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "y9-RyLu7KHII" - }, - "outputs": [], - "source": [ - "# Import the required modules\n", - "import cohere\n", - "import numpy as np\n", - "import pandas as pd\n", - "from sklearn.model_selection import train_test_split\n", - "from sklearn.metrics import accuracy_score\n", - "from sklearn.metrics import f1_score" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "AW4RsVSE4j74" - }, - "outputs": [], - "source": [ - "# Set up the Cohere client\n", - "api_key = 'apikey' # Paste your API key here. Remember to not share it publicly \n", - "co = cohere.Client(api_key)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "laIkCcKa40PR" - }, - "source": [ - "# Prepare the Dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0Fil-WkzipV_" - }, - "source": [ - "We'll use a subset of the [Airline Travel Information System (ATIS)](https://www.kaggle.com/datasets/hassanamin/atis-airlinetravelinformationsystem?select=atis_intents_train.csv) intent classification dataset. [[Source](https://aclanthology.org/H90-1021/)]" - ] - }, - { - "cell_type": "code", - "execution_count": 60, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "gI7wMIdOrbiK", - "outputId": "ebbaf0c0-60a2-487f-d1b3-7ec34175c35e" - }, - "outputs": [ + "cells": [ { - "data": { - "text/html": [ - "\n", - "
    \n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    queryintent
    0i want to fly from boston at 838 am and arriv...atis_flight
    1what flights are available from pittsburgh to...atis_flight
    2what is the arrival time in san francisco for...atis_flight_time
    3cheapest airfare from tacoma to orlandoatis_airfare
    4round trip fares from pittsburgh to philadelp...atis_airfare
    \n", - "
    \n", - " \n", - " \n", - " \n", - "\n", - " \n", - "
    \n", - "
    \n", - " " - ], - "text/plain": [ - " query intent\n", - "0 i want to fly from boston at 838 am and arriv... atis_flight\n", - "1 what flights are available from pittsburgh to... atis_flight\n", - "2 what is the arrival time in san francisco for... atis_flight_time\n", - "3 cheapest airfare from tacoma to orlando atis_airfare\n", - "4 round trip fares from pittsburgh to philadelp... atis_airfare" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Three_Ways_to_Build_a_Text_Classifier_with_Cohere.ipynb." ] - }, - "execution_count": 60, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Load the dataset to a dataframe\n", - "df = pd.read_csv('https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/atis_subset.csv',names=['query','intent'])\n", - "df.head()" - ] - }, - { - "cell_type": "code", - "execution_count": 61, - "metadata": { - "id": "cM-2KGlQe80t" - }, - "outputs": [], - "source": [ - "# Split the dataset into training and test portions\n", - "# Training = For use in Sections 2 and 3\n", - "# Test = For evaluating the classifier performance\n", - "X, y = df[\"query\"], df[\"intent\"]\n", - "X_train, X_test, y_train, y_test = train_test_split(X, y, test_size=200, random_state=21)" - ] - }, - { - "cell_type": "code", - "execution_count": 62, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "-J-T5ZKP5s0t", - "outputId": "41c946b1-9f46-44d0-bb49-31f348d252dd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['atis_flight', 'atis_airfare', 'atis_ground_service', 'atis_flight_time', 'atis_airline', 'atis_quantity', 'atis_abbreviation', 'atis_aircraft']\n" - ] } - ], - "source": [ - "# View the list of all available categories\n", - "intents = y_train.unique().tolist()\n", - "print(intents)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "79RhgMQr452c" - }, - "source": [ - "# 1 - Few-shot classification with the Classify endpoint" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "T-22ncqsi8sH" - }, - "source": [ - "Few-shot here means we just need to supply a few examples per class and have a decent classifier working. With Cohere’s Classify endpoint, the ‘training’ dataset is referred to as *examples*. The minimum number of examples per class is two, where each example consists of a text (in our case, the `query`), and a label (in our case, the `label`). More examples are better though, and in our case, we'll use six examples per class." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yiHoK7A34-iJ" - }, - "source": [ - "## Prepare the examples" - ] - }, - { - "cell_type": "code", - "execution_count": 63, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "IzWMEEb4LmRz", - "outputId": "5a671716-d390-473f-856b-5c28453a4ece" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Number of examples per class: 6\n", - "Number of classes: 8\n", - "Total number of examples: 48\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Set the number of examples per category\n", - "EX_PER_CAT = 6\n", - "\n", - "# Create list of examples containing texts and labels - sample from the dataset\n", - "ex_texts, ex_labels = [], []\n", - "for intent in intents:\n", - " y_temp = y_train[y_train == intent]\n", - " sample_indexes = y_temp.sample(n=EX_PER_CAT, random_state=42).index\n", - " ex_texts += X_train[sample_indexes].tolist()\n", - " ex_labels += y_train[sample_indexes].tolist()\n", - "\n", - "print(f'Number of examples per class: {EX_PER_CAT}')\n", - "print(f'Number of classes: {len(intents)}')\n", - "print(f'Total number of examples: {len(ex_texts)}')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "29oLOWrY6TnM" - }, - "source": [ - "## Get classifications via the Classify endpoint" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "WujlVX-ERNW4" - }, - "outputs": [], - "source": [ - "# Collate the examples via the Example module\n", - "from cohere.responses.classify import Example\n", - "\n", - "examples = list()\n", - "for txt, lbl in zip(ex_texts,ex_labels):\n", - " examples.append(Example(txt,lbl))" - ] - }, - { - "cell_type": "code", - "execution_count": 86, - "metadata": { - "id": "NXCHB13-rbZZ" - }, - "outputs": [], - "source": [ - "# Generate classification predictions on the test dataset\n", - "\n", - "# Classification function\n", - "def classify_text(texts, examples):\n", - " classifications = co.classify(\n", - " inputs=texts,\n", - " examples=examples\n", - " )\n", - " return [c.predictions[0] for c in classifications]\n", - "\n", - "# Create batches of texts and classify them\n", - "BATCH_SIZE = 90 # The API accepts a maximum of 96 inputs\n", - "y_pred = []\n", - "for i in range(0, len(X_test), BATCH_SIZE):\n", - " batch_texts = X_test[i:i+BATCH_SIZE].tolist()\n", - " y_pred.extend(classify_text(batch_texts, examples))\n" - ] - }, - { - "cell_type": "code", - "execution_count": 88, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "mVxRlIzR74Xz", - "outputId": "86b085b0-b7cd-4994-e6cc-49b14e93c4d5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy: 83.00\n", - "F1-score: 84.66\n" - ] - } - ], - "source": [ - "# Compute metrics on the test dataset\n", - "accuracy = accuracy_score(y_test, y_pred)\n", - "f1 = f1_score(y_test, y_pred, average='weighted')\n", - "\n", - "print(f'Accuracy: {100*accuracy:.2f}')\n", - "print(f'F1-score: {100*f1:.2f}')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-60rd2N1up2i" - }, - "source": [ - "# 2 - Build your own classifier with the Embed endpoint" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NbVkkSLXjaim" - }, - "source": [ - "In this section, we’ll look at how we can use the Embed endpoint to build a classifier. We are going to build a classification model using these embeddings as inputs. For this, we’ll use the Support Vector Machine (SVM) algorithm." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YioSofGK7OAU" - }, - "source": [ - "## Generate embeddings for the input text" - ] - }, - { - "cell_type": "code", - "execution_count": 89, - "metadata": { - "id": "SAbu-iuGZg_3" - }, - "outputs": [], - "source": [ - "# Get embeddings\n", - "def embed_text(text):\n", - " output = co.embed(\n", - " model='embed-english-v3.0',\n", - " input_type=\"classification\",\n", - " texts=text)\n", - " return output.embeddings\n", - "\n", - "# Embed and prepare the inputs\n", - "X_train_emb = np.array(embed_text(X_train.tolist()))\n", - "X_test_emb = np.array(embed_text(X_test.tolist()))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Yqt3Nutm7Gk3" - }, - "source": [ - "## Get classifications via the SVM algorithm" - ] - }, - { - "cell_type": "code", - "execution_count": 95, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "-eS6_R6zZiuO", - "outputId": "a618bb06-7ca1-4b03-fd6f-0a769d1e44a9" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "SVC(class_weight='balanced')" - ] - }, - "execution_count": 95, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Import modules\n", - "from sklearn.svm import SVC\n", - "from sklearn import preprocessing\n", - "\n", - "# Prepare the labels\n", - "le = preprocessing.LabelEncoder()\n", - "le.fit(y_train)\n", - "y_train_le = le.transform(y_train)\n", - "y_test_le = le.transform(y_test)\n", - "\n", - "# Initialize the model\n", - "svm_classifier = SVC(class_weight='balanced')\n", - "\n", - "# Fit the training dataset to the model\n", - "svm_classifier.fit(X_train_emb, y_train_le)" - ] - }, - { - "cell_type": "code", - "execution_count": 96, - "metadata": { - "id": "uvY13RjmAXuX" - }, - "outputs": [], - "source": [ - "# Generate classification predictions on the test dataset\n", - "y_pred_le = svm_classifier.predict(X_test_emb)" - ] - }, - { - "cell_type": "code", - "execution_count": 97, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "0EwE3VDZem-q", - "outputId": "faafd49d-ca05-403a-ac02-9cb5d4a8c58b" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy: 91.50\n", - "F1-score: 91.01\n" - ] - } - ], - "source": [ - "# Compute metrics on the test dataset\n", - "accuracy = accuracy_score(y_test_le, y_pred_le)\n", - "f1 = f1_score(y_test_le, y_pred_le, average='weighted')\n", - "\n", - "print(f'Accuracy: {100*accuracy:.2f}')\n", - "print(f'F1-score: {100*f1:.2f}')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "vNHwmhIzr8hv" - }, - "source": [ - "# 3 - Finetuning a model" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DkNhE3Kkj4XT" - }, - "source": [ - "In this section, we build a custom model that’s finetuned to excel at a specific task, and potentially outperforming the previous two approaches we have seen." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "jpgdrMbuPrZP" - }, - "source": [ - "## Prepare dataset" - ] - }, - { - "cell_type": "code", - "execution_count": 94, - "metadata": { - "id": "o95wRbeimrXC" - }, - "outputs": [], - "source": [ - "# Download the training dataset for finetuning\n", - "df_train = pd.concat([X_train, y_train],axis=1)\n", - "df_train.to_csv(\"atis_finetune.csv\", index=False)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "3cIwcAUiRi5e" - }, - "source": [ - "## Create a finetuned model" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qnFRzY19nvrT" - }, - "source": [ - "Creating the finetune is done is the Playground. Refer to [this guide](https://docs.cohere.ai/finetuning-representation-models) for the finetuning steps." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DJDDq9kdCMvH" - }, - "source": [ - "## Get classifications via the Classify endpoint" - ] - }, - { - "cell_type": "code", - "execution_count": 98, - "metadata": { - "id": "Mq8sj5Fn0OuE" - }, - "outputs": [], - "source": [ - "# Generate classification predictions on the test dataset using the finetuned model\n", - "\n", - "# Classification function\n", - "def classify_text_finetune(texts, examples):\n", - " classifications = co.classify(\n", - " model='eeba7d8c-61bd-42cd-a6b5-e31db27403cc-ft', \n", - " inputs=texts,\n", - " examples=examples\n", - " )\n", - " return [c.predictions[0] for c in classifications]\n", - "\n", - "# Create batches of texts and classify them\n", - "BATCH_SIZE = 90 # The API accepts a maximum of 96 inputs\n", - "y_pred = []\n", - "for i in range(0, len(X_test), BATCH_SIZE):\n", - " batch_texts = X_test[i:i+BATCH_SIZE].tolist()\n", - " y_pred.extend(classify_text_finetune(batch_texts, examples))" - ] - }, - { - "cell_type": "code", - "execution_count": 100, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "qJ961ixH9kbf", - "outputId": "610b9ae4-3c62-4315-a572-ec1c81167eec" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy: 94.50\n", - "F1-score: 94.53\n" - ] - } - ], - "source": [ - "# Compute metrics on the test dataset\n", - "accuracy = accuracy_score(y_test, y_pred)\n", - "f1 = f1_score(y_test, y_pred, average='weighted')\n", - "\n", - "print(f'Accuracy: {100*accuracy:.2f}')\n", - "print(f'F1-score: {100*f1:.2f}')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "aUOq3WzGkd9g" - }, - "source": [ - "We have now seen how the different options compare performance-wise. And crucially, what’s important to note is the level of control that you have when working with the Classify endpoint." - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [], - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3.10.0 64-bit ('3.10.0')", - "language": "python", - "name": "python3" - }, - "language_info": { - "name": "python", - "version": "3.10.0" }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/Topic_Modeling_AI_Papers.ipynb b/notebooks/guides/Topic_Modeling_AI_Papers.ipynb index 996f2651..30f252a2 100644 --- a/notebooks/guides/Topic_Modeling_AI_Papers.ipynb +++ b/notebooks/guides/Topic_Modeling_AI_Papers.ipynb @@ -1,1041 +1,18 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "name": "topic_analysis_cohere.ipynb", - "provenance": [], - "collapsed_sections": [] - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, - "cells": [ - { - "cell_type": "markdown", - "source": [ - "Natural Language Processing (NLP) is a hot topic in machine learning. It involves analyzing and understanding text-based data. Clustering algorithms are quite popular in NLP. They group a set of unlabeled texts in such a way that texts in the same cluster are more like one another. Topic modeling is one application of clustering in NLP. It uses unsupervised learning to extract topics from a collection of documents. Other applications include automatic document organization and fast information retrieval or filtering.\n", - "\n", - "You'll learn how to use Cohere’s NLP tools to perform semantic search and clustering of AI Papers. This will help you discover trends in AI. You'll scrape the Journal of Artificial Intelligence Research. The output is a list of recently published AI papers. You’ll use Cohere’s Embed Endpoint to generate word embeddings using your list of AI papers. Finally, visualize the embeddings and proceed to build semantic search and topic modeling.\n" - ], - "metadata": { - "id": "pg7Ijuvmevh1" - } - }, - { - "cell_type": "markdown", - "source": [ - "# **Pre-Requisites**\n", - "To follow along with this tutorial, you need to be familiar with Python. Make sure you have python version 3.6+ installed in your development machine. You can also use Google Colab to try out the project in the cloud. Finally, you need to have a Cohere Account. If you haven’t signed up already, register for a New Cohere Account. All new accounts receive $75 free credits. You'll access a Pay-As-You-Go option after finishing your credits." - ], - "metadata": { - "id": "Ys6aG8lQe26f" - } - }, - { - "cell_type": "markdown", - "source": [ - "# **Getting Started**\n", - "\n", - "First, you need to install the python dependencies required to run the project. Use pip to install them using the command below" - ], - "metadata": { - "id": "9ttJuJ3ie8IB" - } - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "id": "kPEbdhO8cyoK" - }, - "outputs": [], - "source": [ - "pip install requests beautifulsoup4 cohere altair clean-text numpy pandas sklearn > /dev/null" - ] - }, - { - "cell_type": "markdown", - "source": [ - "Create a new python file named cohere_nlp.py. Write all your code in this file. import the dependencies and initialize Cohere’s client." - ], - "metadata": { - "id": "E4UrM8GofJDZ" - } - }, - { - "cell_type": "code", - "source": [ - "import cohere\n", - "\n", - "# Paste your API key here. Remember to not share it publicly \n", - "api_key = '= 2020:\n", - " archive_links.append({ 'year': year, 'link': link })" - ], - "metadata": { - "id": "pi2V0IHj8KO1" - }, - "execution_count": 21, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Finally, you’ll need to clean the titles of the AI papers gathered. Remove trailing white spaces and unwanted characters. Use the NTLK library to get English stop words and filter them out." - ], - "metadata": { - "id": "7Z0ERidh8MgB" - } - }, - { - "cell_type": "code", - "source": [ - "papers = []\n", - "for archive in archive_links:\n", - " page = requests.get(archive['link'])\n", - " soup = BeautifulSoup(page.content, \"html.parser\")\n", - " links = soup.select('h3.media-heading a')\n", - " for link in links:\n", - " # clean the title\n", - " title = clean(text=link.text,\n", - " fix_unicode=True,\n", - " to_ascii=True,\n", - " lower=True,\n", - " no_line_breaks=False,\n", - " no_urls=False,\n", - " no_emails=False,\n", - " no_phone_numbers=False,\n", - " no_numbers=False,\n", - " no_digits=False,\n", - " no_currency_symbols=False,\n", - " no_punct=False,\n", - " replace_with_punct=\"\",\n", - " replace_with_url=\"This is a URL\",\n", - " replace_with_email=\"Email\",\n", - " replace_with_phone_number=\"\",\n", - " replace_with_number=\"123\",\n", - " replace_with_digit=\"0\",\n", - " replace_with_currency_symbol=\"$\",\n", - " lang=\"en\")\n", - " papers.append({ 'year': archive['year'], 'title': title, 'link': link.get('href') })\n" - ], - "metadata": { - "id": "amXDh9vu8RWK" - }, - "execution_count": 22, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "The dataset created using this process has 258 AI papers published between 2020 and 2022. Use pandas library to create a data frame to hold our text data. " - ], - "metadata": { - "id": "Olu8lEk58Tss" - } - }, - { - "cell_type": "code", - "source": [ - "df = pd.DataFrame(papers)\n", - "print(len(df))" - ], - "metadata": { - "id": "aEaB5NM98Uei", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "284c1f6a-c61d-470b-da0a-1518511c55fc" - }, - "execution_count": 23, - "outputs": [ + "cells": [ { - "output_type": "stream", - "name": "stdout", - "text": [ - "260\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "# Create and Visualize Word Embeddings\n", - "Word embedding is a technique for learning the representation of words. Words that have same meanings have similar representation. You can use these embeddings to:\n", - "\n", - "•\tcluster large amounts of text\n", - "•\tmatch a query with other similar sentences\n", - "•\tperform classification tasks like sentiment classification\n", - "\n", - "Cohere’s platform provides an Embed Endpoint that returns text embeddings. An embedding is a list of floating-point numbers. They capture the semantic meaning of the represented text. Models used to create these embeddings are available in 3 sizes: small, medium, and large. Small models are faster while large models offer better performance.\n", - "\n", - "Write a function to create the word embeddings using Cohere. The function should read as follows:\n" - ], - "metadata": { - "id": "HIRDxaEH8YBR" - } - }, - { - "cell_type": "code", - "source": [ - "# Get text embeddings\n", - "def get_embeddings(text,model='medium'):\n", - " output = co.embed(\n", - " model=model,\n", - " texts=[text])\n", - " return output.embeddings[0]" - ], - "metadata": { - "id": "8i9wuRwM8aUK" - }, - "execution_count": 24, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Create a new column in your pandas data frame to hold the embeddings created." - ], - "metadata": { - "id": "JIZglZ3X8dzM" - } - }, - { - "cell_type": "code", - "source": [ - "df['title_embeds'] = df['title'].apply(get_embeddings)" - ], - "metadata": { - "id": "BlO5n2lB8dQL" - }, - "execution_count": 25, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Congratulations! You have created the word embeddings . Now, you will proceed to visualize the embeddings using a scatter plot. First, you need to reduce the dimensions of the word embeddings. You’ll use the Principal Component Analysis (PCA) method to achieve this task. Import the necessary packages and create a function to return the principle components." - ], - "metadata": { - "id": "rW9GOA4q8ia2" - } - }, - { - "cell_type": "code", - "source": [ - "# Reduce dimensionality using PCA\n", - "from sklearn.decomposition import PCA\n", - "\n", - "# Function to return the principal components\n", - "def get_pc(arr,n):\n", - " pca = PCA(n_components=n)\n", - " embeds_transform = pca.fit_transform(arr)\n", - " return embeds_transform" - ], - "metadata": { - "id": "sCvVsGaG8lEo" - }, - "execution_count": 26, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Next, create a function to generate a scatter plot chart. You’ll use the altair library to create the charts." - ], - "metadata": { - "id": "fiuzN8b08o5r" - } - }, - { - "cell_type": "code", - "source": [ - "import altair as alt\n", - "# Function to generate the 2D plot\n", - "def generate_chart(df,xcol,ycol,lbl='off',color='basic',title=''):\n", - " chart = alt.Chart(df).mark_circle(size=500).encode(\n", - " x= alt.X(xcol,\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - "\n", - " y= alt.Y(ycol,\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " \n", - " color= alt.value('#333293') if color == 'basic' else color,\n", - " tooltip=['title']\n", - " )\n", - "\n", - " if lbl == 'on':\n", - " text = chart.mark_text(align='left', baseline='middle',dx=15, size=13,color='black').encode(text='title', color= alt.value('black'))\n", - " else:\n", - " text = chart.mark_text(align='left', baseline='middle',dx=10).encode()\n", - "\n", - " result = (chart + text).configure(background=\"#FDF7F0\"\n", - " ).properties(\n", - " width=800,\n", - " height=500,\n", - " title=title\n", - " ).configure_legend(\n", - " orient='bottom', titleFontSize=18,labelFontSize=18)\n", - " \n", - " return result\n" - ], - "metadata": { - "id": "ID-yXSvP8tx8" - }, - "execution_count": 27, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Finally, use the embeddings with reduced dimensionality to create a scatter plot. " - ], - "metadata": { - "id": "AsloYKwG8xKp" - } - }, - { - "cell_type": "code", - "source": [ - "sample = 200\n", - "# Reduce embeddings to 2 principal components to aid visualization\n", - "embeds = np.array(df['title_embeds'].tolist())\n", - "embeds_pc2 = get_pc(embeds,2)\n", - "# Add the principal components to dataframe\n", - "df_pc2 = pd.concat([df, pd.DataFrame(embeds_pc2)], axis=1)\n", - "\n", - "# Plot the 2D embeddings on a chart\n", - "df_pc2.columns = df_pc2.columns.astype(str)\n", - "print(df_pc2.iloc[:sample])\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "OKNJj44c8zER", - "outputId": "2f6e1e5c-4874-48c6-edfa-ebd87af92a99" - }, - "execution_count": 28, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - " year title \\\n", - "0 2022 metric-distortion bounds under limited informa... \n", - "1 2022 recursion in abstract argumentation is hard --... \n", - "2 2022 crossing the conversational chasm: a primer on... \n", - "3 2022 hebo: pushing the limits of sample-efficient h... \n", - "4 2022 learning bayesian networks under sparsity cons... \n", - ".. ... ... \n", - "195 2020 using machine learning for decreasing state un... \n", - "196 2020 mapping the landscape of artificial intelligen... \n", - "197 2020 contrasting the spread of misinformation in on... \n", - "198 2020 to regulate or not: a social dynamics analysis... \n", - "199 2020 qualitative numeric planning: reductions and c... \n", - "\n", - " link \\\n", - "0 https://www.jair.org/index.php/jair/article/vi... \n", - "1 https://www.jair.org/index.php/jair/article/vi... \n", - "2 https://www.jair.org/index.php/jair/article/vi... \n", - "3 https://www.jair.org/index.php/jair/article/vi... \n", - "4 https://www.jair.org/index.php/jair/article/vi... \n", - ".. ... \n", - "195 https://www.jair.org/index.php/jair/article/vi... \n", - "196 https://www.jair.org/index.php/jair/article/vi... \n", - "197 https://www.jair.org/index.php/jair/article/vi... \n", - "198 https://www.jair.org/index.php/jair/article/vi... \n", - "199 https://www.jair.org/index.php/jair/article/vi... \n", - "\n", - " title_embeds 0 1 \n", - "0 [-0.7879443, 0.14064652, -1.1886923, 1.0581255... 3.773588 13.307009 \n", - "1 [0.37486058, 2.2867563, 0.48023587, -1.3632454... 13.585676 8.620154 \n", - "2 [-0.7070194, -0.5557753, 2.6077378, 0.11462678... 17.785715 -8.959141 \n", - "3 [-0.19081053, 0.05036301, -0.48858774, 0.66812... -0.499191 -5.828358 \n", - "4 [0.84096915, -1.0650194, -0.8836163, -1.631231... -1.372444 -5.549065 \n", - ".. ... ... ... \n", - "195 [-1.4959816, 0.8587867, 1.1109167, -0.9420541,... -12.141110 -21.291473 \n", - "196 [-1.7567614, 0.12333965, -0.41682896, 0.820096... 9.577528 -8.201695 \n", - "197 [-0.25555933, -1.6548307, -1.1497015, -1.00241... 4.017263 16.588557 \n", - "198 [-1.1415248, 0.9333024, 0.18291989, 2.2976398,... -2.903703 6.331687 \n", - "199 [-0.8152119, 0.7301979, -1.6634299, -0.395152,... -12.204317 -11.396169 \n", - "\n", - "[200 rows x 6 columns]\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Here’s a chart demonstrating the word embeddings for AI papers. It is important to note that the chart represents a sample size of 200 papers." - ], - "metadata": { - "id": "Itv0HJS882tZ" - } - }, - { - "cell_type": "code", - "source": [ - "generate_chart(df_pc2.iloc[:sample],'0','1',title='2D Embeddings')" - ], - "metadata": { - "id": "AuXyb2zZ87E-", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 563 - }, - "outputId": "49719d45-ce36-40af-b946-cfe095890798" - }, - "execution_count": 29, - "outputs": [ - { - "output_type": "execute_result", - "data": { - "text/html": [ - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Topic_Modeling_AI_Papers.ipynb." ] - }, - "metadata": {}, - "execution_count": 29 - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "# Semantic Search\n", - "Data searching techniques focus on using keywords to retrieve text-based information. You can take this a level higher. Use search queries to determine the intent and contextual meaning. In this section, you’ll use Cohere to create embeddings for the search query. Use the embeddings to get the similarity with your dataset’s embeddings. The output is a list of similar AI papers.\n", - "\n", - "First, create a function to get similarities between two embeddings. This will use the cosine similarity algorithm from the sci-kit learn library.\n" - ], - "metadata": { - "id": "S9Hptzi-9D5S" - } - }, - { - "cell_type": "code", - "source": [ - "from sklearn.metrics.pairwise import cosine_similarity\n", - "\n", - "def get_similarity(target,candidates):\n", - " # Turn list into array\n", - " candidates = np.array(candidates)\n", - " target = np.expand_dims(np.array(target),axis=0)\n", - "\n", - " # Calculate cosine similarity\n", - " sim = cosine_similarity(target,candidates)\n", - " sim = np.squeeze(sim).tolist()\n", - " sort_index = np.argsort(sim)[::-1]\n", - " sort_score = [sim[i] for i in sort_index]\n", - " similarity_scores = zip(sort_index,sort_score)\n", - "\n", - " # Return similarity scores\n", - " return similarity_scores\n" - ], - "metadata": { - "id": "I2d0iIHG9Foa" - }, - "execution_count": 30, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Next, create embeddings for the search query" - ], - "metadata": { - "id": "i9-T7Bqk9JZh" - } - }, - { - "cell_type": "code", - "source": [ - "# Add new query\n", - "new_query = \"graph network strategies\"\n", - "\n", - "# Get embeddings of the new query\n", - "new_query_embeds = get_embeddings(new_query)\n" - ], - "metadata": { - "id": "04cMIoKr9Lrx" - }, - "execution_count": 31, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Finally, check the similarity between the two embeddings. Display the top 10 similar papers using your result" - ], - "metadata": { - "id": "zYFa6ND49PFO" - } - }, - { - "cell_type": "code", - "source": [ - "# Get the similarity between the search query and existing queries\n", - "similarity = get_similarity(new_query_embeds,embeds[:sample])\n", - "\n", - "# View the top 5 articles\n", - "print('Query:')\n", - "print(new_query,'\\n')\n", - "\n", - "print('Similar queries:')\n", - "for idx,sim in similarity:\n", - " print(f'Similarity: {sim:.2f};',df.iloc[idx]['title'])\n" - ], - "metadata": { - "id": "wyL8BjNX9Rra", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "a797e9ba-80e8-4965-8249-1aeba055864f" - }, - "execution_count": 32, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Query:\n", - "graph network strategies \n", - "\n", - "Similar queries:\n", - "Similarity: 0.49; amp chain graphs: minimal separators and structure learning algorithms\n", - "Similarity: 0.46; pure nash equilibria in resource graph games\n", - "Similarity: 0.44; general value function networks\n", - "Similarity: 0.42; on the online coalition structure generation problem\n", - "Similarity: 0.42; efficient local search based on dynamic connectivity maintenance for minimum connected dominating set\n", - "Similarity: 0.42; graph kernels: a survey\n", - "Similarity: 0.39; rwne: a scalable random-walk based network embedding framework with personalized higher-order proximity preserved\n", - "Similarity: 0.39; the petlon algorithm to plan efficiently for task-level-optimal navigation\n", - "Similarity: 0.38; election manipulation on social networks: seeding, edge removal, edge addition\n", - "Similarity: 0.38; a semi-exact algorithm for quickly computing a maximum weight clique in large sparse graphs\n", - "Similarity: 0.37; probabilistic temporal networks with ordinary distributions: theory, robustness and expected utility\n", - "Similarity: 0.36; adaptive greedy versus non-adaptive greedy for influence maximization\n", - "Similarity: 0.35; classifier chains: a review and perspectives\n", - "Similarity: 0.35; learning bayesian networks under sparsity constraints: a parameterized complexity analysis\n", - "Similarity: 0.34; optimally deceiving a learning leader in stackelberg games\n", - "Similarity: 0.34; planning high-level paths in hostile, dynamic, and uncertain environments\n", - "Similarity: 0.34; computational complexity of computing symmetries in finite-domain planning\n", - "Similarity: 0.33; on the indecisiveness of kelly-strategyproof social choice functions\n", - "Similarity: 0.33; qualitative numeric planning: reductions and complexity\n", - "Similarity: 0.33; intelligence in strategic games\n", - "Similarity: 0.33; steady-state planning in expected reward multichain mdps\n", - "Similarity: 0.32; hybrid-order network consensus for distributed multi-agent systems\n", - "Similarity: 0.32; evaluating strategic structures in multi-agent inverse reinforcement learning\n", - "Similarity: 0.32; multi-agent advisor q-learning\n", - "Similarity: 0.32; fairness in influence maximization through randomization\n", - "Similarity: 0.32; a sufficient statistic for influence in structured multiagent environments\n", - "Similarity: 0.32; constraint and satisfiability reasoning for graph coloring\n", - "Similarity: 0.31; sum-of-products with default values: algorithms and complexity results\n", - "Similarity: 0.31; contrastive explanations of plans through model restrictions\n", - "Similarity: 0.31; zone path construction (zac) based approaches for effective real-time ridesharing\n", - "Similarity: 0.31; an external knowledge enhanced graph-based neural network for sentence ordering\n", - "Similarity: 0.31; finding and recognizing popular coalition structures\n", - "Similarity: 0.30; constraint-based diversification of jop gadgets\n", - "Similarity: 0.30; improved high dimensional discrete bayesian network inference using triplet region construction\n", - "Similarity: 0.30; migrating techniques from search-based multi-agent path finding solvers to sat-based approach\n", - "Similarity: 0.30; proactive dynamic distributed constraint optimization problems\n", - "Similarity: 0.30; safe multi-agent pathfinding with time uncertainty\n", - "Similarity: 0.30; a logic-based explanation generation framework for classical and hybrid planning problems\n", - "Similarity: 0.30; scalable online planning for multi-agent mdps\n", - "Similarity: 0.30; on sparse discretization for graphical games\n", - "Similarity: 0.30; fond planning with explicit fairness assumptions\n", - "Similarity: 0.29; jointly learning environments and control policies with projected stochastic gradient ascent\n", - "Similarity: 0.29; computational benefits of intermediate rewards for goal-reaching policy learning\n", - "Similarity: 0.29; two-phase multi-document event summarization on core event graphs\n", - "Similarity: 0.29; efficient large-scale multi-drone delivery using transit networks\n", - "Similarity: 0.29; fast adaptive non-monotone submodular maximization subject to a knapsack constraint\n", - "Similarity: 0.29; path counting for grid-based navigation\n", - "Similarity: 0.29; preferences single-peaked on a tree: multiwinner elections and structural results\n", - "Similarity: 0.29; merge-and-shrink: a compositional theory of transformations of factored transition systems\n", - "Similarity: 0.29; lilotane: a lifted sat-based approach to hierarchical planning\n", - "Similarity: 0.29; cost-optimal planning, delete relaxation, approximability, and heuristics\n", - "Similarity: 0.29; learning over no-preferred and preferred sequence of items for robust recommendation\n", - "Similarity: 0.28; a few queries go a long way: information-distortion tradeoffs in matching\n", - "Similarity: 0.28; constrained multiagent markov decision processes: a taxonomy of problems and algorithms\n", - "Similarity: 0.28; contiguous cake cutting: hardness results and approximation algorithms\n", - "Similarity: 0.28; online relaxation refinement for satisficing planning: on partial delete relaxation, complete hill-climbing, and novelty pruning\n", - "Similarity: 0.28; playing codenames with language graphs and word embeddings\n", - "Similarity: 0.28; a theoretical perspective on hyperdimensional computing\n", - "Similarity: 0.28; reasoning with pcp-nets\n", - "Similarity: 0.28; improving the effectiveness and efficiency of stochastic neighbour embedding with isolation kernel\n", - "Similarity: 0.27; teaching people by justifying tree search decisions: an empirical study in curling\n", - "Similarity: 0.27; learning optimal decision sets and lists with sat\n", - "Similarity: 0.27; efficient multi-objective reinforcement learning via multiple-gradient descent with iteratively discovered weight-vector sets\n", - "Similarity: 0.27; liquid democracy: an algorithmic perspective\n", - "Similarity: 0.27; contrasting the spread of misinformation in online social networks\n", - "Similarity: 0.27; on the computational complexity of non-dictatorial aggregation\n", - "Similarity: 0.27; planning with critical section macros: theory and practice\n", - "Similarity: 0.27; regarding goal bounding and jump point search\n", - "Similarity: 0.27; using machine learning for decreasing state uncertainty in planning\n", - "Similarity: 0.26; strategyproof mechanisms for additively separable and fractional hedonic games\n", - "Similarity: 0.26; ranking sets of objects: the complexity of avoiding impossibility results\n", - "Similarity: 0.26; socially responsible ai algorithms: issues, purposes, and challenges\n", - "Similarity: 0.26; inductive logic programming at 30: a new introduction\n", - "Similarity: 0.26; to regulate or not: a social dynamics analysis of an idealised ai race\n", - "Similarity: 0.25; learning temporal causal sequence relationships from real-time time-series\n", - "Similarity: 0.25; integrated offline and online decision making under uncertainty\n", - "Similarity: 0.25; the computational complexity of understanding binary classifier decisions\n", - "Similarity: 0.25; a survey of opponent modeling in adversarial domains\n", - "Similarity: 0.25; cooperation and learning dynamics under wealth inequality and diversity in individual risk\n", - "Similarity: 0.25; sunny-as2: enhancing sunny for algorithm selection\n", - "Similarity: 0.25; game plan: what ai can do for football, and what football can do for ai\n", - "Similarity: 0.25; approximating perfect recall when model checking strategic abilities: theory and applications\n", - "Similarity: 0.25; efficient retrieval of matrix factorization-based top-k recommendations: a survey of recent approaches\n", - "Similarity: 0.25; evolutionary dynamics and phi-regret minimization in games\n", - "Similarity: 0.24; labeled bipolar argumentation frameworks\n", - "Similarity: 0.24; optimal any-angle pathfinding on a sphere\n", - "Similarity: 0.24; learning from disagreement: a survey\n", - "Similarity: 0.24; on the cluster admission problem for cloud computing\n", - "Similarity: 0.24; aggregation over metric spaces: proposing and voting in elections, budgeting, and legislation\n", - "Similarity: 0.24; ordinal maximin share approximation for goods\n", - "Similarity: 0.24; constraint solving approaches to the business-to-business meeting scheduling problem\n", - "Similarity: 0.24; objective bayesian nets for integrating consistent datasets\n", - "Similarity: 0.24; quantum mathematics in artificial intelligence\n", - "Similarity: 0.24; task-aware verifiable rnn-based policies for partially observable markov decision processes\n", - "Similarity: 0.23; samba: a generic framework for secure federated multi-armed bandits\n", - "Similarity: 0.23; automated reinforcement learning (autorl): a survey and open problems\n", - "Similarity: 0.23; generic constraint-based block modeling using constraint programming\n", - "Similarity: 0.23; a comprehensive framework for learning declarative action models\n", - "Similarity: 0.23; optimizing for interpretability in deep neural networks with tree regularization\n", - "Similarity: 0.22; impact of imputation strategies on fairness in machine learning\n", - "Similarity: 0.22; set-to-sequence methods in machine learning: a review\n", - "Similarity: 0.22; the ai liability puzzle and a fund-based work-around\n", - "Similarity: 0.22; agent-based markov modeling for improved covid-19 mitigation policies\n", - "Similarity: 0.22; the parameterized complexity of motion planning for snake-like robots\n", - "Similarity: 0.22; induction and exploitation of subgoal automata for reinforcement learning\n", - "Similarity: 0.22; point at the triple: generation of text summaries from knowledge base triples\n", - "Similarity: 0.22; trends in integration of vision and language research: a survey of tasks, datasets, and methods\n", - "Similarity: 0.21; multi-document summarization with determinantal point process attention\n", - "Similarity: 0.21; reward machines: exploiting reward function structure in reinforcement learning\n", - "Similarity: 0.21; computing bayes-nash equilibria in combinatorial auctions with verification\n", - "Similarity: 0.21; taking principles seriously: a hybrid approach to value alignment in artificial intelligence\n", - "Similarity: 0.21; two-facility location games with minimum distance requirement\n", - "Similarity: 0.21; structure from randomness in halfspace learning with the zero-one loss\n", - "Similarity: 0.21; avoiding negative side effects of autonomous systems in the open world\n", - "Similarity: 0.21; learning realistic patterns from visually unrealistic stimuli: generalization and data anonymization\n", - "Similarity: 0.21; properties of switch-list representations of boolean functions\n", - "Similarity: 0.21; conceptual modeling of explainable recommender systems: an ontological formalization to guide their design and development\n", - "Similarity: 0.21; predicting decisions in language based persuasion games\n", - "Similarity: 0.20; epidemioptim: a toolbox for the optimization of control policies in epidemiological models\n", - "Similarity: 0.20; ffci: a framework for interpretable automatic evaluation of summarization\n", - "Similarity: 0.20; analysis of the impact of randomization of search-control parameters in monte-carlo tree search\n", - "Similarity: 0.20; output space entropy search framework for multi-objective bayesian optimization\n", - "Similarity: 0.20; multiobjective tree-structured parzen estimator\n", - "Similarity: 0.19; on the decomposition of abstract dialectical frameworks and the complexity of naive-based semantics\n", - "Similarity: 0.19; hebo: pushing the limits of sample-efficient hyper-parameter optimisation\n", - "Similarity: 0.19; superintelligence cannot be contained: lessons from computability theory\n", - "Similarity: 0.19; goal recognition for deceptive human agents through planning and gaze\n", - "Similarity: 0.19; dimensional inconsistency measures and postulates in spatio-temporal databases\n", - "Similarity: 0.19; explainable deep learning: a field guide for the uninitiated\n", - "Similarity: 0.19; multi-label classification neural networks with hard logical constraints\n", - "Similarity: 0.19; declarative algorithms and complexity results for assumption-based argumentation\n", - "Similarity: 0.19; worst-case bounds on power vs. proportion in weighted voting games with an application to false-name manipulation\n", - "Similarity: 0.19; collie: continual learning of language grounding from language-image embeddings\n", - "Similarity: 0.18; a word selection method for producing interpretable distributional semantic word vectors\n", - "Similarity: 0.18; the bottleneck simulator: a model-based deep reinforcement learning approach\n", - "Similarity: 0.18; metric-distortion bounds under limited information\n", - "Similarity: 0.18; neural natural language generation: a survey on multilinguality, multimodality, controllability and learning\n", - "Similarity: 0.18; on the evolvability of monotone conjunctions with an evolutionary mutation mechanism\n", - "Similarity: 0.18; a metric space for point process excitations\n", - "Similarity: 0.18; autotelic agents with intrinsically motivated goal-conditioned reinforcement learning: a short survey\n", - "Similarity: 0.18; the application of machine learning techniques for predicting match results in team sport: a review\n", - "Similarity: 0.18; incremental event calculus for run-time reasoning\n", - "Similarity: 0.18; a survey on the explainability of supervised machine learning\n", - "Similarity: 0.18; madras : multi agent driving simulator\n", - "Similarity: 0.17; maximin share allocations on cycles\n", - "Similarity: 0.17; the rediscovery hypothesis: language models need to meet linguistics\n", - "Similarity: 0.17; some inapproximability results of map inference and exponentiated determinantal point processes\n", - "Similarity: 0.17; visually grounded models of spoken language: a survey of datasets, architectures and evaluation techniques\n", - "Similarity: 0.17; the complexity landscape of outcome determination in judgment aggregation\n", - "Similarity: 0.17; survey and evaluation of causal discovery methods for time series\n", - "Similarity: 0.17; agent-based modeling for predicting pedestrian trajectories around an autonomous vehicle\n", - "Similarity: 0.16; rethinking fairness: an interdisciplinary survey of critiques of hegemonic ml fairness approaches\n", - "Similarity: 0.16; mapping the landscape of artificial intelligence applications against covid-19\n", - "Similarity: 0.16; crossing the conversational chasm: a primer on natural language processing for multilingual task-oriented dialogue systems\n", - "Similarity: 0.16; neural machine translation: a review\n", - "Similarity: 0.16; viewpoint: ethical by designer - how to grow ethical designers of artificial intelligence\n", - "Similarity: 0.16; core challenges in embodied vision-language planning\n", - "Similarity: 0.16; neural character-level syntactic parsing for chinese\n", - "Similarity: 0.16; marginal distance and hilbert-schmidt covariances-based independence tests for multivariate functional data\n", - "Similarity: 0.16; instance-level update in dl-lite ontologies through first-order rewriting\n", - "Similarity: 0.16; the societal implications of deep reinforcement learning\n", - "Similarity: 0.16; benchmark and survey of automated machine learning frameworks\n", - "Similarity: 0.16; experimental comparison and survey of twelve time series anomaly detection algorithms\n", - "Similarity: 0.15; annotator rationales for labeling tasks in crowdsourcing\n", - "Similarity: 0.15; representative committees of peers\n", - "Similarity: 0.15; fine-grained prediction of political leaning on social media with unsupervised deep learning\n", - "Similarity: 0.15; out of context: a new clue for context modeling of aspect-based sentiment analysis\n", - "Similarity: 0.15; loss functions, axioms, and peer review\n", - "Similarity: 0.15; on the tractability of shap explanations\n", - "Similarity: 0.15; doubly robust crowdsourcing\n", - "Similarity: 0.15; adversarial framework with certified robustness for time-series domain via statistical features\n", - "Similarity: 0.14; on quantifying literals in boolean logic and its applications to explainable ai\n", - "Similarity: 0.14; bribery and control in stable marriage\n", - "Similarity: 0.14; finding the hardest formulas for resolution\n", - "Similarity: 0.14; supervised visual attention for simultaneous multimodal machine translation\n", - "Similarity: 0.14; a tight bound for stochastic submodular cover\n", - "Similarity: 0.14; ethics and governance of artificial intelligence: evidence from a survey of machine learning researchers\n", - "Similarity: 0.13; flexible bayesian nonlinear model configuration\n", - "Similarity: 0.13; multilingual machine translation: deep analysis of language-specific encoder-decoders\n", - "Similarity: 0.13; multilabel classification with partial abstention: bayes-optimal prediction under label independence\n", - "Similarity: 0.13; viewpoint: ai as author bridging the gap between machine learning and literary theory\n", - "Similarity: 0.13; confident learning: estimating uncertainty in dataset labels\n", - "Similarity: 0.13; belief change and 3-valued logics: characterization of 19,683 belief change operators\n", - "Similarity: 0.13; get out of the bag! silos in ai ethics education: unsupervised topic modeling analysis of global ai curricula\n", - "Similarity: 0.12; on the distortion value of elections with abstention\n", - "Similarity: 0.12; measuring the occupational impact of ai: tasks, cognitive abilities and ai benchmarks\n", - "Similarity: 0.11; fair division of indivisible goods for a class of concave valuations\n", - "Similarity: 0.10; admissibility in probabilistic argumentation\n", - "Similarity: 0.10; recursion in abstract argumentation is hard --- on the complexity of semantics based on weak admissibility\n", - "Similarity: 0.10; weighted first-order model counting in the two-variable fragment with counting quantifiers\n", - "Similarity: 0.10; incompatibilities between iterated and relevance-sensitive belief revision\n", - "Similarity: 0.10; automatic recognition of the general-purpose communicative functions defined by the iso 24617-2 standard for dialog act annotation\n", - "Similarity: 0.09; nlp methods for extraction of symptoms from unstructured data for use in prognostic covid-19 analytic models\n", - "Similarity: 0.09; welfare guarantees in schelling segregation\n", - "Similarity: 0.09; casa: conversational aspect sentiment analysis for dialogue understanding\n", - "Similarity: 0.09; a survey of algorithms for black-box safety validation of cyber-physical systems\n", - "Similarity: 0.07; relevance in belief update\n", - "Similarity: 0.06; on super strong eth\n", - "Similarity: 0.06; image captioning as an assistive technology: lessons learned from vizwiz 2020 challenge\n", - "Similarity: 0.03; confronting abusive language online: a survey from the ethical and human rights perspective\n" - ] } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Visualizing semantic search: https://github.com/cohere-ai/notebooks/blob/main/notebooks/Visualizing_Text_Embeddings.ipynb" - ], - "metadata": { - "id": "XB77L3F6VbSS" - } - }, - { - "cell_type": "markdown", - "source": [ - "# Text Clustering\n", - "Clustering is a process of grouping similar documents into clusters. It allows you to organize many documents into a smaller number of groups. As a result, you can discover emerging patterns in the documents. In this section, you will use the k-Means clustering algorithm to identify the top 5 clusters. \n", - "\n", - "First, import the k-means algorithm from the scikit-learn package. Then configure two variables: the number of clusters and a duplicate dataset.\n" - ], - "metadata": { - "id": "AGqwxkNj9UkQ" - } - }, - { - "cell_type": "code", - "source": [ - "from sklearn.cluster import KMeans\n", - "\n", - "# Pick the number of clusters\n", - "df_clust = df_pc2.copy()\n", - "n_clusters=5\n" - ], - "metadata": { - "id": "LNSBvjOF9YOl" - }, - "execution_count": 33, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "Next, initialize the k-means model and use it to fit the embeddings to create the clusters." - ], - "metadata": { - "id": "Z1DyCJWW9dgG" - } - }, - { - "cell_type": "code", - "source": [ - "# Cluster the embeddings\n", - "kmeans_model = KMeans(n_clusters=n_clusters, random_state=0)\n", - "classes = kmeans_model.fit_predict(embeds).tolist()\n", - "print(classes)\n", - "df_clust['cluster'] = (list(map(str,classes)))\n" - ], - "metadata": { - "id": "sgZeKnRd9gBg", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "e6dbcdd7-1ef8-493b-c008-782a1a05f072" - }, - "execution_count": 34, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "[2, 0, 3, 4, 4, 3, 1, 1, 0, 3, 0, 2, 0, 1, 1, 0, 0, 2, 0, 1, 3, 2, 1, 3, 0, 2, 2, 0, 2, 1, 1, 2, 2, 1, 0, 1, 1, 1, 2, 2, 2, 4, 3, 3, 3, 3, 2, 1, 2, 4, 3, 0, 2, 0, 1, 1, 0, 4, 0, 2, 2, 3, 1, 2, 4, 1, 2, 1, 4, 0, 3, 3, 4, 2, 0, 2, 2, 2, 0, 0, 0, 4, 1, 4, 1, 2, 0, 4, 1, 1, 4, 1, 4, 1, 4, 1, 0, 0, 4, 2, 4, 3, 4, 3, 2, 0, 2, 1, 1, 4, 2, 4, 2, 2, 0, 3, 1, 3, 2, 3, 1, 2, 0, 4, 4, 1, 0, 0, 4, 1, 1, 2, 2, 1, 2, 3, 0, 0, 1, 1, 1, 0, 4, 1, 4, 2, 4, 2, 4, 3, 2, 0, 1, 4, 1, 1, 2, 2, 0, 1, 1, 1, 1, 1, 1, 2, 2, 0, 2, 0, 4, 2, 4, 2, 1, 0, 3, 0, 1, 0, 2, 2, 1, 4, 1, 3, 4, 1, 0, 2, 1, 2, 0, 2, 4, 1, 4, 2, 2, 1, 0, 0, 1, 0, 2, 1, 0, 4, 1, 4, 0, 2, 1, 4, 1, 3, 2, 4, 2, 0, 1, 0, 3, 0, 2, 4, 1, 1, 3, 2, 3, 1, 3, 4, 2, 2, 0, 1, 1, 1, 4, 1, 0, 4, 3, 2, 2, 2, 2, 0, 1, 3, 1, 3, 4, 2, 4, 2, 1, 3]\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Finally, plot a scatter plot to visualize the 5 clusters in our sample size." - ], - "metadata": { - "id": "psSjcrir9h_n" - } - }, - { - "cell_type": "markdown", - "source": [ - "" - ], - "metadata": { - "id": "KbEtm_ry9qq7" - } - }, - { - "cell_type": "code", - "source": [ - "# Plot on a chart\n", - "df_clust.columns = df_clust.columns.astype(str)\n", - "generate_chart(df_clust.iloc[:sample],'0','1',lbl='off',color='cluster',title='Clustering with 5 Clusters')" - ], - "metadata": { - "id": "QMyPgaTz9kkN", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 622 - }, - "outputId": "41e378e5-4693-4e25-e50c-ac5b8951fbc3" - }, - "execution_count": 35, - "outputs": [ - { - "output_type": "execute_result", - "data": { - "text/html": [ - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" - ] - }, - "metadata": {}, - "execution_count": 35 + ], + "metadata": { + "language_info": { + "name": "python" } - ] }, - { - "cell_type": "markdown", - "source": [ - "# Conclusion\n", - "Let's recap the NLP tasks implemented in this tutorial. You’ve created word embeddings, perform a semantic search, and text clustering. Cohere’s platform provides NLP tools that are easy and intuitive to integrate. You can create digital experiences that support powerful NLP capabilities like text clustering. It’s easy to Register a Cohere account and gain access to an API key. New cohere accounts have $75 free credits for the first 3 months. It also offers a Pay-as-you-go Pricing Model that bills you upon usage.\n" - ], - "metadata": { - "id": "3uu-Rt0O9pFb" - } - } - ] + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/guides/Wikipedia_search_demo_cohere_weaviate.ipynb b/notebooks/guides/Wikipedia_search_demo_cohere_weaviate.ipynb index f7526361..85c4941f 100644 --- a/notebooks/guides/Wikipedia_search_demo_cohere_weaviate.ipynb +++ b/notebooks/guides/Wikipedia_search_demo_cohere_weaviate.ipynb @@ -1,256 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "342942fd-79e2-4fa7-bafe-4896d8b578a1", - "metadata": {}, - "source": [ - "# Wikipedia Semantic Search with Cohere + Weaviate\n", - "This is starter code that you can use to search 10 million vectors from wikipedia embedded with Cohere's multilingual model and hosted as a Weaviate public dataset. This dataset contains 1M vectors in each of the Wikipedia sites in these languages: English, German, French, Spanish, Italian, Japanese, Arabic, Chinese (Simplified), Korean, Hindi \\[respective language codes: `en, de, fr, es, it, ja, ar, zh, ko, hi`\\]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "43debcc4-eb17-4bc7-8210-96a0905eec1a", - "metadata": {}, - "outputs": [], - "source": [ - "# TODO: upgrade to \"cohere>5\"", -"!pip install \"cohere<5\" weaviate-client" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "8f840bfe-d592-414e-90ce-a04dfc3cd87e", - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import weaviate\n", - "\n", - "# Add your Cohere API key here\n", - "cohere_api_key = ''\n", - "\n", - "# Connect to the Weaviate demo databse containing 10M wikipedia vectors\n", - "# This uses a public READ-ONLY Weaviate API key\n", - "auth_config = weaviate.auth.AuthApiKey(api_key=\"76320a90-53d8-42bc-b41d-678647c6672e\") \n", - "client = weaviate.Client(\n", - " url=\"https://cohere-demo.weaviate.network/\",\n", - " auth_client_secret=auth_config,\n", - " additional_headers={\n", - " \"X-Cohere-Api-Key\": cohere_api_key,\n", - " }\n", - ")\n", - "\n", - "client.is_ready() #check if True" - ] - }, - { - "cell_type": "markdown", - "id": "da70e927-3c9a-4a9f-8d8c-2778b2ab42b4", - "metadata": {}, - "source": [ - "Let's now define the search function that queries our vector database. Optionally, we want the ability to filter by language." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "3987c132-06ca-4ee4-a1a9-c6ac04fd7f09", - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "def semantic_serch(query, results_lang=''):\n", - " \"\"\" \n", - " Query the vectors database and return the top results. \n", - "\n", - "\n", - " Parameters\n", - " ----------\n", - " query: str\n", - " The search query\n", - " \n", - " results_lang: str (optional)\n", - " Retrieve results only in the specified language.\n", - " The demo dataset has those languages:\n", - " en, de, fr, es, it, ja, ar, zh, ko, hi\n", - "\n", - " \"\"\"\n", - " \n", - " nearText = {\"concepts\": [query]}\n", - " properties = [\"text\", \"title\", \"url\", \"views\", \"lang\", \"_additional {distance}\"]\n", - "\n", - " # To filter by language\n", - " if results_lang != '':\n", - " where_filter = {\n", - " \"path\": [\"lang\"],\n", - " \"operator\": \"Equal\",\n", - " \"valueString\": results_lang\n", - " }\n", - " response = (\n", - " client.query\n", - " .get(\"Articles\", properties)\n", - " .with_where(where_filter)\n", - " .with_near_text(nearText)\n", - " .with_limit(5)\n", - " .do()\n", - " )\n", - " \n", - " # Search all languages\n", - " else:\n", - " response = (\n", - " client.query\n", - " .get(\"Articles\", properties)\n", - " .with_near_text(nearText)\n", - " .with_limit(5)\n", - " .do()\n", - " )\n", - "\n", - "\n", - " result = response['data']['Get']['Articles']\n", - "\n", - " return result\n", - "\n", - "\n", - "def print_result(result):\n", - " \"\"\" Print results with colorful formatting \"\"\"\n", - " for item in result:\n", - " print(f\"\\033[95m{item['title']} ({item['views']}) {item['_additional']['distance']}\\033[0m\")\n", - " print(f\"\\033[4m{item['url']}\\033[0m\")\n", - " print(item['text'])\n", - " print()" - ] - }, - { - "cell_type": "markdown", - "id": "7b0cb81c-8e56-48cf-a32c-f050afe646e4", - "metadata": {}, - "source": [ - "We can now query the databse with any query we want. In the background, Weaviate uses your Cohere API key to embed the query, then retrun the most relevant passages to the query." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "5102ea41-fe8f-4ced-8b23-229df185d447", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mThe Adam Project (3000) -147.31755\u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=65867428\u001b[0m\n", - "Due to a safety feature preventing him from flying because of his injuries, Adam must bring along the younger Adam and use his DNA to enter his jet. They both are soon attacked by Maya Sorian, the leader of the dystopian world, and her assistant Christos, but are saved by Laura, who had faked her death and stayed off-grid in an unknown location. After surviving the attack and comparing notes, Laura and the Adams realize that after the invention of time travel by Louis Reed and his subsequent death, Sorian had monopolized the discovery. During her visit to 2018, Laura learned Sorian frequently came and advised her past self in order to secure her future wealth and power. To protect her secret, Sorian ordered Laura's death. Although Laura survived the assassination attempt, destruction of her time jet left her stranded in the past. The sudden arrival of Sorian's goons interrupts the reunion, and Laura fights off the attack long enough for the two Adams to escape to 2018.\n", - "\n", - "\u001b[95mKang the Conqueror (2000) -146.57275\u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=393437\u001b[0m\n", - "Nathaniel Richards, a 31st-century scholar and descendant of Reed Richards' time traveling father Nathaniel, becomes fascinated with history and discovers the time travel technology created by Victor von Doom, another possible ancestor of his. He then travels back in time to ancient Egypt aboard a Sphinx-shaped timeship and becomes the Pharaoh Rama-Tut, with plans to claim En Sabah Nur—the mutant destined to become Apocalypse—as his heir. Rama-Tut's rule is cut short when he is defeated by the time-displaced Fantastic Four. An embittered Nathaniel Richards travels forward to the 20th century where he meets Doctor Doom, whom he believes might be his ancestor. He later designs an armor based on Doom's and, calling himself the Scarlet Centurion, pits the Avengers team against alternate-reality counterparts. He plans to dispose of all of them, but the Avengers manage to force him from the timeline.\n", - "\n", - "\u001b[95mBack to the Future (3000) -146.4269\u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=42993\u001b[0m\n", - "That night, Marty meets his eccentric scientist friend, Emmett \"Doc\" Brown, in the Twin Pines mall parking lot. Doc unveils a time machine built from a modified DeLorean, powered by plutonium he swindled from Libyan terrorists. After Doc inputs a destination time of November5, 1955—the day he first conceived his time travel invention—the terrorists arrive unexpectedly and gun Doc down. Marty flees in the DeLorean, inadvertently activating time travel when he reaches .\n", - "\n", - "\u001b[95mTime (2000) -146.41129\u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=30012\u001b[0m\n", - "Time travel is the concept of moving backwards or forwards to different points in time, in a manner analogous to moving through space, and different from the normal \"flow\" of time to an earthbound observer. In this view, all points in time (including future times) \"persist\" in some way. Time travel has been a plot device in fiction since the 19th century. Travelling backwards or forwards in time has never been verified as a process, and doing so presents many theoretical problems and contradictive logic which to date have not been overcome. Any technological device, whether fictional or hypothetical, that is used to achieve time travel is known as a time machine.\n", - "\n", - "\u001b[95mIn Time (2000) -145.93015\u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=29446866\u001b[0m\n", - "Will and Sylvia rob Weis' time banks, giving the time capsules to the needy. They soon realize they can't significantly change anything, as prices are raised faster to compensate for the extra time. Fortis' gang ambushes them intending to collect the reward for their capture, but Will kills Fortis and his gang. Will and Sylvia then decide to rob Weis' vault of a one-million year capsule. Leon chases them back to Dayton but fails to stop them from distributing the stolen time; Leon times out, having neglected to collect his day's salary. Will and Sylvia nearly time out themselves but survive by taking Leon's salary.\n", - "\n" - ] - } - ], - "source": [ - "query_result = semantic_serch(\"time travel plot twist\")\n", - "\n", - "# Print out the result\n", - "print_result(query_result)" - ] - }, - { - "cell_type": "markdown", - "id": "f0dd5114-4604-4c3c-8077-29ac3d5524f4", - "metadata": {}, - "source": [ - "## Filtering by language\n", - "If we're interested in results in only one language, we can specify it." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "67e9be31-9754-4d74-8600-43fa0840e26c", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95m時空の旅人 (500) -144.16002\u001b[0m\n", - "\u001b[4mhttps://ja.wikipedia.org/wiki?curid=523464\u001b[0m\n", - "バスは1868年の攘夷戦争で娘と夫を亡くした老婆の営む茶店に降り立つ。一時は老婆は一行を盗人と間違えて襲い掛かるも、ホクベンを亡き夫だと思い込んだことで一転して歓迎する。しかしそこへジロを追うタイムマシンがあらわれ、やむなく一行はバスに乗って走り去る。追い縋る老婆を見捨てられずバスを飛び降りたホクベンだが、直後にタイムマシンに攫われてしまった。\n", - "\n", - "\u001b[95m親殺しのパラドックス (700) -144.11864\u001b[0m\n", - "\u001b[4mhttps://ja.wikipedia.org/wiki?curid=71910\u001b[0m\n", - "パラドックスを防ぐアイデアとして、時間旅行者は元々の歴史とは異なる並行宇宙に行くのだと解釈するもので、上の科学的理論で述べたのと同じ考え方であり、SFにもよく見られる。歴史改変SFにあるタイムトラベル参照。\n", - "\n", - "\u001b[95mタイムトラベル (1000) -143.70331\u001b[0m\n", - "\u001b[4mhttps://ja.wikipedia.org/wiki?curid=1971274\u001b[0m\n", - "タイムパラドックスの矛盾を説明するため、タイムトラベル者による歴史の改変で時間軸が分岐し元の世界と並行した別の世界が生まれるとするパラレルワールドの概念がある。この概念を発展させ、タイムトラベル者の介在がなくとも歴史上の重要なポイントで世界が枝分かれしていると解釈する立場もある。この概念を大幅に作品に取り入れた最初期の小説に、可能性として存在する二つの歴史「ジョンバール」と「ギロンチ」の抗争を描いた、ジャック・ウィリアムスンの『航時軍団』(The Legion of Time、1938年)がある。\n", - "\n", - "\u001b[95mタイムトラベル (1000) -143.69884\u001b[0m\n", - "\u001b[4mhttps://ja.wikipedia.org/wiki?curid=1971274\u001b[0m\n", - "タイムトラベラーが主人公であるマーク・トウェインの「アーサー王宮廷のコネチカット・ヤンキー」や、天使が未来の書物を携えて現れるサミュエル・マッデンの「20世紀回想」など、SFというカテゴリが明確なものとして育つ以前から、タイムトラベルをテーマにした物語は創られている。\n", - "\n", - "\u001b[95mタイムトラベル (1000) -143.61562\u001b[0m\n", - "\u001b[4mhttps://ja.wikipedia.org/wiki?curid=1971274\u001b[0m\n", - "タイムパラドックス(Time Paradox / 時間の逆説)は、タイムトラベルに伴う矛盾や変化のことであり、物語のテーマとしてしばしば扱われる。具体的には、タイムトラベルした過去で現代(相対的未来)に存在する事象を改変した場合、その事象における過去と現代の存在や状況、因果関係の不一致という逆説が生じることに着目したものである。\n", - "\n" - ] - } - ], - "source": [ - "query_result = semantic_serch(\"time travel plot twist\", results_lang='ja')\n", - "\n", - "# Print out the result\n", - "print_result(query_result)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.13" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Wikipedia_search_demo_cohere_weaviate.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/advanced_rag/Building_Advanced_RAG_Systems.ipynb b/notebooks/guides/advanced_rag/Building_Advanced_RAG_Systems.ipynb index c3d7de50..1a5a6827 100644 --- a/notebooks/guides/advanced_rag/Building_Advanced_RAG_Systems.ipynb +++ b/notebooks/guides/advanced_rag/Building_Advanced_RAG_Systems.ipynb @@ -1,948 +1,18 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, - "cells": [ - { - "cell_type": "markdown", - "source": [ - "# Imports" - ], - "metadata": { - "id": "LEqiJcuiw6A-" - } - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "Xx2jWV9iu_PS", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "f062389a-0862-4481-e24e-7db6eb5348e5" - }, - "outputs": [ + "cells": [ { - "output_type": "stream", - "name": "stdout", - "text": [ - "\u001b[?25l \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m0.0/222.4 kB\u001b[0m \u001b[31m?\u001b[0m eta \u001b[36m-:--:--\u001b[0m\r\u001b[2K \u001b[91m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m\u001b[91m╸\u001b[0m\u001b[90m━\u001b[0m \u001b[32m215.0/222.4 kB\u001b[0m \u001b[31m8.3 MB/s\u001b[0m eta \u001b[36m0:00:01\u001b[0m\r\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m222.4/222.4 kB\u001b[0m \u001b[31m4.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m139.2/139.2 kB\u001b[0m \u001b[31m8.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m44.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m76.4/76.4 kB\u001b[0m \u001b[31m4.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.9/77.9 kB\u001b[0m \u001b[31m5.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m12.5/12.5 MB\u001b[0m \u001b[31m81.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m82.7/82.7 kB\u001b[0m \u001b[31m5.0 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m3.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Building_Advanced_RAG_Systems.ipynb." + ] } - ], - "source": [ - "!pip install cohere --q --disable-pip-version-check" - ] - }, - { - "cell_type": "markdown", - "source": [ - "# Utils" - ], - "metadata": { - "id": "bvY1DcVHBhQp" - } - }, - { - "cell_type": "code", - "source": [ - "def print_tool_calls(tool_calls):\n", - " # Determine the message based on the number of tool calls\n", - " if len(tool_calls) > 1:\n", - " print(\"The model suggests making Parallel Queries:\")\n", - " else:\n", - " print(\"The model suggests making a single tool call:\")\n", - "\n", - " for i, tool_call in enumerate(tool_calls):\n", - " # If there's more than one tool call, separate each with a header\n", - " if len(tool_calls) > 1:\n", - " print(f\"== Parallel Tool Call #{i+1}\")\n", - "\n", - " # Print the tool call name and \"with this code:\" on the same line\n", - " if tool_call.name == 'python_interpreter':\n", - " print(f\"{tool_call.name} with this code:\")\n", - " code = tool_call.parameters.get('code', '')\n", - " print(\"\\n\".join(f\" {line}\" for line_num, line in enumerate(code.splitlines())))\n", - " else:\n", - " # For non-python_interpreter tool calls, just print the parameters\n", - " print(f\"{tool_call.name}\")\n", - " print(f\"{tool_call.parameters}\")\n" - ], - "metadata": { - "id": "fecUdUFTBh-P" - }, - "execution_count": 2, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "def print_step(step):\n", - " print(f\"\\n\\n\\033[1;34m == STEP {step+1} \\033[0m\\n\") # Blue bold for step header\n", - "\n", - "def print_plan(text):\n", - " print(f\"\\033[1;32mThe plan is:\\033[0m \\033[1m{text}\\033[0m\\n\") # Green bold for \"The plan is\" and bold for the plan text\n", - "\n", - "def print_final_answer(text):\n", - " print(f\"\\n\\n \\033[1;32mThe final answer is:\\033[0m \\033[1m{text}\\033[0m\\n\") # Green bold for \"The plan is\" and bold for the plan text\n", - "\n", - "def print_tool_results(tool_results):\n", - " print(f\"\\033[1;35mtool_results:\\033[0m \\033[1m{tool_result['outputs']}\\033[0m\") # Magenta bold for \"tool_results\" and bold for outputs\n" - ], - "metadata": { - "id": "J3_Av0ZgZ5A4" - }, - "execution_count": 3, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "# Define Tools for the RAG Agent: a web search engine and a python interpreter" - ], - "metadata": { - "id": "STPRtUN0w90-" - } - }, - { - "cell_type": "markdown", - "source": [ - "A web search engine, accessible through an API" - ], - "metadata": { - "id": "uvAynOO8yzk7" - } - }, - { - "cell_type": "code", - "source": [ - "!pip install tavily-python --q --disable-pip-version-check\n", - "from tavily import TavilyClient\n", - "tavily_client = TavilyClient(api_key=\"***\")" - ], - "metadata": { - "id": "f8Ij6RA9y53T", - "colab": { - "base_uri": "https://localhost:8080/" - }, - "outputId": "bf54548d-47c4-4679-9abb-0a4c67e475e3" - }, - "execution_count": 5, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\u001b[?25l \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m0.0/1.1 MB\u001b[0m \u001b[31m?\u001b[0m eta \u001b[36m-:--:--\u001b[0m\r\u001b[2K \u001b[91m━━━━━━━━━━━━\u001b[0m\u001b[91m╸\u001b[0m\u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m0.3/1.1 MB\u001b[0m \u001b[31m9.9 MB/s\u001b[0m eta \u001b[36m0:00:01\u001b[0m\r\u001b[2K \u001b[91m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m\u001b[91m╸\u001b[0m \u001b[32m1.1/1.1 MB\u001b[0m \u001b[31m19.5 MB/s\u001b[0m eta \u001b[36m0:00:01\u001b[0m\r\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.1/1.1 MB\u001b[0m \u001b[31m14.0 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "# here's a web search engine\n", - "def web_search(query: str) -> list[dict]:\n", - " response = tavily_client.search(query, max_results=3)['results']\n", - " return response" - ], - "metadata": { - "id": "twWePRd8u_1M" - }, - "execution_count": 6, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# the LLM is equipped with a description of the web search engine\n", - "web_search_tool = {\n", - " \"name\": \"web_search\",\n", - " \"description\": \"Returns a list of relevant document snippets for a textual query retrieved from the internet\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"Query to search the internet with\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - "}\n" - ], - "metadata": { - "id": "AnJU33BeznUS" - }, - "execution_count": 7, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "A Python interpreter, which can be used by the RAG Agent to write code and/or to access a spreadsheet" - ], - "metadata": { - "id": "oUfmGjrj0xD4" - } - }, - { - "cell_type": "code", - "source": [ - "# here's a python console, which can be used to access the spreadsheet, but also more generally to code and plot stuff\n", - "import io, contextlib\n", - "def python_interpreter(code: str) -> list[dict]:\n", - " output = io.StringIO()\n", - " try:\n", - " # Redirect stdout to capture print statements\n", - " with contextlib.redirect_stdout(output):\n", - " exec(code, globals())\n", - " except Exception as e:\n", - " return [{\n", - " \"error\": str(e),\n", - " \"executed_code\": code\n", - " }]\n", - " # Get stdout\n", - " return [{\n", - " \t\t\"console_output\": output.getvalue(),\n", - " \"executed_code\": code\n", - " \t}]\n", - "\n" - ], - "metadata": { - "id": "7g2gBVfJ458s" - }, - "execution_count": 8, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# the LLM is equipped with a description of a python console\n", - "python_interpreter_tool = {\n", - " \"name\": \"python_interpreter\",\n", - " \"description\": \"Executes python code and returns the result. The code runs in a static sandbox without internet access and without interactive mode, so print output or save output to a file.\",\n", - " \"parameter_definitions\": {\n", - " \"code\": {\n", - " \"description\": \"Python code to execute\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - "}\n" - ], - "metadata": { - "id": "js1gKH-DznSC" - }, - "execution_count": 9, - "outputs": [] - }, - { - "cell_type": "code", - "source": [ - "# Display the first few rows of the dataset\n", - "import pandas as pd\n", - "file_path = './spotify_dataset.csv'\n", - "spotify_data = pd.read_csv(file_path)\n", - "spotify_data.head(3)" - ], - "metadata": { - "id": "ViDr-GYnY-hA", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 228 - }, - "outputId": "b890e694-a337-45d9-e961-d9c120be503e" - }, - "execution_count": 11, - "outputs": [ - { - "output_type": "execute_result", - "data": { - "text/plain": [ - " track_name artist(s)_name artist_count \\\n", - "0 Seven (feat. Latto) (Explicit Ver.) Latto, Jung Kook 2 \n", - "1 LALA Myke Towers 1 \n", - "2 vampire Olivia Rodrigo 1 \n", - "\n", - " released_year released_month released_day in_spotify_playlists \\\n", - "0 2023 7 14 553 \n", - "1 2023 3 23 1474 \n", - "2 2023 6 30 1397 \n", - "\n", - " in_spotify_charts streams in_apple_playlists ... key mode \\\n", - "0 147 141381703.0 43 ... B Major \n", - "1 48 133716286.0 48 ... C# Major \n", - "2 113 140003974.0 94 ... F Major \n", - "\n", - " danceability valence energy acousticness instrumentalness liveness \\\n", - "0 80 89 83 31 0 8 \n", - "1 71 61 74 7 0 10 \n", - "2 51 32 53 17 0 31 \n", - "\n", - " speechiness release_date \n", - "0 4 2023-07-14 \n", - "1 4 2023-03-23 \n", - "2 6 2023-06-30 \n", - "\n", - "[3 rows x 25 columns]" - ], - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    track_nameartist(s)_nameartist_countreleased_yearreleased_monthreleased_dayin_spotify_playlistsin_spotify_chartsstreamsin_apple_playlists...keymodedanceabilityvalenceenergyacousticnessinstrumentalnesslivenessspeechinessrelease_date
    0Seven (feat. Latto) (Explicit Ver.)Latto, Jung Kook22023714553147141381703.043...BMajor808983310842023-07-14
    1LALAMyke Towers12023323147448133716286.048...C#Major716174701042023-03-23
    2vampireOlivia Rodrigo120236301397113140003974.094...FMajor5132531703162023-06-30
    \n", - "

    3 rows × 25 columns

    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "application/vnd.google.colaboratory.intrinsic+json": { - "type": "dataframe", - "variable_name": "spotify_data" - } - }, - "metadata": {}, - "execution_count": 11 - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "Utils" - ], - "metadata": { - "id": "d8cJcr04FPLq" - } - }, - { - "cell_type": "code", - "source": [ - "functions_map = {\n", - " \"web_search\": web_search,\n", - " \"python_interpreter\": python_interpreter,\n", - "}" - ], - "metadata": { - "id": "TkHaocFYznQK" - }, - "execution_count": 12, - "outputs": [] - }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "GW-nb0GLY3z-" - }, - "execution_count": null, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "# Cohere model to power Agentic RAG" - ], - "metadata": { - "id": "DfUAJHJQ1oZE" - } - }, - { - "cell_type": "code", - "source": [ - "import cohere\n", - "co = cohere.Client(api_key=\"***\")\n", - "model = \"command-r-08-2024\"" - ], - "metadata": { - "id": "-QJ-p0U_znIz" - }, - "execution_count": 14, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "# Let's look at a complex user query" - ], - "metadata": { - "id": "ELeYGx9V19lc" - } - }, - { - "cell_type": "code", - "source": [ - "message = \"\"\"What's the age and citizenship of the artists who had the top 3 most streamed songs on Spotify in 2023?\n", - "\n", - "You have access to a dataset with information about Spotify songs from the past 10 years, located at ./spotify_dataset.csv.\n", - "You also have access to the internet.\n", - "You must use the dataset when you can, and if stuck you can use the internet.\n", - "Remember to inspect the dataset to understand its structure before trying to query it. Take it step by step.\n", - "\"\"\"" - ], - "metadata": { - "id": "_z1hDzpBu_zU" - }, - "execution_count": 15, - "outputs": [] - }, - { - "cell_type": "markdown", - "source": [ - "### Get the model plan" - ], - "metadata": { - "id": "NaNQqYtUxJDq" - } - }, - { - "cell_type": "code", - "source": [ - "response = co.chat(\n", - " model=model,\n", - " message=message,\n", - " tools=[web_search_tool,python_interpreter_tool],\n", - " temperature=0,\n", - ")\n", - "\n", - "print(response.text)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "eSwY4wJTIOui", - "outputId": "fbf6c543-a901-48a6-e209-e5728987501d" - }, - "execution_count": 16, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "I will first inspect the dataset to understand its structure and identify the relevant columns for the query. Then, I will write and execute Python code to filter the data for the top 3 most streamed songs on Spotify in 2023 and extract the age and citizenship of the artists.\n" - ] - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "### Let the model make as many steps of (parallel) tool calling, retrieval, self-reflection, before answering" - ], - "metadata": { - "id": "33NMLBHexMfY" - } - }, - { - "cell_type": "code", - "source": [ - "step = 0\n", - "\n", - "while response.tool_calls:\n", - "\n", - " # Step id\n", - " print_step(step)\n", - "\n", - " # The model plan\n", - " print_plan(response.text)\n", - "\n", - " # Tool calls suggested by the model\n", - " print_tool_calls(response.tool_calls)\n", - "\n", - " # Execute the tool calls\n", - " tool_results = []\n", - " for tool_call in response.tool_calls:\n", - " outputs = functions_map[tool_call.name](**tool_call.parameters)\n", - " tool_result = {\"call\": tool_call, \"outputs\": outputs}\n", - " tool_results.append(tool_result)\n", - " print_tool_results(tool_result['outputs'])\n", - "\n", - " # Let the model decide the next step: calling more tools? or answering?\n", - " response = co.chat(\n", - " model=model,\n", - " message=\"\",\n", - " chat_history=response.chat_history,\n", - " tools=[web_search_tool,python_interpreter_tool],\n", - " tool_results=tool_results,\n", - " temperature=0,\n", - " )\n", - "\n", - " step+=1\n", - "\n", - "# print final answer\n", - "print_final_answer(response.text)\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "bMa7_gO6u_vl", - "outputId": "5b8334cb-f6e1-4ecd-da0e-aa3a943b7d32" - }, - "execution_count": 17, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "\n", - "\n", - "\u001b[1;34m == STEP 1 \u001b[0m\n", - "\n", - "\u001b[1;32mThe plan is:\u001b[0m \u001b[1mI will first inspect the dataset to understand its structure and identify the relevant columns for the query. Then, I will write and execute Python code to filter the data for the top 3 most streamed songs on Spotify in 2023 and extract the age and citizenship of the artists.\u001b[0m\n", - "\n", - "The model suggests making a single tool call:\n", - "python_interpreter with this code:\n", - " import pandas as pd\n", - " \n", - " # Load the dataset\n", - " df = pd.read_csv(\"./spotify_dataset.csv\")\n", - " \n", - " # Check the structure of the dataset\n", - " print(df.info())\n", - " print(df.head())\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'console_output': \"\\nRangeIndex: 952 entries, 0 to 951\\nData columns (total 25 columns):\\n # Column Non-Null Count Dtype \\n--- ------ -------------- ----- \\n 0 track_name 952 non-null object \\n 1 artist(s)_name 952 non-null object \\n 2 artist_count 952 non-null int64 \\n 3 released_year 952 non-null int64 \\n 4 released_month 952 non-null int64 \\n 5 released_day 952 non-null int64 \\n 6 in_spotify_playlists 952 non-null int64 \\n 7 in_spotify_charts 952 non-null int64 \\n 8 streams 952 non-null float64\\n 9 in_apple_playlists 952 non-null int64 \\n 10 in_apple_charts 952 non-null int64 \\n 11 in_deezer_playlists 952 non-null object \\n 12 in_deezer_charts 952 non-null int64 \\n 13 in_shazam_charts 902 non-null object \\n 14 bpm 952 non-null int64 \\n 15 key 857 non-null object \\n 16 mode 952 non-null object \\n 17 danceability 952 non-null int64 \\n 18 valence 952 non-null int64 \\n 19 energy 952 non-null int64 \\n 20 acousticness 952 non-null int64 \\n 21 instrumentalness 952 non-null int64 \\n 22 liveness 952 non-null int64 \\n 23 speechiness 952 non-null int64 \\n 24 release_date 952 non-null object \\ndtypes: float64(1), int64(17), object(7)\\nmemory usage: 186.1+ KB\\nNone\\n track_name artist(s)_name artist_count \\\\\\n0 Seven (feat. Latto) (Explicit Ver.) Latto, Jung Kook 2 \\n1 LALA Myke Towers 1 \\n2 vampire Olivia Rodrigo 1 \\n3 Cruel Summer Taylor Swift 1 \\n4 WHERE SHE GOES Bad Bunny 1 \\n\\n released_year released_month released_day in_spotify_playlists \\\\\\n0 2023 7 14 553 \\n1 2023 3 23 1474 \\n2 2023 6 30 1397 \\n3 2019 8 23 7858 \\n4 2023 5 18 3133 \\n\\n in_spotify_charts streams in_apple_playlists ... key mode \\\\\\n0 147 141381703.0 43 ... B Major \\n1 48 133716286.0 48 ... C# Major \\n2 113 140003974.0 94 ... F Major \\n3 100 800840817.0 116 ... A Major \\n4 50 303236322.0 84 ... A Minor \\n\\n danceability valence energy acousticness instrumentalness liveness \\\\\\n0 80 89 83 31 0 8 \\n1 71 61 74 7 0 10 \\n2 51 32 53 17 0 31 \\n3 55 58 72 11 0 11 \\n4 65 23 80 14 63 11 \\n\\n speechiness release_date \\n0 4 2023-07-14 \\n1 4 2023-03-23 \\n2 6 2023-06-30 \\n3 15 2019-08-23 \\n4 6 2023-05-18 \\n\\n[5 rows x 25 columns]\\n\", 'executed_code': 'import pandas as pd\\n\\n# Load the dataset\\ndf = pd.read_csv(\"./spotify_dataset.csv\")\\n\\n# Check the structure of the dataset\\nprint(df.info())\\nprint(df.head())'}]\u001b[0m\n", - "\n", - "\n", - "\u001b[1;34m == STEP 2 \u001b[0m\n", - "\n", - "\u001b[1;32mThe plan is:\u001b[0m \u001b[1mThe dataset contains the following relevant columns:\n", - "- track_name\n", - "- artist(s)_name\n", - "- released_year\n", - "- streams\n", - "\n", - "I will now write and execute Python code to filter the data for the top 3 most streamed songs on Spotify in 2023 and extract the age and citizenship of the artists. I will assume that the age and citizenship information is not available in the dataset and will use web search to find this information.\u001b[0m\n", - "\n", - "The model suggests making a single tool call:\n", - "python_interpreter with this code:\n", - " import pandas as pd\n", - " \n", - " # Load the dataset\n", - " df = pd.read_csv(\"./spotify_dataset.csv\")\n", - " \n", - " # Filter for songs released in 2023\n", - " df_2023 = df[df[\"released_year\"] == 2023]\n", - " \n", - " # Sort the data by streams in descending order and select the top 3\n", - " top_3_songs = df_2023.sort_values(by=\"streams\", ascending=False).head(3)\n", - " \n", - " # Print the track names and artists\n", - " print(\"Top 3 most streamed songs on Spotify in 2023:\")\n", - " for index, row in top_3_songs.iterrows():\n", - " print(f\"Track: {row['track_name']}\")\n", - " print(f\"Artist(s): {row['artist(s)_name']}\")\n", - " print(\"\\n\")\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'console_output': 'Top 3 most streamed songs on Spotify in 2023:\\nTrack: Flowers\\nArtist(s): Miley Cyrus\\n\\n\\nTrack: Ella Baila Sola\\nArtist(s): Eslabon Armado, Peso Pluma\\n\\n\\nTrack: Shakira: Bzrp Music Sessions, Vol. 53\\nArtist(s): Shakira, Bizarrap\\n\\n\\n', 'executed_code': 'import pandas as pd\\n\\n# Load the dataset\\ndf = pd.read_csv(\"./spotify_dataset.csv\")\\n\\n# Filter for songs released in 2023\\ndf_2023 = df[df[\"released_year\"] == 2023]\\n\\n# Sort the data by streams in descending order and select the top 3\\ntop_3_songs = df_2023.sort_values(by=\"streams\", ascending=False).head(3)\\n\\n# Print the track names and artists\\nprint(\"Top 3 most streamed songs on Spotify in 2023:\")\\nfor index, row in top_3_songs.iterrows():\\n print(f\"Track: {row[\\'track_name\\']}\")\\n print(f\"Artist(s): {row[\\'artist(s)_name\\']}\")\\n print(\"\\\\n\")'}]\u001b[0m\n", - "\n", - "\n", - "\u001b[1;34m == STEP 3 \u001b[0m\n", - "\n", - "\u001b[1;32mThe plan is:\u001b[0m \u001b[1mThe top 3 most streamed songs on Spotify in 2023 are:\n", - "1. Flowers by Miley Cyrus\n", - "2. Ella Baila Sola by Eslabon Armado and Peso Pluma\n", - "3. Shakira: Bzrp Music Sessions, Vol. 53 by Shakira and Bizarrap\n", - "\n", - "I will now use web search to find the age and citizenship of these artists.\u001b[0m\n", - "\n", - "The model suggests making Parallel Queries:\n", - "== Parallel Tool Call #1\n", - "web_search\n", - "{'query': 'Miley Cyrus age and citizenship'}\n", - "== Parallel Tool Call #2\n", - "web_search\n", - "{'query': 'Eslabon Armado age and citizenship'}\n", - "== Parallel Tool Call #3\n", - "web_search\n", - "{'query': 'Peso Pluma age and citizenship'}\n", - "== Parallel Tool Call #4\n", - "web_search\n", - "{'query': 'Shakira age and citizenship'}\n", - "== Parallel Tool Call #5\n", - "web_search\n", - "{'query': 'Bizarrap age and citizenship'}\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'title': 'Miley Cyrus Bio, Age, Parents, Siblings, Boyfriend ... | FamousIntel', 'url': 'https://famousintel.com/miley-cyrus/', 'content': \"Miley Cyrus Age and Birthday. Cyrus is 29 years old as of 2021. She was born Miley Ray Cyrus on 23 November 1992 in Franklin, Tennessee, United States. She celebrates her birthday on 23 November every year. Miley Cyrus Real Name. Why did Miley change her name? Her parents' hopes for her future were reflected in her birth name, Destiny Hope.\", 'score': 0.9721516, 'raw_content': None}, {'title': 'Miley Cyrus - Biography | IMDb', 'url': 'https://www.imdb.com/name/nm1415323/bio/', 'content': \"Miley Cyrus. Actress: Hannah Montana. Miley Ray Cyrus was born Destiny Hope Cyrus on November 23, 1992 in Franklin, Tennessee and raised in Thompson's Station, Tennessee to Tish Cyrus & Billy Ray Cyrus. She has five siblings - two half-brothers, a half-sister, and a younger brother and sister. Her parents named her because they hoped she would achieve greatness. Her childhood nickname, Smiley ...\", 'score': 0.32402146, 'raw_content': None}, {'title': 'Miley Cyrus | Biography, TV Shows, Songs, & Facts | Britannica', 'url': 'https://www.britannica.com/biography/Miley-Cyrus', 'content': 'Meanwhile, Cyrus continued to record under her own name for the EP The Time of Our Lives (2009), which featured the hit “Party in the U.S.A.,” and the full-length album Can’t Be Tamed (2010). She then veered away from the mainstream with Miley Cyrus & Her Dead Petz (2015), a collection of loosely psychedelic songs recorded in large part with the rock band the Flaming Lips and made available for free on the Internet. In 2005 Cyrus auditioned for the lead role in Hannah Montana, a Disney Channel series about a girl who leads a double life as a normal middle-school student and, with the help of a blonde wig, as a glamorous pop singer. Cyrus was a coach on the television singing competition The Voice in 2016–17, and she costarred with Woody Allen and Elaine May in Allen’s six-episode TV series Crisis in Six Scenes (2016). The show debuted the following year (featuring Billy Ray as her TV dad) and became an immediate sensation, scoring record ratings for cable television and turning the 13-year-old into a bona fide star.', 'score': 0.27845296, 'raw_content': None}]\u001b[0m\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'title': 'Pedro Tova - Age, Eslabon Armado, Song & Brother - Biography', 'url': 'https://biographyhost.com/p/pedro-tovar.html', 'content': 'Age 22 Years, 1 Months ; ... Eslabon Armado, a California-based musical band, meaning \"linked chain,\" was initially formed in 2017. Alongside the lead vocalist Pedro Tovar, the band\\'s other members include Bryan Tovar, also his brother, responsible for bass and bajoloche, and Gabriel Hidalgo, responsible for harmony and background vocals. ...', 'score': 0.9812389, 'raw_content': None}, {'title': 'Eslabon Armado - Wikipedia', 'url': 'https://en.wikipedia.org/wiki/Eslabon_Armado', 'content': 'Eslabon Armado is an American regional Mexican group from Patterson, California formed in 2017. The group consists of Pedro Tovar (lead vocals), Brian Tovar (bass), Damian Pacheco ( twelve-string guitar ), and Ulises González (acoustic guitar), with González joining in 2021 after Hidalgo left the group in 2020, with the Tovar brothers being ...', 'score': 0.9803562, 'raw_content': None}, {'title': \"Eslabón Armado Helped Make Musica Mexicana History. What's Next?\", 'url': 'https://www.rollingstone.com/music/music-features/eslabon-armado-new-song-la-fresa-interview-exclusive-1234838542/', 'content': 'The 21-year-old singer is the voice behind Eslabón Armado, one of the biggest bands in the booming música Mexicana scene. This year, Eslabón Armado released Desvelado, a follow up to their 2022 ...', 'score': 0.98020524, 'raw_content': None}]\u001b[0m\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'title': \"Peso Pluma's height, age, real name, parents, nationality and net worth\", 'url': 'https://www.msn.com/en-za/news/other/peso-plumas-height-age-real-name-parents-nationality-and-net-worth/ar-AA1klboL', 'content': 'Peso Pluma, whose real name is Hassan Emilio Kabande Laija, is 24 years of age as of 2023. He was born in Zapopan, just outside of Guadalajara in Mexico, on 15 June 1999, but moved to San Antonio ...', 'score': 0.9932288, 'raw_content': None}, {'title': 'Who is Peso Pluma? His real name, age, height, nationality, and more', 'url': 'https://wegotthiscovered.com/celebrities/who-is-peso-pluma-his-real-name-age-height-nationality-and-more/', 'content': 'Peso Pluma was born on June 15, 1999, so he is currently 24 years old. At such a young age, it is extremely impressive that he has gained mainstream success as an international artist.', 'score': 0.9856077, 'raw_content': None}, {'title': \"Peso Pluma's height, age, real name, parents, nationality\", 'url': 'https://www.legit.ng/ask-legit/biographies/1560619-peso-plumas-height-age-real-parents-nationality/', 'content': \"Die Antwoord's Yolandi Visser: age, husband, children, net worth. Peso Pluma's height is 5 feet 7 inches or 170 centimetres. He is a Mexican-born singer and songwriter best known for his hit tracks, including Ella Baila Sola, LADY GAGA and El Belicon. He is the founder of Double P Records.\", 'score': 0.92656994, 'raw_content': None}]\u001b[0m\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'title': \"Shakira's Age, Height & Nationality: Background & Stats - Heavy.com\", 'url': 'https://heavy.com/entertainment/2020/02/shakira-age-height-nationality-how-old/', 'content': 'Shakira was born on February 2, 1977, making her 43 years old today. The Latin pop star stands at 5-foot-2-inches tall and has been dating Gerard Piqué since 2011.', 'score': 0.684264, 'raw_content': None}, {'title': \"Discover Shakira's Nationality: Colombian Citizenship And Lebanese ...\", 'url': 'https://astroinsightz.com/what-is-the-nationality-of-shakira/', 'content': 'Born Shakira Isabel Mebarak Ripoll on February 2, 1977, in Barranquilla, Colombia, Shakira showed an early passion for music. Growing up in a middle-class family, she was exposed to a diverse array of musical genres, from traditional Colombian folk music to rock and roll. At the tender age of eight, Shakira wrote her first song, showcasing her ...', 'score': 0.52010024, 'raw_content': None}, {'title': 'Shakira | Biography, Songs, Full Name, & Facts | Britannica', 'url': 'https://www.britannica.com/biography/Shakira', 'content': 'At that year’s Latin Grammy Awards, she captured song-of-the-year and record-of-the-year awards for the single “La tortura,” and Fijación oral, vol. 1 was named album of the year as well as best female pop vocal album; it also won a Grammy Award for best Latin rock/alternative album. Her later albums included Shakira (2014), which featured a duet with Rihanna, and El Dorado (2017), winner of the Grammy Award for best Latin pop album and the Latin Grammy for best contemporary pop vocal album. In addition, El Dorado featured the single “La bicicleta,” a duet with Carlos Vives that won Latin Grammys for best record of the year and best song of the year. Shakira continued her crossover success in 2005 with the release of the Spanish-language Fijación oral, vol. 1 in June and the English-language Oral Fixation, Vol. 2 in November. Shakira (born February 2, 1977, Barranquilla, Colombia) Colombian musician who achieved success in both Spanish- and English-speaking markets and by the early 2000s was one of the most successful Latin American recording artists.\\n', 'score': 0.2849738, 'raw_content': None}]\u001b[0m\n", - "\u001b[1;35mtool_results:\u001b[0m \u001b[1m[{'title': 'Bizarrap Bio, Age, Height, Producer, Youtuber, Net Worth', 'url': 'https://marriedbiography.com/bizarrap-biography/', 'content': 'Bizarrap: Bio, Age, Parents, Education, Ethnicity Gonzalo Julián Conde , better known as Bizarrap, was born on August 29, 1998 , in Ramos Meja, Argentina. As of 2023, he is 25 years old and a naturalized Argentine citizen who professes Catholicism.', 'score': 0.9979888, 'raw_content': None}, {'title': 'Bizarrap - Wikipedia', 'url': 'https://en.wikipedia.org/wiki/Bizarrap', 'content': 'Bizarrap. Gonzalo Julián Conde (born 29 August 1998), known professionally as Bizarrap, is an Argentine DJ and producer. He specializes in EDM, Latin trap and Latin hip hop. He is known for his Bzrp Music Sessions, a series of independent tracks which he records with a wide variety of artists. [1] He was nominated for a Latin Grammy Award for ...', 'score': 0.9613165, 'raw_content': None}, {'title': 'Everything we know about record producer Bizarrap - HOLA.com', 'url': 'https://www.hola.com/us/celebrities/20230117341614/who-is-bizarrap/', 'content': 'Meet Bizarrap: The composer and record producer topping the charts alongside Shakira The 24-year-old artist began his musical career in 2017 in his native hometown. Shirley Gomez .', 'score': 0.8451773, 'raw_content': None}]\u001b[0m\n", - "\n", - "\n", - " \u001b[1;32mThe final answer is:\u001b[0m \u001b[1mHere is the age and citizenship of the artists who had the top 3 most streamed songs on Spotify in 2023:\n", - "\n", - "1. Miley Cyrus:\n", - "- Age: 30 (born 23 November 1992)\n", - "- Citizenship: American\n", - "\n", - "2. Eslabon Armado:\n", - "- Age: 21-22\n", - "- Citizenship: American\n", - "\n", - "3. Peso Pluma:\n", - "- Age: 24 (born 15 June 1999)\n", - "- Citizenship: Mexican\n", - "\n", - "4. Shakira:\n", - "- Age: 45 (born 2 February 1977)\n", - "- Citizenship: Colombian\n", - "\n", - "5. Bizarrap:\n", - "- Age: 25 (born 29 August 1998)\n", - "- Citizenship: Argentine\u001b[0m\n", - "\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ] }, - { - "cell_type": "code", - "source": [], - "metadata": { - "id": "wriemcrmIRyV" - }, - "execution_count": null, - "outputs": [] - } - ] + "nbformat": 4, + "nbformat_minor": 2 } \ No newline at end of file diff --git a/notebooks/guides/agentic-rag/agentic_rag_pt1_routing.ipynb b/notebooks/guides/agentic-rag/agentic_rag_pt1_routing.ipynb index 28422003..ef96b8c3 100644 --- a/notebooks/guides/agentic-rag/agentic_rag_pt1_routing.ipynb +++ b/notebooks/guides/agentic-rag/agentic_rag_pt1_routing.ipynb @@ -1,464 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Routing Queries to Data Sources" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Imagine a RAG system that can search over diverse sources, such as a website, a database, and a set of documents.\n", - "\n", - "In a standard RAG setting, the application would aggregate retrieved documents from all the different sources it is connected to. This may contribute to noise from less relevant documents.\n", - "\n", - "Additionally, it doesn’t take into consideration that, given a data source's nature, it might be less or more relevant to a query than the other data sources.\n", - "\n", - "An agentic RAG system can solve this problem by routing queries to the most relevant tools based on the query's nature. This is done by leveraging the tool use capabilities of the Chat endpoint.\n", - "\n", - "In this tutorial, we'll cover:\n", - "- Setting up the tools\n", - "- Running an agentic RAG workflow\n", - "- Routing queries to tools\n", - "\n", - "We'll build an agent that can answer questions about using Cohere, equipped with a number of different tools." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client.\n", - "\n", - "We also need to import the tool definitions that we'll use in this tutorial.\n", - "\n", - "**IMPORTANT:**\n", - "\n", - "The source code for tool definitions can be [found here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/agentic-rag/tool_def.py). Make sure to have the `tool_def.py` file in the same directory as this notebook for the imports to work correctly." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere langchain langchain-community pydantic -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import cohere\n", - "\n", - "from tool_def import (\n", - " search_developer_docs,\n", - " search_developer_docs_tool,\n", - " search_internet,\n", - " search_internet_tool,\n", - " search_code_examples,\n", - " search_code_examples_tool,\n", - ")\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys\n", - "\n", - "os.environ[\"TAVILY_API_KEY\"] = \"TAVILY_API_KEY\" # We'll need the Tavily API key to perform internet search. Get your API key: https://app.tavily.com/home" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setting up the tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In an agentic RAG system, each data source is represented as a tool. A tool is broadly any function or service that can receive and send objects to the LLM. But in the case of RAG, this becomes a more specific case of a tool that takes a query as input and returns a set of documents.\n", - "\n", - "Here, we are defining a Python function for each tool, but more broadly, the tool can be any function or service that can receive and send objects.\n", - "- `search_developer_docs`: Searches Cohere developer documentation. Here we are creating a small list of sample documents for simplicity and will return the same list for every query. In practice, you will want to implement a search function such as those that use semantic search.\n", - "- `search_internet`: Performs an internet search using Tavily search, which we take from LangChain's ready implementation.\n", - "- `search_code_examples`: Searches for Cohere code examples and tutorials. Here we are also creating a small list of sample documents for simplicity.\n", - "\n", - "These functions are mapped to a dictionary called `functions_map` for easy access.\n", - "\n", - "Here, we are defining a Python function for each tool, but more broadly, the tool can be any function or service that can receive and send objects.\n", - "\n", - "Further reading:\n", - "- [Documentation on parameter types in tool use](https://docs.cohere.com/v2/docs/parameter-types-in-tool-use)" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "functions_map = {\n", - " \"search_developer_docs\": search_developer_docs,\n", - " \"search_internet\": search_internet,\n", - " \"search_code_examples\": search_code_examples,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The second and final setup step is to define the tool schemas in a format that can be passed to the Chat endpoint. A tool schema must contain the following fields: `name`, `description`, and `parameters` in the format shown below. \n", - "\n", - "This schema informs the LLM about what the tool does, which enables an LLM to decide whether to use a particular tool. Therefore, the more descriptive and specific the schema, the more likely the LLM will make the right tool call decisions." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running an agentic RAG workflow" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can now run an agentic RAG workflow using a tool use approach. We can think of the system as consisting of four components:\n", - "- The user\n", - "- The application\n", - "- The LLM\n", - "- The tools\n", - "\n", - "At its most basic, these four components interact in a workflow through four steps:\n", - "- **Step 1: Get user message** – The LLM gets the user message (via the application)\n", - "- **Step 2: Tool planning and calling** – The LLM makes a decision on the tools to call (if any) and generates - the tool calls\n", - "- **Step 3: Tool execution** - The application executes the tools and the sends the results to the LLM\n", - "- **Step 4: Response and citation generation** – The LLM generates the response and citations to back to the user\n", - "\n", - "We wrap all these steps in a function called `run_agent`." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " search_developer_docs_tool,\n", - " search_internet_tool,\n", - " search_code_examples_tool\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who helps developers use Cohere. You are equipped with a number of tools that can provide different types of information. If you can't find the information you need from one tool, you should try other tools if there is a possibility that they could provide the information you need.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "def run_agent(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - " \n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - " \n", - " # Step 1: get user message\n", - " print(f\"QUESTION:\\n{query}\")\n", - " print(\"=\"*50)\n", - " \n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - "\n", - " while response.message.tool_calls:\n", - " \n", - " print(\"TOOL PLAN:\")\n", - " print(response.message.tool_plan,\"\\n\")\n", - " print(\"TOOL CALLS:\")\n", - " for tc in response.message.tool_calls:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " print(\"=\"*50)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"tool_calls\": response.message.tool_calls, \"tool_plan\": response.message.tool_plan}) \n", - " \n", - " # Step 3: Get tool results\n", - " for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = []\n", - " for data in tool_result:\n", - " tool_content.append({\"type\": \"document\", \"document\": {\"data\": json.dumps(data)}})\n", - " # Optional: add an \"id\" field in the \"document\" object, otherwise IDs are auto-generated\n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - " \n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - " \n", - " # Print final response\n", - " print(\"RESPONSE:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " verbose_source = False # Change to True to display the contents of a source\n", - " if response.message.citations:\n", - " print(\"CITATIONS:\\n\")\n", - " for citation in response.message.citations:\n", - " print(f\"Start: {citation.start}| End:{citation.end}| Text:'{citation.text}' \")\n", - " print(\"Sources:\")\n", - " for idx, source in enumerate(citation.sources):\n", - " print(f\"{idx+1}. {source.id}\")\n", - " if verbose_source:\n", - " print(f\"{source.tool_output}\")\n", - " print(\"\\n\") \n", - " \n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Routing queries to tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's ask the agent a few questions, starting with this one about the Embed endpoint.\n", - "\n", - "Because of question asks about a specific feature, the agent decides to use the `search_developer_docs` tool (instead of retrieving from all the data sources it's connected to).\n", - "\n", - "It first generates a tool plan that describes how it will handle the query. Then, it generates tool calls to the `search_developer_docs` tool with the associated `query` parameter.\n", - "\n", - "The tool does indeed contain the information asked by the user, which the agent then uses to generate its response." - ] - }, - { - "cell_type": "code", - "execution_count": 41, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "How many languages does Embed support?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'How many languages does Embed support?' \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"How many languages does Embed support?\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The Embed endpoint supports over 100 languages.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 28| End:47| Text:'over 100 languages.' \n", - "Sources:\n", - "1. search_developer_docs_1s5qxhyswydy:2\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"How many languages does Embed support?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's now ask the agent a question about the authors of the sentence BERT paper. This information is not likely to be found in the developer documentation or code examples because it is not Cohere-specific, so we can expect the agent to use the internet search tool.\n", - "\n", - "And this is exactly what the agent does. This time, it decides to use the `search_internet` tool, triggers the search through Tavily search, and uses the results to generate its response." - ] - }, - { - "cell_type": "code", - "execution_count": 48, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Who are the authors of the sentence BERT paper?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for the authors of the sentence BERT paper. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_internet | Parameters: {\"query\":\"authors of the sentence BERT paper\"}\n", - "==================================================\n", - "RESPONSE:\n", - "Nils Reimers and Iryna Gurevych are the authors of the sentence BERT paper.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 0| End:4| Text:'Nils' \n", - "Sources:\n", - "1. search_internet_5am6cjesgdry:1\n", - "\n", - "\n", - "Start: 5| End:12| Text:'Reimers' \n", - "Sources:\n", - "1. search_internet_5am6cjesgdry:0\n", - "2. search_internet_5am6cjesgdry:1\n", - "3. search_internet_5am6cjesgdry:3\n", - "\n", - "\n", - "Start: 17| End:22| Text:'Iryna' \n", - "Sources:\n", - "1. search_internet_5am6cjesgdry:1\n", - "\n", - "\n", - "Start: 23| End:31| Text:'Gurevych' \n", - "Sources:\n", - "1. search_internet_5am6cjesgdry:0\n", - "2. search_internet_5am6cjesgdry:1\n", - "3. search_internet_5am6cjesgdry:3\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Who are the authors of the sentence BERT paper?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's ask the agent a final question, this time about tutorials that are relevant for enterprises.\n", - "\n", - "Again, the agent uses the context of the query to decide on the most relevant tool. In this case, it selects the `search_code_examples` tool and provides a response based on the information found." - ] - }, - { - "cell_type": "code", - "execution_count": 49, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Any tutorials that are relevant for enterprises?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'tutorials for enterprises'. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_code_examples | Parameters: {\"query\":\"tutorials for enterprises\"}\n", - "==================================================\n", - "RESPONSE:\n", - "I found one tutorial that is relevant for enterprises: Advanced Document Parsing For Enterprises.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 55| End:97| Text:'Advanced Document Parsing For Enterprises.' \n", - "Sources:\n", - "1. search_code_examples_zkx3c2z7gzrs:4\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Any tutorials that are relevant for enterprises?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n", - "\n", - "In this tutorial, we learned about:\n", - "- How to set up tools in an agentic RAG system\n", - "- How to run an agentic RAG workflow\n", - "- How to automatically route queries to the most relevant data sources\n", - "\n", - "However, so far we have only seen rather simple queries. In practice, we may run into a complex query that needs to simplified, optimized, or split (etc.) before we can perform the retrieval.\n", - "\n", - "In Part 2, we'll learn how to build an agentic RAG system that can expand user queries into parallel queries." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_pt1_routing.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/agentic-rag/agentic_rag_pt2_parallel_queries.ipynb b/notebooks/guides/agentic-rag/agentic_rag_pt2_parallel_queries.ipynb index dfbbacc7..413bc08c 100644 --- a/notebooks/guides/agentic-rag/agentic_rag_pt2_parallel_queries.ipynb +++ b/notebooks/guides/agentic-rag/agentic_rag_pt2_parallel_queries.ipynb @@ -1,622 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Generating Parallel Queries" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Compare two user queries to a RAG chatbot, \"What was Apple's revenue in 2023?\" and \"What were Apple's and Google's revenue in 2023?\".\n", - "\n", - "The first query is straightforward as we can perform retrieval using pretty much the same query we get.\n", - "\n", - "But the second query is more complex. We need to break it down into two separate queries, one for Apple and one for Google.\n", - "\n", - "This is an example that requires query expansion. Here, the agentic RAG will need to turn or expand the query into a more optimized set of queries it should use to perform the retrieval.\n", - "\n", - "In this part, we'll learn how to create an agentic RAG system that can perform query expansion and then run those queries in parallel:\n", - "- Query expansion\n", - "- Query expansion over multiple data sources\n", - "- Query expansion in multi-turn conversations\n", - "\n", - "We'll learn these by building an agent that answers questions about using Cohere." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client.\n", - "\n", - "We also need to import the tool definitions that we'll use in this tutorial.\n", - "\n", - "**IMPORTANT:**\n", - "\n", - "The source code for tool definitions can be [found here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/agentic-rag/tool_def.py). Make sure to have the `tool_def.py` file in the same directory as this notebook for the imports to work correctly." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere langchain langchain-community pydantic -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import cohere\n", - "\n", - "from tool_def import (\n", - " search_developer_docs,\n", - " search_developer_docs_tool,\n", - " search_internet,\n", - " search_internet_tool,\n", - " search_code_examples,\n", - " search_code_examples_tool,\n", - ")\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys\n", - "\n", - "os.environ[\"TAVILY_API_KEY\"] = \"TAVILY_API_KEY\" # We'll need the Tavily API key to perform internet search. Get your API key: https://app.tavily.com/home" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setting up the tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We set up the same set of tools as in Part 1. If you want further details on how to set up the tools, check out Part 1." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "functions_map = {\n", - " \"search_developer_docs\": search_developer_docs,\n", - " \"search_internet\": search_internet,\n", - " \"search_code_examples\": search_code_examples,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running an agentic RAG workflow" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We create a `run_agent` function to run the agentic RAG workflow, the same as in Part 1. If you want further details on how to set up the tools, check out Part 1." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " search_developer_docs_tool,\n", - " search_internet_tool,\n", - " search_code_examples_tool\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who helps developers use Cohere. You are equipped with a number of tools that can provide different types of information. If you can't find the information you need from one tool, you should try other tools if there is a possibility that they could provide the information you need.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "def run_agent(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - " \n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - " \n", - " # Step 1: get user message\n", - " print(f\"QUESTION:\\n{query}\")\n", - " print(\"=\"*50)\n", - " \n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - "\n", - " while response.message.tool_calls:\n", - " \n", - " print(\"TOOL PLAN:\")\n", - " print(response.message.tool_plan,\"\\n\")\n", - " print(\"TOOL CALLS:\")\n", - " for tc in response.message.tool_calls:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " print(\"=\"*50)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"tool_calls\": response.message.tool_calls, \"tool_plan\": response.message.tool_plan}) \n", - " \n", - " # Step 3: Get tool results\n", - " for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = []\n", - " for data in tool_result:\n", - " tool_content.append({\"type\": \"document\", \"document\": {\"data\": json.dumps(data)}})\n", - " # Optional: add an \"id\" field in the \"document\" object, otherwise IDs are auto-generated\n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - " \n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - " \n", - " # Print final response\n", - " print(\"RESPONSE:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " verbose_source = False # Change to True to display the contents of a source\n", - " if response.message.citations:\n", - " print(\"CITATIONS:\\n\")\n", - " for citation in response.message.citations:\n", - " print(f\"Start: {citation.start}| End:{citation.end}| Text:'{citation.text}' \")\n", - " print(\"Sources:\")\n", - " for idx, source in enumerate(citation.sources):\n", - " print(f\"{idx+1}. {source.id}\")\n", - " if verbose_source:\n", - " print(f\"{source.tool_output}\")\n", - " print(\"\\n\")\n", - " \n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Query expansion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's ask the agent a few questions, starting with this one about the Chat endpoint and the RAG feature.\n", - "\n", - "Firstly, the agent rightly chooses the `search_developer_docs` tool to retrieve the information it needs.\n", - "\n", - "Additionally, because the question asks about two different things, retrieving information using the same query as the user's may not be the most optimal approach. Instead, the query needs to be expanded or split into multiple parts, each retrieving its own set of documents.\n", - "\n", - "Thus, the agent expands the original query into two queries.\n", - "\n", - "This is enabled by the parallel tool calling feature that comes with the Chat endpoint.\n", - "\n", - "This results in a richer and more representative list of documents retrieved, and therefore a more accurate and comprehensive answer." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Explain the Chat endpoint and the RAG feature\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'Chat endpoint' and 'RAG feature' to find the information I need to answer the question. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"Chat endpoint\"}\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"RAG feature\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The Chat endpoint facilitates a conversational interface, allowing users to send messages to the model and receive text responses.\n", - "\n", - "Retrieval Augmented Generation (RAG) is a method for generating text using additional information fetched from an external data source, which can greatly increase the accuracy of the response.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 4| End:17| Text:'Chat endpoint' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:3\n", - "2. search_developer_docs_0tyvan5t0f7t:3\n", - "\n", - "\n", - "Start: 32| End:56| Text:'conversational interface' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:3\n", - "2. search_developer_docs_0tyvan5t0f7t:3\n", - "\n", - "\n", - "Start: 67| End:102| Text:'users to send messages to the model' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:3\n", - "2. search_developer_docs_0tyvan5t0f7t:3\n", - "\n", - "\n", - "Start: 107| End:130| Text:'receive text responses.' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:3\n", - "2. search_developer_docs_0tyvan5t0f7t:3\n", - "\n", - "\n", - "Start: 132| End:162| Text:'Retrieval Augmented Generation' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:4\n", - "2. search_developer_docs_0tyvan5t0f7t:4\n", - "\n", - "\n", - "Start: 163| End:168| Text:'(RAG)' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:4\n", - "2. search_developer_docs_0tyvan5t0f7t:4\n", - "\n", - "\n", - "Start: 174| End:200| Text:'method for generating text' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:4\n", - "2. search_developer_docs_0tyvan5t0f7t:4\n", - "\n", - "\n", - "Start: 207| End:266| Text:'additional information fetched from an external data source' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:4\n", - "2. search_developer_docs_0tyvan5t0f7t:4\n", - "\n", - "\n", - "Start: 278| End:324| Text:'greatly increase the accuracy of the response.' \n", - "Sources:\n", - "1. search_developer_docs_7qmwn64d2e8v:4\n", - "2. search_developer_docs_0tyvan5t0f7t:4\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Explain the Chat endpoint and the RAG feature\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Query expansion over multiple data sources" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The earlier example focused on a single data source, the Cohere developer documentation. However, the agentic RAG can also perform query expansion over multiple data sources.\n", - "\n", - "Here, the agent is asked a question that contains two parts: first asking for an explanation of the Embed endpoint and then asking for code examples.\n", - "\n", - "It correctly identifies that this requires both searching the developer documentation and the code examples. Thus, it generates two queries, one for each data source, and performs two separate searches in parallel.\n", - "\n", - "Its response then contains information referenced from both data sources." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "What is the Embed endpoint? Give me some code tutorials\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'What is the Embed endpoint?' and 'code tutorials for Embed endpoint'. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"What is the Embed endpoint?\"}\n", - "Tool name: search_code_examples | Parameters: {\"query\":\"code tutorials for Embed endpoint\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The Embed endpoint returns text embeddings. An embedding is a list of floating point numbers that captures semantic information about the text that it represents.\n", - "\n", - "In addition to embed-english-v3.0, we offer a best-in-class multilingual model embed-multilingual-v3.0 with support for over 100 languages.\n", - "\n", - "Here are some code tutorials for the Embed endpoint:\n", - "- Wikipedia Semantic Search with Cohere Embedding Archives\n", - "- RAG With Chat Embed and Rerank via Pinecone\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 19| End:43| Text:'returns text embeddings.' \n", - "Sources:\n", - "1. search_developer_docs_n6n5ka20389e:1\n", - "\n", - "\n", - "Start: 47| End:162| Text:'embedding is a list of floating point numbers that captures semantic information about the text that it represents.' \n", - "Sources:\n", - "1. search_developer_docs_n6n5ka20389e:1\n", - "\n", - "\n", - "Start: 179| End:197| Text:'embed-english-v3.0' \n", - "Sources:\n", - "1. search_developer_docs_n6n5ka20389e:2\n", - "\n", - "\n", - "Start: 210| End:303| Text:'best-in-class multilingual model embed-multilingual-v3.0 with support for over 100 languages.' \n", - "Sources:\n", - "1. search_developer_docs_n6n5ka20389e:2\n", - "\n", - "\n", - "Start: 360| End:416| Text:'Wikipedia Semantic Search with Cohere Embedding Archives' \n", - "Sources:\n", - "1. search_code_examples_680znd4ycmm3:1\n", - "\n", - "\n", - "Start: 419| End:462| Text:'RAG With Chat Embed and Rerank via Pinecone' \n", - "Sources:\n", - "1. search_code_examples_680znd4ycmm3:2\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What is the Embed endpoint? Give me some code tutorials\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Query expansion in multi-turn conversations" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "A RAG chatbot needs to be able to infer the user's intent for a given query, sometimes based on a vague context.\n", - "\n", - "This is especially important in multi-turn conversations, where the user's intent may not be clear from a single query. \n", - "\n", - "For example, in the first turn, a user might ask \"What is A\" and in the second turn, they might ask \"Compare that with B and C\". So, the agent needs to be able to infer that the user's intent is to compare A with B and C.\n", - "\n", - "Let's see an example of this. First, note that the `run_agent` function is already set up to handle multi-turn conversations. It can take messages from the previous conversation turns and append them to the `messages` list.\n", - "\n", - "In the first turn, the user asks about the Chat endpoint, to which the agent duly responds." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "What is the Chat endpoint?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'What is the Chat endpoint?' \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"What is the Chat endpoint?\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The Chat endpoint facilitates a conversational interface, allowing users to send messages to the model and receive text responses.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 18| End:130| Text:'facilitates a conversational interface, allowing users to send messages to the model and receive text responses.' \n", - "Sources:\n", - "1. search_developer_docs_91yqedvwtgkj:3\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What is the Chat endpoint?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the second turn, the user asks a question that has two parts: first, how it's different from RAG, and then, for code examples.\n", - "\n", - "We pass the messages from the previous conversation turn to the `run_agent` function.\n", - "\n", - "Because of this, the agent is able to infer that the question is referring to the Chat endpoint even though the user didn't explicitly mention it.\n", - "\n", - "The agent then expands the query into two separate queries, one for the `search_code_examples` tool and one for the `search_developer_docs` tool." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "How is it different from RAG? Also any code tutorials?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'How is Chat endpoint different from RAG?' and 'Chat endpoint code tutorials'. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"How is Chat endpoint different from RAG?\"}\n", - "Tool name: search_code_examples | Parameters: {\"query\":\"Chat endpoint code tutorials\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The Chat endpoint facilitates a conversational interface, allowing users to send messages to the model and receive text responses. Retrieval Augmented Generation (RAG) is a method for generating text using additional information fetched from an external data source, which can greatly increase the accuracy of the response.\n", - "\n", - "Here are some code tutorials:\n", - "- RAG with Chat Embed and Rerank via Pinecone\n", - "- Build chatbots that know your business with MongoDB and Cohere\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 32| End:56| Text:'conversational interface' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:3\n", - "\n", - "\n", - "Start: 76| End:102| Text:'send messages to the model' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:3\n", - "\n", - "\n", - "Start: 107| End:130| Text:'receive text responses.' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:3\n", - "\n", - "\n", - "Start: 131| End:161| Text:'Retrieval Augmented Generation' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:4\n", - "\n", - "\n", - "Start: 162| End:167| Text:'(RAG)' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:4\n", - "\n", - "\n", - "Start: 184| End:199| Text:'generating text' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:4\n", - "\n", - "\n", - "Start: 206| End:265| Text:'additional information fetched from an external data source' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:4\n", - "\n", - "\n", - "Start: 277| End:323| Text:'greatly increase the accuracy of the response.' \n", - "Sources:\n", - "1. search_developer_docs_93b1gz27dq9d:4\n", - "\n", - "\n", - "Start: 357| End:400| Text:'RAG with Chat Embed and Rerank via Pinecone' \n", - "Sources:\n", - "1. search_code_examples_qj3q45zxk8gz:2\n", - "\n", - "\n", - "Start: 403| End:465| Text:'Build chatbots that know your business with MongoDB and Cohere' \n", - "Sources:\n", - "1. search_code_examples_qj3q45zxk8gz:3\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"How is it different from RAG? Also any code tutorials?\", messages)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n", - "\n", - "In this tutorial, we learned about:\n", - "- How query expansion works in an agentic RAG system\n", - "- How query expansion works over multiple data sources\n", - "- How query expansion works in multi-turn conversations\n", - "\n", - "Having said that, we may encounter even more complex queries that what we've seen so far. In particular, some queries require sequential reasoning where the retrieval needs to happen over multiple steps.\n", - "\n", - "In Part 3, we'll learn how the agentic RAG system can perform sequential reasoning." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_pt2_parallel_queries.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/agentic-rag/agentic_rag_pt3_sequential.ipynb b/notebooks/guides/agentic-rag/agentic_rag_pt3_sequential.ipynb index c210bcad..5de54c61 100644 --- a/notebooks/guides/agentic-rag/agentic_rag_pt3_sequential.ipynb +++ b/notebooks/guides/agentic-rag/agentic_rag_pt3_sequential.ipynb @@ -1,634 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Performing Tasks Sequentially" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Compare two user queries to a RAG chatbot, \"What was Apple's revenue in 2023?\" and \"What was the revenue in of the most valuable company in the US in 2023?\".\n", - "\n", - "While the first query is straightforward to handle, the second query requires breaking down into two steps:\n", - "1. Identify the most valuable company in the US in 2023\n", - "2. Get the revenue of the company in 2023\n", - "\n", - "These steps need to happen in a sequence rather than all at once. This is because the information retrieved from the first step is required to inform the second step.\n", - "\n", - "This is an example of sequential reasoning. In this tutorial, we'll learn how agentic RAG with Cohere handles sequential reasoning, and in particular:\n", - "- Multi-step tool calling\n", - "- Multi-step, parallel tool calling\n", - "- Self-correction\n", - "\n", - "We'll learn these by building an agent that answers questions about using Cohere." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client.\n", - "\n", - "We also need to import the tool definitions that we'll use in this tutorial.\n", - "\n", - "**IMPORTANT:**\n", - "\n", - "The source code for tool definitions can be [found here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/agentic-rag/tool_def.py). Make sure to have the `tool_def.py` file in the same directory as this notebook for the imports to work correctly." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere langchain langchain-community pydantic -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import cohere\n", - "\n", - "from tool_def import (\n", - " search_developer_docs,\n", - " search_developer_docs_tool,\n", - " search_internet,\n", - " search_internet_tool,\n", - " search_code_examples,\n", - " search_code_examples_tool,\n", - ")\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys\n", - "\n", - "os.environ[\"TAVILY_API_KEY\"] = \"TAVILY_API_KEY\" # We'll need the Tavily API key to perform internet search. Get your API key: https://app.tavily.com/home" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setting up the tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We set up the same set of tools as in Part 1. If you want further details on how to set up the tools, check out Part 1." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "functions_map = {\n", - " \"search_developer_docs\": search_developer_docs,\n", - " \"search_internet\": search_internet,\n", - " \"search_code_examples\": search_code_examples,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running an agentic RAG workflow" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We create a `run_agent` function to run the agentic RAG workflow, the same as in Part 1. If you want further details on how to set up the tools, check out Part 1." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " search_developer_docs_tool,\n", - " search_internet_tool,\n", - " search_code_examples_tool\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who helps developers use Cohere. You are equipped with a number of tools that can provide different types of information. If you can't find the information you need from one tool, you should try other tools if there is a possibility that they could provide the information you need.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "def run_agent(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - " \n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - " \n", - " # Step 1: get user message\n", - " print(f\"QUESTION:\\n{query}\")\n", - " print(\"=\"*50)\n", - " \n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - "\n", - " while response.message.tool_calls:\n", - " \n", - " print(\"TOOL PLAN:\")\n", - " print(response.message.tool_plan,\"\\n\")\n", - " print(\"TOOL CALLS:\")\n", - " for tc in response.message.tool_calls:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " print(\"=\"*50)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"tool_calls\": response.message.tool_calls, \"tool_plan\": response.message.tool_plan}) \n", - " \n", - " # Step 3: Get tool results\n", - " for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = []\n", - " for data in tool_result:\n", - " tool_content.append({\"type\": \"document\", \"document\": {\"data\": json.dumps(data)}})\n", - " # Optional: add an \"id\" field in the \"document\" object, otherwise IDs are auto-generated\n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - " \n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - " \n", - " # Print final response\n", - " print(\"RESPONSE:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " verbose_source = False # Change to True to display the contents of a source\n", - " if response.message.citations:\n", - " print(\"CITATIONS:\\n\")\n", - " for citation in response.message.citations:\n", - " print(f\"Start: {citation.start}| End:{citation.end}| Text:'{citation.text}' \")\n", - " print(\"Sources:\")\n", - " for idx, source in enumerate(citation.sources):\n", - " print(f\"{idx+1}. {source.id}\")\n", - " if verbose_source:\n", - " print(f\"{source.tool_output}\")\n", - " print(\"\\n\")\n", - " \n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multi-step tool calling" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's ask the agent a few questions, starting with this one about a specific feature. The user is asking about two things: a feature to reorder search results and code examples for that feature.\n", - "\n", - "In this case, the agent first needs to identify what that feature is before it can answer the second part of the question.\n", - "\n", - "This is reflected in the agent's tool plan, which describes the steps it will take to answer the question.\n", - "\n", - "So, it first calls the `search_developer_docs` tool to find the feature. \n", - "\n", - "It then discovers that the feature is Rerank. Using this information, it calls the `search_code_examples` tool to find code examples for that feature.\n", - "\n", - "Finally, it uses the retrieved information to answer both parts of the user's question." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "What's the Cohere feature to reorder search results? Do you have any code examples on that?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for the Cohere feature to reorder search results. Then I will search for code examples on that. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"reorder search results\"}\n", - "==================================================\n", - "TOOL PLAN:\n", - "I found that the Cohere feature to reorder search results is called the Rerank endpoint. I will now search for code examples on this. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_code_examples | Parameters: {\"query\":\"Rerank endpoint\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The Cohere feature to reorder search results is the Rerank endpoint. This endpoint takes in a query and a list of texts and produces an ordered array with each text assigned a relevance score.\n", - "\n", - "Here is a code example that uses the Rerank endpoint:\n", - "\n", - "RAG With Chat Embed and Rerank via Pinecone\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 52| End:68| Text:'Rerank endpoint.' \n", - "Sources:\n", - "1. search_developer_docs_07rw24b2sa29:0\n", - "\n", - "\n", - "Start: 83| End:192| Text:'takes in a query and a list of texts and produces an ordered array with each text assigned a relevance score.' \n", - "Sources:\n", - "1. search_developer_docs_07rw24b2sa29:0\n", - "\n", - "\n", - "Start: 249| End:292| Text:'RAG With Chat Embed and Rerank via Pinecone' \n", - "Sources:\n", - "1. search_code_examples_p6g6g21ev0re:2\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What's the Cohere feature to reorder search results? Do you have any code examples on that?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multi-step, parallel tool calling" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In Part 2, we saw how the Cohere API supports tool calling in parallel and now in a sequence. That also means that both scenarios can happen at the same time.\n", - "\n", - "Here's an examples. Suppose we ask the agent to find the leaders of the top 3 countries with the largest oil reserves.\n", - "\n", - "In the first step, it searches the Internet for information about the 3 countries with the largest oil reserves.\n", - "\n", - "And in the second step, it performs parallel searches for the leaders of the 3 identified countries." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Who are the leaders of the top 3 countries with the largest oil reserves.\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for the top 3 countries with the largest oil reserves. Then I will search for the leaders of each of these countries. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_internet | Parameters: {\"query\":\"top 3 countries with the largest oil reserves\"}\n", - "==================================================\n", - "TOOL PLAN:\n", - "I have found that the top three countries with the largest oil reserves are Venezuela, Saudi Arabia and Canada. Now I need to find out who the leaders of these countries are. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_internet | Parameters: {\"query\":\"Who is the leader of Venezuela?\"}\n", - "Tool name: search_internet | Parameters: {\"query\":\"Who is the leader of Saudi Arabia?\"}\n", - "Tool name: search_internet | Parameters: {\"query\":\"Who is the leader of Canada?\"}\n", - "==================================================\n", - "RESPONSE:\n", - "The top three countries with the largest oil reserves are Venezuela, Saudi Arabia, and Canada.\n", - "\n", - "The leader of Venezuela is Nicolás Maduro. Maduro was born on November 23, 1962, in Caracas, Venezuela. He won a special election in April 2013 to serve out the remainder of the term of Venezuelan President Hugo Chávez, who had died in March. Maduro, a former labor leader, became the interim president following Chávez's death.\n", - "\n", - "The leader of Saudi Arabia is Mohammed bin Salman. He was born on August 31, 1985, and is the eldest child of Salman bin Abdulaziz Al Saud and his third wife, Fahda bint Falah bin Sultan bin Hathleen al-Ajmi, the daughter of the head of a powerful Arabian tribe, known as the Al Ajman.\n", - "\n", - "The leader of Canada is Justin Trudeau. He was born on December 25, 1971, in Ottawa, Canada. He is the oldest son of former prime minister Pierre Trudeau and his wife, Margaret. Trudeau is the 23rd Prime Minister of Canada and the proud father of Xavier, Ella-Grace, and Hadrien.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 58| End:67| Text:'Venezuela' \n", - "Sources:\n", - "1. search_internet_bf18bye7vnst:0\n", - "2. search_internet_bf18bye7vnst:1\n", - "3. search_internet_bf18bye7vnst:3\n", - "\n", - "\n", - "Start: 69| End:81| Text:'Saudi Arabia' \n", - "Sources:\n", - "1. search_internet_bf18bye7vnst:0\n", - "2. search_internet_bf18bye7vnst:1\n", - "3. search_internet_bf18bye7vnst:3\n", - "\n", - "\n", - "Start: 87| End:94| Text:'Canada.' \n", - "Sources:\n", - "1. search_internet_bf18bye7vnst:0\n", - "2. search_internet_bf18bye7vnst:3\n", - "\n", - "\n", - "Start: 123| End:138| Text:'Nicolás Maduro.' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "2. search_internet_m3014vh1k2sn:1\n", - "3. search_internet_m3014vh1k2sn:2\n", - "4. search_internet_m3014vh1k2sn:3\n", - "\n", - "\n", - "Start: 158| End:199| Text:'November 23, 1962, in Caracas, Venezuela.' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "\n", - "\n", - "Start: 209| End:239| Text:'special election in April 2013' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "\n", - "\n", - "Start: 303| End:314| Text:'Hugo Chávez' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "\n", - "\n", - "Start: 324| End:338| Text:'died in March.' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "\n", - "\n", - "Start: 349| End:368| Text:'former labor leader' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "\n", - "\n", - "Start: 381| End:398| Text:'interim president' \n", - "Sources:\n", - "1. search_internet_m3014vh1k2sn:0\n", - "\n", - "\n", - "Start: 456| End:476| Text:'Mohammed bin Salman.' \n", - "Sources:\n", - "1. search_internet_sph85190s567:1\n", - "2. search_internet_sph85190s567:2\n", - "3. search_internet_sph85190s567:3\n", - "4. search_internet_sph85190s567:4\n", - "\n", - "\n", - "Start: 492| End:507| Text:'August 31, 1985' \n", - "Sources:\n", - "1. search_internet_sph85190s567:2\n", - "\n", - "\n", - "Start: 520| End:711| Text:'eldest child of Salman bin Abdulaziz Al Saud and his third wife, Fahda bint Falah bin Sultan bin Hathleen al-Ajmi, the daughter of the head of a powerful Arabian tribe, known as the Al Ajman.' \n", - "Sources:\n", - "1. search_internet_sph85190s567:2\n", - "\n", - "\n", - "Start: 737| End:752| Text:'Justin Trudeau.' \n", - "Sources:\n", - "1. search_internet_b3xre9say1kk:0\n", - "2. search_internet_b3xre9say1kk:1\n", - "3. search_internet_b3xre9say1kk:2\n", - "4. search_internet_b3xre9say1kk:3\n", - "5. search_internet_b3xre9say1kk:4\n", - "\n", - "\n", - "Start: 768| End:805| Text:'December 25, 1971, in Ottawa, Canada.' \n", - "Sources:\n", - "1. search_internet_b3xre9say1kk:0\n", - "2. search_internet_b3xre9say1kk:2\n", - "3. search_internet_b3xre9say1kk:4\n", - "\n", - "\n", - "Start: 816| End:890| Text:'oldest son of former prime minister Pierre Trudeau and his wife, Margaret.' \n", - "Sources:\n", - "1. search_internet_b3xre9say1kk:4\n", - "\n", - "\n", - "Start: 906| End:935| Text:'23rd Prime Minister of Canada' \n", - "Sources:\n", - "1. search_internet_b3xre9say1kk:0\n", - "2. search_internet_b3xre9say1kk:1\n", - "3. search_internet_b3xre9say1kk:2\n", - "\n", - "\n", - "Start: 944| End:992| Text:'proud father of Xavier, Ella-Grace, and Hadrien.' \n", - "Sources:\n", - "1. search_internet_b3xre9say1kk:0\n", - "2. search_internet_b3xre9say1kk:2\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Who are the leaders of the top 3 countries with the largest oil reserves.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Self-correction" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The concept of sequential reasoning is useful in a broader sense, particularly where the agent needs to adapt and change its plan midway in a task. \n", - "\n", - "In other words, it allows the agent to self-correct.\n", - "\n", - "To illustrate this, let's look at an example. Here, the user is asking about the Cohere safety mode feature.\n", - "\n", - "Given the nature of the question, the agent correctly identifies that it needs to find required information via the `search_developer_docs` tool.\n", - "\n", - "However, we know that the tool doesn't contain this information because we have only added a small sample of documents.\n", - "\n", - "As a result, the agent, having received the documents back without any relevant information, decides to search the internet instead. This is also helped by the fact that we have added specific instructions in the `search_internet` tool to search the internet for information not found in the developer documentation.\n", - "\n", - "It finally has the information it needs, and uses it to answer the user's question.\n", - "\n", - "This highlights another important aspect of agentic RAG, which allows a RAG system to be flexible. This is achieved by powering the retrieval component with an LLM.\n", - "\n", - "On the other hand, a standard RAG system would typically hand-engineer this, and hence, is more rigid." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "How does the Cohere safety mode feature work.\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'How does the Cohere safety mode feature work?' \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_developer_docs | Parameters: {\"query\":\"How does the Cohere safety mode feature work?\"}\n", - "==================================================\n", - "TOOL PLAN:\n", - "I could not find any information about the Cohere safety mode feature in the developer documentation. I will now search the internet to see if I can find any information. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_internet | Parameters: {\"query\":\"How does the Cohere safety mode feature work?\"}\n", - "==================================================\n", - "RESPONSE:\n", - "Cohere's Safety Modes aim to illustrate what model behaviours will look like under specific scenarios, thereby introducing a nuanced approach that is sensitive to context. By transparently communicating the strengths and boundaries of each mode, Cohere intends to set clear usage expectations while keeping safety as its top priority.\n", - "\n", - "Safety Modes work with Cohere's newest refreshed models, but not with older iterations. Users can switch between modes by simply adding the safety_mode parameter and choosing one of the options below.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 29| End:101| Text:'illustrate what model behaviours will look like under specific scenarios' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:1\n", - "\n", - "\n", - "Start: 125| End:171| Text:'nuanced approach that is sensitive to context.' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:1\n", - "\n", - "\n", - "Start: 175| End:244| Text:'transparently communicating the strengths and boundaries of each mode' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:1\n", - "\n", - "\n", - "Start: 264| End:292| Text:'set clear usage expectations' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:1\n", - "\n", - "\n", - "Start: 299| End:334| Text:'keeping safety as its top priority.' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:1\n", - "\n", - "\n", - "Start: 349| End:423| Text:'work with Cohere's newest refreshed models, but not with older iterations.' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:0\n", - "\n", - "\n", - "Start: 424| End:536| Text:'Users can switch between modes by simply adding the safety_mode parameter and choosing one of the options below.' \n", - "Sources:\n", - "1. search_internet_64qs25r4ssd6:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"How does the Cohere safety mode feature work.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n", - "\n", - "In this tutorial, we learned about:\n", - "- How multi-step tool calling works\n", - "- How multi-step, parallel tool calling works\n", - "- How multi-step tool calling enables an agent to self-correct, and hence, be more flexible\n", - "\n", - "However, up until now, we have only worked with purely unstructured data, the type of data we typically encounter in a standard RAG system.\n", - "\n", - "In the coming chapters, we'll add another complexity to the agentic RAG system – working with semi-structured and structured data. This adds another dimension to the agent's flexibility, which is dealing with a more diverse set of data sources.\n", - "\n", - "In Part 4, we'll learn how to build an agent that can perform faceted queries over semi-structured data." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_pt3_sequential.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/agentic-rag/agentic_rag_pt4_multi_faceted_queries.ipynb b/notebooks/guides/agentic-rag/agentic_rag_pt4_multi_faceted_queries.ipynb index fafa696b..a83b310a 100644 --- a/notebooks/guides/agentic-rag/agentic_rag_pt4_multi_faceted_queries.ipynb +++ b/notebooks/guides/agentic-rag/agentic_rag_pt4_multi_faceted_queries.ipynb @@ -1,528 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Generating Multi-Faceted Queries" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Consider a RAG system that needs to search through a large database of code examples and tutorials. A user might ask for \"Python examples using the chat endpoint\" or \"JavaScript tutorials for text summarization\".\n", - "\n", - "In a basic RAG setup, these queries would be passed as-is to a search function, potentially missing important context or failing to leverage the structured nature of the data. For example, the code examples database might consist of metadata such as the programming language, the created time, the tech stack used, and so on.\n", - "\n", - "It would be great if we could design a system that could leverage this metadata as a filter to retrieve only the relevant results.\n", - "\n", - "We can achieve this using a tool use approach. Here, we can build a system that generates multi-faceted queries to capture the full intent of a user's request. This allows for more precise and relevant results by utilizing the semi-structured nature of the data.\n", - "\n", - "Here are some examples of how this approach can be applied:\n", - "1. E-commerce product searches: Filtering by price range, category, brand, customer ratings, and availability.\n", - "2. Academic research databases: Narrowing results by publication year, field of study, citation count, and peer-review status.\n", - "3. Job search platforms: Refining job listings by location, experience level, salary range, and required skills.\n", - "\n", - "In this tutorial, we'll cover:\n", - "- Defining the function for data querying\n", - "- Creating the tool for generating multi-faceted queries\n", - "- Building an agent for performing multi-faceted queries\n", - "- Running the agent\n", - "\n", - "We'll build an agent that helps developers find relevant code examples and tutorials for using Cohere." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import cohere\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Defining the function for data querying" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We'll remove the other tools from Part 1 and just use one – `search_code_examples`.\n", - "\n", - "Now, instead of just the `query` parameter, we'll add two more parameters: `programming_language` and `endpoints`:\n", - "- `programming_language`: The programming language of the code example or tutorial.\n", - "- `endpoints`: The Cohere endpoints used in the code example or tutorial.\n", - "\n", - "We'll use these parameters as the metadata to filter the code examples and tutorials.\n", - "\n", - "Let's rename the function to `search_code_examples_detailed` to reflect this change.\n", - "\n", - "And as in Part 1, for simplicity, we create `query` as just a mock parameter and no actual search logic will be performed based on it." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**IMPORTANT:**\n", - "\n", - "The source code for tool definitions can be [found here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/agentic-rag/tool_def.py). Make sure to have the `tool_def.py` file in the same directory as this notebook for the imports to work correctly." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "from tool_def import (\n", - " search_code_examples_detailed,\n", - " search_code_examples_detailed_tool,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "functions_map = {\n", - " \"search_code_examples_detailed\": search_code_examples_detailed,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating the tool for generating multi-faceted queries" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "With the `search_code_examples` modified, we now need to modify the tool definition as well. Here, we are adding the two new properties to the tool definition:\n", - "- `programming_language`: This is a string property which we provide a list of options for the model to choose from. We do this by adding \"Possible enum values\" to the description, which in our case is `py, js`.\n", - "- `endpoints`: We want the model to be able to choose from more than one endpoint, and so here we define an array property. When defining an array property, we need to specify the type of the items in the array using the `items` key, which in our case is `string`. We also provide a list of endpoint options for the model to choose from, which is `chat, embed, rerank, classify`.\n", - "\n", - "We make only the `query` parameter required, while the other two parameters are optional." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [search_code_examples_detailed_tool]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Building an agent for performing multi-faceted queries" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, let's create a `run_agent` function to run the agentic RAG workflow, the same as in Part 1.\n", - "\n", - "The only change we are making here is to make the system message simpler and more specific since the agent now only has one tool." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who helps developers find code examples and tutorials on using Cohere.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "def run_agent(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - " \n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - " \n", - " # Step 1: get user message\n", - " print(f\"QUESTION:\\n{query}\")\n", - " print(\"=\"*50)\n", - " \n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - "\n", - " while response.message.tool_calls:\n", - " \n", - " print(\"TOOL PLAN:\")\n", - " print(response.message.tool_plan,\"\\n\")\n", - " print(\"TOOL CALLS:\")\n", - " for tc in response.message.tool_calls:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " print(\"=\"*50)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"tool_calls\": response.message.tool_calls, \"tool_plan\": response.message.tool_plan}) \n", - " \n", - " # Step 3: Get tool results\n", - " for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = []\n", - " for data in tool_result:\n", - " tool_content.append({\"type\": \"document\", \"document\": {\"data\": json.dumps(data)}})\n", - " # Optional: add an \"id\" field in the \"document\" object, otherwise IDs are auto-generated\n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - " \n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - " \n", - " # Print final response\n", - " print(\"RESPONSE:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " verbose_source = False # Change to True to display the contents of a source\n", - " if response.message.citations:\n", - " print(\"CITATIONS:\\n\")\n", - " for citation in response.message.citations:\n", - " print(f\"Start: {citation.start}| End:{citation.end}| Text:'{citation.text}' \")\n", - " print(\"Sources:\")\n", - " for idx, source in enumerate(citation.sources):\n", - " print(f\"{idx+1}. {source.id}\")\n", - " if verbose_source:\n", - " print(f\"{source.tool_output}\")\n", - " print(\"\\n\")\n", - " \n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running the agent" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's start with a broad query about \"RAG code examples\".\n", - "\n", - "Since it's broad, this query shouldn't require any metadata filtering.\n", - "\n", - "And this is shown by the agent's response, which provides only one parameter, `query`, in its tool call." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Do you have any RAG code examples\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for RAG code examples. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_code_examples_detailed | Parameters: {\"query\":\"RAG\"}\n", - "==================================================\n", - "RESPONSE:\n", - "Yes, we have a code example for RAG with Chat Embed and Rerank via Pinecone.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 32| End:76| Text:'RAG with Chat Embed and Rerank via Pinecone.' \n", - "Sources:\n", - "1. search_code_examples_detailed_bqm9ww9cp4nw:2\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Do you have any RAG code examples\")\n", - "# Tool name: search_code_examples | Parameters: {\"query\":\"RAG code examples\"}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's try a more specific query about \"javascript tutorials on text summarization\". \n", - "\n", - "This time, the agent uses the `programming_language` parameter and passed the value `js` to it." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Javascript tutorials on summarization\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for 'summarization' in the 'js' programming language. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_code_examples_detailed | Parameters: {\"programming_language\":\"js\",\"query\":\"summarization\"}\n", - "==================================================\n", - "RESPONSE:\n", - "Here is a tutorial on building a Chrome extension to summarize web pages using JavaScript.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 22| End:72| Text:'building a Chrome extension to summarize web pages' \n", - "Sources:\n", - "1. search_code_examples_detailed_hf9rv18987vp:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Javascript tutorials on summarization\")\n", - "# Tool name: search_code_examples | Parameters: {\"programming_language\":\"js\",\"query\":\"...\"}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's now try a query that involves filtering based on the endpoints. Here, the user asks for \"code examples of using embed and rerank endpoints\".\n", - "\n", - "And since we have set up the `endpoints` parameter to be an array, the agent is able to call the tool with a list of endpoints as its argument." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Code examples of using embed and rerank endpoints.\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for code examples of using the embed and rerank endpoints. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_code_examples_detailed | Parameters: {\"endpoints\":[\"embed\",\"rerank\"],\"query\":\"embed and rerank\"}\n", - "==================================================\n", - "RESPONSE:\n", - "Here are some code examples of using the embed and rerank endpoints:\n", - "- Wikipedia Semantic Search with Cohere Embedding Archives\n", - "- RAG With Chat Embed and Rerank via Pinecone\n", - "- Build Chatbots That Know Your Business with MongoDB and Cohere\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 71| End:127| Text:'Wikipedia Semantic Search with Cohere Embedding Archives' \n", - "Sources:\n", - "1. search_code_examples_detailed_d3br7bwd05bp:0\n", - "\n", - "\n", - "Start: 130| End:173| Text:'RAG With Chat Embed and Rerank via Pinecone' \n", - "Sources:\n", - "1. search_code_examples_detailed_d3br7bwd05bp:1\n", - "\n", - "\n", - "Start: 176| End:238| Text:'Build Chatbots That Know Your Business with MongoDB and Cohere' \n", - "Sources:\n", - "1. search_code_examples_detailed_d3br7bwd05bp:2\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Code examples of using embed and rerank endpoints.\")\n", - "\n", - "# Tool name: search_code_examples | Parameters: {\"endpoints\":[\"embed\",\"rerank\"],\"query\":\"...\"}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Finally, let's try a query that involves filtering based on both the programming language and the endpoints. Here, the user asks for \"Python examples of using the chat endpoint\".\n", - "\n", - "And the agent correctly uses both parameters to query the code examples." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUESTION:\n", - "Python examples of using the chat endpoint.\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will search for Python examples of using the chat endpoint. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: search_code_examples_detailed | Parameters: {\"endpoints\":[\"chat\"],\"programming_language\":\"py\",\"query\":\"Python examples of using the chat endpoint\"}\n", - "==================================================\n", - "RESPONSE:\n", - "Here are some Python examples of using the chat endpoint:\n", - "- Calendar Agent with Native Multi Step Tool\n", - "- RAG With Chat Embed and Rerank via Pinecone\n", - "- Build Chatbots That Know Your Business with MongoDB and Cohere\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 60| End:102| Text:'Calendar Agent with Native Multi Step Tool' \n", - "Sources:\n", - "1. search_code_examples_detailed_kp25g4k49ej5:0\n", - "\n", - "\n", - "Start: 105| End:148| Text:'RAG With Chat Embed and Rerank via Pinecone' \n", - "Sources:\n", - "1. search_code_examples_detailed_kp25g4k49ej5:2\n", - "\n", - "\n", - "Start: 151| End:213| Text:'Build Chatbots That Know Your Business with MongoDB and Cohere' \n", - "Sources:\n", - "1. search_code_examples_detailed_kp25g4k49ej5:3\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Python examples of using the chat endpoint.\")\n", - "\n", - "#Tool name: search_code_examples | Parameters: {\"endpoints\":[\"chat\"],\"programming_language\":\"py\",\"query\":\"...\"}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n", - "\n", - "In this tutorial, we learned about:\n", - "- How to define the function for data querying\n", - "- How to create the tool for generating multi-faceted queries\n", - "- How to build an agent for performing multi-faceted queries\n", - "- How to run the agentw\n", - "\n", - "By implementing multi-faceted queries over semi-structured data, we've enhanced our RAG system to handle more specific and targeted searches. This approach allows for better utilization of metadata and more precise filtering of results, which is particularly useful when dealing with large collections of code examples and tutorials.\n", - "\n", - "While this tutorial demonstrates how to work with semi-structured data, the agentic RAG approach can be applied to structured data as well. That means we can build agents that can translate natural language queries into queries for tables or relational databases.\n", - "\n", - "In Part 5, we'll learn how to perform RAG over structured data (tables)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_pt4_multi_faceted_queries.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/agentic-rag/agentic_rag_pt5_structured_data_tables.ipynb b/notebooks/guides/agentic-rag/agentic_rag_pt5_structured_data_tables.ipynb index dbdf8ba6..80d1d1f6 100644 --- a/notebooks/guides/agentic-rag/agentic_rag_pt5_structured_data_tables.ipynb +++ b/notebooks/guides/agentic-rag/agentic_rag_pt5_structured_data_tables.ipynb @@ -1,690 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Querying Structured Data (Tables)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the previous tutorials, we explored how to build agentic RAG applications over unstructured and semi-structured data. Now, we'll see how to implement agentic RAG overstructured data. \n", - "\n", - "This tutorial focuses on querying tables, and the next tutorial will be about querying SQL databases.\n", - "\n", - "Consider a scenario where you have a CSV file containing evaluation results for an LLM application.\n", - "\n", - "A user might ask questions like \"What's the average score for a specific use case?\" or \"Which configuration has the lowest latency?\". These queries require not just retrieval, but also data analysis and interpretation.\n", - "\n", - "In this tutorial, we'll cover:\n", - "- Creating a function to execute Python code\n", - "- Setting up a tool to interact with tabular data\n", - "- Building an agent for querying tabular data\n", - "- Running the agent\n", - "\n", - "Let's get started by setting up our environment and defining the necessary tools for our agent." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere pandas -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import cohere\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here's the data we'll be working with. `evaluation_results.csv` is a CSV file containing evaluation results for a set of LLM applications - name extraction, email drafting, and article summarization.\n", - "\n", - "The file has the following columns:\n", - "- `usecase`: The use case.\n", - "- `run`: The run ID.\n", - "- `score`: The evaluation score for a particular run.\n", - "- `temperature`: The temperature setting of the model for a particular run.\n", - "- `tokens`: The number of tokens generated by the model for a particular run.\n", - "- `latency`: The latency of the model's response for a particular run." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Important: the data can be [found here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/agentic-rag/evaluation_results.csv). Make sure to have the file in the same directory as this notebook for the imports to work correctly." - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    usecaserunscoretemperaturetokenslatency
    0extract_namesA0.50.31031.12
    1draft_emailA0.60.32522.50
    2summarize_articleA0.80.33504.20
    3extract_namesB0.20.31012.85
    4draft_emailB0.40.32303.20
    \n", - "
    " - ], - "text/plain": [ - " usecase run score temperature tokens latency\n", - "0 extract_names A 0.5 0.3 103 1.12\n", - "1 draft_email A 0.6 0.3 252 2.50\n", - "2 summarize_article A 0.8 0.3 350 4.20\n", - "3 extract_names B 0.2 0.3 101 2.85\n", - "4 draft_email B 0.4 0.3 230 3.20" - ] - }, - "execution_count": 32, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import pandas as pd\n", - "\n", - "df = pd.read_csv('evaluation_results.csv')\n", - "\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating a function to execute Python code" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here, we introduce a new tool that allows the agent to execute Python code and return the result. The agent will use this tool to generate pandas code for querying the data.\n", - "\n", - "To create this tool, we'll use the `PythonREPL` class from the `langchain_experimental.utilities` module. This class provides a sandboxed environment for executing Python code and returns the result.\n", - "\n", - "First, we define a `python_tool` that uses the `PythonREPL` class to execute Python code and return the result.\n", - "\n", - "Next, we define a `ToolInput` class to handle the input for the `python_tool`.\n", - "\n", - "Finally, we create a function `analyze_evaluation_results` that takes a string of Python code as input, executes the code using the Python tool we created, and returns the result." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**IMPORTANT:**\n", - "\n", - "The source code for tool definitions can be [found here](https://github.com/cohere-ai/notebooks/blob/main/notebooks/guides/agentic-rag/tool_def.py). Make sure to have the `tool_def.py` file in the same directory as this notebook for the imports to work correctly." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "from tool_def import (\n", - " analyze_evaluation_results,\n", - " analyze_evaluation_results_tool,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "metadata": {}, - "outputs": [], - "source": [ - "functions_map = {\n", - " \"analyze_evaluation_results\": analyze_evaluation_results\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setting up a tool to interact with tabular data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we define the `analyze_evaluation_results` tool. There are many ways we can set up a tool to work with CSV data, and in this example, we are using the tool description to provide the agent with the necessary context for working with the CSV file, such as:\n", - "- the name of the CSV file to load\n", - "- the columns of the CSV file\n", - "- additional instructions on what libraries to use (in this case, `pandas`)\n", - "\n", - "The parameter of this tool is the `code` string containing the Python code that the agent writes to analyze the data." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "```python\n", - "analyze_evaluation_results_tool = {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"analyze_evaluation_results\",\n", - " \"description\": \"Generate Python code using the pandas library to analyze evaluation results from a dataframe called `evaluation_results`. The dataframe has columns 'usecase','run','score','temperature','tokens', and 'latency'. You must start with `import pandas as pd` and read a CSV file called `evaluation_results.csv` into the `evaluation_results` dataframe.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"code\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"Executable Python code\"\n", - " }\n", - " },\n", - " \"required\": [\"code\"]\n", - " }\n", - " }\n", - "}\n", - "```" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [analyze_evaluation_results_tool]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Building an agent for querying tabular data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, let's create a `run_agent` function to run the agentic RAG workflow, the same as in Part 1.\n", - "\n", - "The only change we are making here is to make the system message simpler and more specific since the agent now only has one tool.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "metadata": {}, - "outputs": [], - "source": [ - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who helps developers find code examples and tutorials on using Cohere.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "def run_agent(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - " \n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - " \n", - " # Step 1: get user message\n", - " print(f\"Question:\\n{query}\")\n", - " print(\"=\"*50)\n", - " \n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - "\n", - " while response.message.tool_calls:\n", - " \n", - " print(\"TOOL PLAN:\")\n", - " print(response.message.tool_plan,\"\\n\")\n", - " print(\"TOOL CALLS:\")\n", - " for tc in response.message.tool_calls:\n", - " if tc.function.name == \"analyze_evaluation_results\":\n", - " print(f\"Tool name: {tc.function.name}\")\n", - " tool_call_prettified = print(\"\\n\".join(f\" {line}\" for line_num, line in enumerate(json.loads(tc.function.arguments)[\"code\"].splitlines())))\n", - " print(tool_call_prettified)\n", - " else:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " print(\"=\"*50)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"tool_calls\": response.message.tool_calls, \"tool_plan\": response.message.tool_plan}) \n", - " \n", - " # Step 3: Get tool results\n", - " for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = [({\"type\": \"document\", \"document\": {\"data\": json.dumps(tool_result)}})]\n", - " \n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - " \n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - " \n", - " # Print final response\n", - " print(\"RESPONSE:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " verbose_source = False # Change to True to display the contents of a source\n", - " if response.message.citations:\n", - " print(\"CITATIONS:\\n\")\n", - " for citation in response.message.citations:\n", - " print(f\"Start: {citation.start}| End:{citation.end}| Text:'{citation.text}' \")\n", - " print(\"Sources:\")\n", - " for idx, source in enumerate(citation.sources):\n", - " print(f\"{idx+1}. {source.id}\")\n", - " if verbose_source:\n", - " print(f\"{source.tool_output}\")\n", - " print(\"\\n\")\n", - " \n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running the agent" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's ask the agent a few questions, starting with this query about the average evaluation score in run A.\n", - "\n", - "To answer this query, the agent needs to write Python code that uses the pandas library to calculate the average evaluation score in run A. And it gets the answer right." - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "What's the average evaluation score in run A\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will use the `analyze_evaluation_results` tool to write Python code to find the average evaluation score in run A. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: analyze_evaluation_results\n", - " import pandas as pd\n", - " \n", - " df = pd.read_csv(\"evaluation_results.csv\")\n", - " \n", - " # Filter the dataframe to only include rows where the 'run' column is 'A'\n", - " filtered_df = df[df['run'] == 'A']\n", - " \n", - " # Calculate the average score for the filtered dataframe\n", - " average_score = filtered_df['score'].mean()\n", - " \n", - " print(f\"Average score in run A: {average_score}\")\n", - "None\n", - "==================================================\n", - "RESPONSE:\n", - "The average evaluation score in run A is **0.63**.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 43| End:47| Text:'0.63' \n", - "Sources:\n", - "1. analyze_evaluation_results_3m8j8s850pf6:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What's the average evaluation score in run A\")\n", - "# Answer: 0.63" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we ask a slightly more complex question, this time about the latency of the highest-scoring run for one use case. This requires the agent to filter based on the use case, find the highest-scoring run, and return the latency value." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "What's the latency of the highest-scoring run for the summarize_article use case?\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will write Python code to find the latency of the highest-scoring run for the summarize_article use case. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: analyze_evaluation_results\n", - " import pandas as pd\n", - " \n", - " df = pd.read_csv(\"evaluation_results.csv\")\n", - " \n", - " # Filter the dataframe to only include rows where usecase is 'summarize_article'\n", - " filtered_df = df[df['usecase'] == 'summarize_article']\n", - " \n", - " # Find the highest-scoring run\n", - " highest_score_run = filtered_df.loc[filtered_df['score'].idxmax()]\n", - " \n", - " # Print the latency of the highest-scoring run\n", - " print(f\"The latency of the highest-scoring run for the summarize_article use case is {highest_score_run['latency']} seconds.\")\n", - "None\n", - "==================================================\n", - "RESPONSE:\n", - "The latency of the highest-scoring run for the summarize_article use case is 4.8 seconds.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 77| End:89| Text:'4.8 seconds.' \n", - "Sources:\n", - "1. analyze_evaluation_results_n98b7a3hjdp3:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What's the latency of the highest-scoring run for the summarize_article use case?\")\n", - "# Answer: 4.8" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we ask a question to compare the use cases in terms of token usage, and to show a markdown table to show the comparison." - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Which use case uses the least amount of tokens on average? Show the comparison of all use cases in a markdown table.\n", - "==================================================\n", - "TOOL PLAN:\n", - "I will use the `analyze_evaluation_results` tool to write Python code to find the use case that uses the least amount of tokens on average. I will also create a markdown table to compare all use cases. \n", - "\n", - "TOOL CALLS:\n", - "Tool name: analyze_evaluation_results\n", - " import pandas as pd\n", - " \n", - " df = pd.read_csv(\"evaluation_results.csv\")\n", - " \n", - " # Calculate the average number of tokens for each use case\n", - " average_tokens = df.groupby(\"usecase\")[\"tokens\"].mean()\n", - " \n", - " # Find the use case with the least average tokens\n", - " least_tokens_usecase = average_tokens.idxmin()\n", - " least_tokens_usecase_value = average_tokens.min()\n", - " \n", - " # Create a markdown table to compare all use cases\n", - " markdown_table = average_tokens.reset_index().to_markdown(index=False)\n", - " \n", - " print(f\"The use case with the least average tokens is {least_tokens_usecase} with {least_tokens_usecase_value} tokens.\")\n", - " print(f\"\\nComparison of all use cases:\\n{markdown_table}\")\n", - "None\n", - "==================================================\n", - "RESPONSE:\n", - "The use case with the least average tokens is `extract_names` with 106.25 tokens.\n", - "\n", - "| usecase | tokens |\n", - "|---|---|\n", - "| draft_email | 245.75 |\n", - "| extract_names | 106.25 |\n", - "| summarize_article | 355.75 |\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 46| End:61| Text:'`extract_names`' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 67| End:73| Text:'106.25' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 116| End:127| Text:'draft_email' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 130| End:136| Text:'245.75' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 141| End:154| Text:'extract_names' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 157| End:163| Text:'106.25' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 168| End:185| Text:'summarize_article' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n", - "Start: 188| End:194| Text:'355.75' \n", - "Sources:\n", - "1. analyze_evaluation_results_5dy7vfd0zcdk:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Which use case uses the least amount of tokens on average? Show the comparison of all use cases in a markdown table.\")\n", - "# Answer: extract_names (106.25), draft_email (245.75), summarize_article (355.75)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n", - "\n", - "In this tutorial, we learned about:\n", - "- How to create a function to execute Python code\n", - "- How to set up a tool to interact with tabular data\n", - "- How to run the agent\n", - "\n", - "By implementing these techniques, we've expanded our agentic RAG system to handle structured data in the form of tables.\n", - "\n", - "While this tutorial demonstrated how to work with tabular data using pandas and Python, the agentic RAG approach can be applied to other forms of structured data as well. This means we can build agents that can translate natural language queries into various types of data analysis tasks.\n", - "\n", - "In Part 6, we'll learn how to do structured query generation for SQL databases." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_pt5_structured_data_tables.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/agentic-rag/agentic_rag_pt6_structured_data_SQL.ipynb b/notebooks/guides/agentic-rag/agentic_rag_pt6_structured_data_SQL.ipynb index 25523d68..52eb00e4 100644 --- a/notebooks/guides/agentic-rag/agentic_rag_pt6_structured_data_SQL.ipynb +++ b/notebooks/guides/agentic-rag/agentic_rag_pt6_structured_data_SQL.ipynb @@ -1,582 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Querying Structured Data (SQL)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the previous tutorial, we explored how agentic RAG can handle complex queries on structured data in the form of tables using pandas. Now, we'll see how we can do the same for SQL databases.\n", - "\n", - "Consider a scenario similar to the previous tutorial where we have evaluation results for an LLM application. However, instead of a CSV file, this data is now stored in a SQLite database. Users might still ask questions like \"What's the average score for a specific use case?\" or \"Which configuration has the lowest latency?\", but now we'll answer these using SQL queries instead of pandas operations.\n", - "\n", - "In this tutorial, we'll cover:\n", - "- Setting up a SQLite database\n", - "- Creating a function to execute SQL queries\n", - "- Building an agent for querying SQL databases\n", - "- Running the agent with various types of queries\n", - "\n", - "By implementing these techniques, we'll expand our agentic RAG system to handle structured data in SQL databases, complementing our previous work with tabular data in pandas.\n", - "\n", - "Let's get started by setting up our environment and creating our SQLite database." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere pandas -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "import json\n", - "import os\n", - "import cohere\n", - "import sqlite3\n", - "import pandas as pd\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating a SQLite database" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we'll create a SQLite database to store our evaluation results. SQLite is a lightweight, serverless database engine that's perfect for small to medium-sized applications. Here's what we're going to do:\n", - "\n", - "1. Create a new SQLite database file named `evaluation_results.db`.\n", - "2. Create a table called `evaluation_results` with columns for `usecase`, `run`, `score`, `temperature`, `tokens`, and `latency`.\n", - "3. Insert sample data into the table to simulate our evaluation results." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "# Create a connection to a new SQLite database (or connect to an existing one)\n", - "conn = sqlite3.connect('evaluation_results.db')\n", - "cursor = conn.cursor()\n", - "\n", - "# Execute the CREATE TABLE command\n", - "cursor.execute('''\n", - "CREATE TABLE evaluation_results (\n", - " usecase TEXT,\n", - " run TEXT,\n", - " score FLOAT,\n", - " temperature FLOAT,\n", - " tokens INTEGER,\n", - " latency FLOAT\n", - ")\n", - "''')\n", - "\n", - "# Execute the INSERT commands\n", - "data = [\n", - " ('extract_names', 'A', 0.5, 0.3, 103, 1.12),\n", - " ('draft_email', 'A', 0.6, 0.3, 252, 2.5),\n", - " ('summarize_article', 'A', 0.8, 0.3, 350, 4.2),\n", - " ('extract_names', 'B', 0.2, 0.3, 101, 2.85),\n", - " ('draft_email', 'B', 0.4, 0.3, 230, 3.2),\n", - " ('summarize_article', 'B', 0.6, 0.3, 370, 4.2),\n", - " ('extract_names', 'C', 0.7, 0.3, 101, 2.22),\n", - " ('draft_email', 'C', 0.5, 0.3, 221, 2.5),\n", - " ('summarize_article', 'C', 0.1, 0.3, 361, 3.9),\n", - " ('extract_names', 'D', 0.7, 0.5, 120, 3.2),\n", - " ('draft_email', 'D', 0.8, 0.5, 280, 3.4),\n", - " ('summarize_article', 'D', 0.9, 0.5, 342, 4.8)\n", - "]\n", - "\n", - "cursor.executemany('INSERT INTO evaluation_results VALUES (?,?,?,?,?,?)', data)\n", - "\n", - "# Commit the changes and close the connection\n", - "conn.commit()\n", - "conn.close()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating a function to query a SQL database" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we'll define a function called `sql_table_query` that allows us to execute SQL queries on our evaluation_results database.\n", - "\n", - "This function will enable us to retrieve and analyze data from our evaluation_results table, allowing for dynamic querying based on our specific needs.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "def sql_table_query(query: str) -> dict:\n", - " \"\"\"\n", - " Execute an SQL query on the evaluation_results table and return the result as a dictionary.\n", - " \n", - " Args:\n", - " query (str): SQL query to execute on the evaluation_results table\n", - " \n", - " Returns:\n", - " dict: Result of the SQL query\n", - " \"\"\"\n", - " try:\n", - " # Connect to the SQLite database\n", - " conn = sqlite3.connect('evaluation_results.db')\n", - " \n", - " # Execute the query and fetch the results into a DataFrame\n", - " df = pd.read_sql_query(query, conn)\n", - " \n", - " # Close the connection\n", - " conn.close()\n", - " \n", - " # Convert DataFrame to dictionary\n", - " result_dict = df.to_dict(orient='records')\n", - " \n", - " return result_dict\n", - " \n", - " except sqlite3.Error as e:\n", - " print(f\"An error occurred: {e}\")\n", - " return str(e)\n", - " except Exception as e:\n", - " print(f\"An unexpected error occurred: {e}\")\n", - " return str(e)\n", - " \n", - "functions_map = {\n", - " \"sql_table_query\": sql_table_query\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can test the function by running a simple query:" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[{'usecase': 'extract_names', 'run': 'A', 'score': 0.5, 'temperature': 0.3, 'tokens': 103, 'latency': 1.12}, {'usecase': 'extract_names', 'run': 'B', 'score': 0.2, 'temperature': 0.3, 'tokens': 101, 'latency': 2.85}, {'usecase': 'extract_names', 'run': 'C', 'score': 0.7, 'temperature': 0.3, 'tokens': 101, 'latency': 2.22}, {'usecase': 'extract_names', 'run': 'D', 'score': 0.7, 'temperature': 0.5, 'tokens': 120, 'latency': 3.2}]\n" - ] - } - ], - "source": [ - "result = sql_table_query(\"SELECT * FROM evaluation_results WHERE usecase = 'extract_names'\")\n", - "print(result)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setting up a tool to interact with the database" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we'll create a tool that will allow the agent to interact with the SQLite database containing our evaluation results." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "sql_table_query_tool = {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"sql_table_query\",\n", - " \"description\": \"Execute an SQL query on the evaluation_results table in the SQLite database. The table has columns 'usecase', 'run', 'score', 'temperature', 'tokens', and 'latency'.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"query\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"SQL query to execute on the evaluation_results table\"\n", - " }\n", - " },\n", - " \"required\": [\"query\"]\n", - " }\n", - " }\n", - "}\n", - "\n", - "tools = [sql_table_query_tool]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Building an agent for querying SQL data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, let's create a `run_agent` function to run the agentic RAG workflow, the same as in Part 1.\n", - "\n", - "The only change we are making here is to make the system message more specific and describe the database schema to the agent.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [], - "source": [ - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who helps developers analyze LLM application evaluation results from a SQLite database. The database contains a table named 'evaluation_results' with the following schema:\n", - "\n", - "- usecase (TEXT): The type of task being evaluated\n", - "- run (TEXT): The identifier for a specific evaluation run\n", - "- score (REAL): The performance score of the run\n", - "- temperature (REAL): The temperature setting used for the LLM\n", - "- tokens (INTEGER): The number of tokens used in the run\n", - "- latency (REAL): The time taken for the run in seconds\n", - "\n", - "You can use SQL queries to analyze this data and provide insights to the developers.\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "def run_agent(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - " \n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - " \n", - " # Step 1: get user message\n", - " print(f\"Question:\\n{query}\")\n", - " print(\"=\"*50)\n", - " \n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - "\n", - " while response.message.tool_calls:\n", - " \n", - " print(\"Tool plan:\")\n", - " print(response.message.tool_plan,\"\\n\")\n", - " print(\"Tool calls:\")\n", - " for tc in response.message.tool_calls:\n", - " # print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " if tc.function.name == \"analyze_evaluation_results\":\n", - " print(f\"Tool name: {tc.function.name}\")\n", - " tool_call_prettified = print(\"\\n\".join(f\" {line}\" for line_num, line in enumerate(json.loads(tc.function.arguments)[\"code\"].splitlines())))\n", - " print(tool_call_prettified)\n", - " else:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - " print(\"=\"*50)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"tool_calls\": response.message.tool_calls, \"tool_plan\": response.message.tool_plan}) \n", - " \n", - " # Step 3: Get tool results\n", - " for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = [({\"type\": \"document\", \"document\": {\"data\": json.dumps(tool_result)}})]\n", - " \n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " model=model,\n", - " messages=messages,\n", - " tools=tools,\n", - " temperature=0.1\n", - " )\n", - " \n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - " \n", - " # Print final response\n", - " print(\"Response:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " verbose_source = False # Change to True to display the contents of a source\n", - " if response.message.citations:\n", - " print(\"CITATIONS:\\n\")\n", - " for citation in response.message.citations:\n", - " print(f\"Start: {citation.start}| End:{citation.end}| Text:'{citation.text}' \")\n", - " print(\"Sources:\")\n", - " for idx, source in enumerate(citation.sources):\n", - " print(f\"{idx+1}. {source.id}\")\n", - " if verbose_source:\n", - " print(f\"{source.tool_output}\")\n", - " print(\"\\n\")\n", - " \n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running the agent" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's now ask the agent the same set of questions we asked in the previous chapter. While the previous chapter translates the questions into pandas Python code, this time the agent will be using SQL queries." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "What's the average evaluation score in run A\n", - "==================================================\n", - "Tool plan:\n", - "I will write a SQL query to find the average evaluation score in run A. \n", - "\n", - "Tool calls:\n", - "Tool name: sql_table_query | Parameters: {\"query\":\"SELECT AVG(score) FROM evaluation_results WHERE run = 'A'\"}\n", - "==================================================\n", - "Response:\n", - "The average evaluation score in run A is **0.63**.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 43| End:47| Text:'0.63' \n", - "Sources:\n", - "1. sql_table_query_jm4e5yp0ptad:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What's the average evaluation score in run A\")\n", - "# Answer: 0.63" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "What's the latency of the highest-scoring run for the summarize_article use case?\n", - "==================================================\n", - "Tool plan:\n", - "I will write and execute a SQL query to find the latency of the highest-scoring run for the summarize_article use case. \n", - "\n", - "Tool calls:\n", - "Tool name: sql_table_query | Parameters: {\"query\":\"SELECT latency FROM evaluation_results WHERE usecase = 'summarize_article' ORDER BY score DESC LIMIT 1\"}\n", - "==================================================\n", - "Response:\n", - "The latency of the highest-scoring run for the summarize_article use case is 4.8 seconds.\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 77| End:89| Text:'4.8 seconds.' \n", - "Sources:\n", - "1. sql_table_query_mxyzvcnsgdab:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"What's the latency of the highest-scoring run for the summarize_article use case?\")\n", - "# Answer: 4.8" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Which use case uses the least amount of tokens on average? Show the comparison of all use cases in a markdown table.\n", - "==================================================\n", - "Tool plan:\n", - "I will use the SQL tool to query the database for the average number of tokens used for each use case. I will then use the directly_answer tool to present the results in a markdown table. \n", - "\n", - "Tool calls:\n", - "Tool name: sql_table_query | Parameters: {\"query\":\"SELECT usecase, AVG(tokens) as avg_tokens FROM evaluation_results GROUP BY usecase ORDER BY avg_tokens ASC\"}\n", - "==================================================\n", - "Response:\n", - "The use case that uses the least amount of tokens on average is `extract_names`, with an average of 106.25 tokens. Here's a table comparing the average number of tokens used for all use cases:\n", - "\n", - "| Use Case | Average Tokens |\n", - "|---|---|\n", - "| `extract_names` | 106.25 |\n", - "| `draft_email` | 245.75 |\n", - "| `summarize_article` | 355.75 |\n", - "==================================================\n", - "CITATIONS:\n", - "\n", - "Start: 64| End:114| Text:'`extract_names`, with an average of 106.25 tokens.' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n", - "Start: 236| End:250| Text:'`extract_names' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n", - "Start: 254| End:260| Text:'106.25' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n", - "Start: 265| End:277| Text:'`draft_email' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n", - "Start: 281| End:287| Text:'245.75' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n", - "Start: 292| End:310| Text:'`summarize_article' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n", - "Start: 314| End:320| Text:'355.75' \n", - "Sources:\n", - "1. sql_table_query_2qyr8vpqrf2v:0\n", - "\n", - "\n" - ] - } - ], - "source": [ - "messages = run_agent(\"Which use case uses the least amount of tokens on average? Show the comparison of all use cases in a markdown table.\")\n", - "# Answer: extract_names (106.25), draft_email (245.75), summarize_article (355.75)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n", - "\n", - "In this tutorial, we learned about:\n", - "- How to set up a SQLite database for structured data\n", - "- How to create a function to execute SQL queries\n", - "- How to build an agent for querying the database\n", - "- How to run the agent\n", - "\n", - "By implementing these techniques, we've further expanded our agentic RAG system to handle structured data in the form of SQL databases. This allows for more powerful and flexible querying capabilities, especially when dealing with large datasets or complex relationships between data.\n", - "\n", - "This tutorial completes our exploration of structured data handling in the agentic RAG system, covering both tabular data (using pandas) and relational databases (using SQL). These capabilities significantly enhance the system's ability to work with diverse data formats and structures.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/agentic_rag_pt6_structured_data_SQL.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt1.ipynb b/notebooks/guides/getting-started/tutorial_pt1.ipynb index f70c81b8..dcabad38 100644 --- a/notebooks/guides/getting-started/tutorial_pt1.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt1.ipynb @@ -1,234 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Cohere Tutorial\n", - "\n", - "#### Build your first Cohere application: An onboarding assistant for new hires" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Welcome to the Cohere tutorial – a hands-on introduction to Cohere!\n", - "\n", - "In this tutorial, you will learn how to use the Cohere API, specifically three endpoints: Chat, Embed, and Rerank.\n", - "\n", - "This tutorial is split over seven parts, with each part focusing on one use case, as follows:\n", - "\n", - "- Part 1: Installation and Setup (Pre-requisite)\n", - "- Part 2: Text Generation\n", - "- Part 3: Chatbots\n", - "- Part 4: Semantic Search\n", - "- Part 5: Reranking\n", - "- Part 6: Retrieval-Augmented Generation (RAG)\n", - "- Part 7: Agents with Tool Use\n", - "\n", - "You'll learn about these use cases by building an onboarding assistant that helps new hires onboard to a fictitious company called Co1t. The assistant can help write introductions, answer user questions about the company, search for information from e-mails, and create meeting appointments.\n", - "\n", - "We recommend that you follow the parts sequentially. However, feel free to skip to specific parts if you want (apart from Part 1, which is a pre-requisite) because each part also works as a standalone tutorial.\n", - "\n", - "Total Duration: ~15 minutes" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Installation and Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Cohere platform lets developers access large language model (LLM) capabilities with a few lines of code. These LLMs can solve a broad spectrum of natural language use cases, including classification, semantic search, paraphrasing, summarization, and content generation.\n", - "\n", - "Cohere's models can be accessed through the playground, SDK, and CLI tool. We support SDKs in four different languages: Python, Typescript, Java, and Go.\n", - "\n", - "This tutorial uses the Python SDK and accesses the models through the Cohere platform.\n", - "\n", - "To get started, first install the Cohere Python SDK." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install -U cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we'll import the `cohere` library and create a client to be used throughout the examples. We create a client by passing the Cohere API key as an argument. To get an API key, [sign up with Cohere](https://dashboard.cohere.com/welcome/register) and get the API key [from the dashboard](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "co = cohere.Client(api_key=\"YOUR_COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Accessing Cohere from Other Platforms" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Cohere platform is the fastest way to access Cohere's models and get started.\n", - "\n", - "However, if you prefer other options, you can access Cohere's models through other platforms such as Amazon Bedrock, Amazon SageMaker, Azure AI Studio, and Oracle Cloud Infrastructure (OCI) Generative AI Service.\n", - "\n", - "Read this documentation on [Cohere SDK cloud platform compatibility](https://docs.cohere.com/docs/cohere-works-everywhere)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Amazon Bedrock" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following is how you can create a Cohere client on Amazon Bedrock.\n", - "\n", - "For further information, read this documentation on [Cohere on Bedrock](https://docs.cohere.com/docs/cohere-on-aws#amazon-bedrock)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "co = cohere.BedrockClient(\n", - " aws_region=\"...\",\n", - " aws_access_key=\"...\",\n", - " aws_secret_key=\"...\",\n", - " aws_session_token=\"...\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Amazon SageMaker" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following is how you can create a Cohere client on Amazon SageMaker.\n", - "\n", - "For further information, read this documentation on [Cohere on SageMaker](https://docs.cohere.com/docs/cohere-on-aws#amazon-sagemaker)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "co = cohere.SagemakerClient(\n", - " aws_region=\"us-east-1\",\n", - " aws_access_key=\"...\",\n", - " aws_secret_key=\"...\",\n", - " aws_session_token=\"...\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Microsoft Azure" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following is how you can create a Cohere client on Microsoft Azure.\n", - "\n", - "For further information, read this documentation on [Cohere on Azure](https://docs.cohere.com/docs/cohere-on-microsoft-azure)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "co = cohere.Client(\n", - " api_key=\"...\",\n", - " base_url=\"...\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In Part 2, we'll get started with the first use case - text generation." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt1.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt2.ipynb b/notebooks/guides/getting-started/tutorial_pt2.ipynb index 99f24b75..29d31ad1 100644 --- a/notebooks/guides/getting-started/tutorial_pt2.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt2.ipynb @@ -1,522 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text Generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Command is Cohere’s flagship LLM. It generates a response based on a user message or prompt. It is trained to follow user commands and to be instantly useful in practical business applications, like summarization, copywriting, extraction, and question-answering.\n", - "\n", - "Command R and Command R+ are the most recent models in the Command family. They are the market-leading models that balance high efficiency with strong accuracy to enable enterprises to move from proof of concept into production-grade AI.\n", - "\n", - "You'll use Chat, the Cohere endpoint for accessing the Command models.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Basic text generation\n", - "- Prompt engineering\n", - "- Parameters for controlling output\n", - "- Structured output generation\n", - "- Streamed output\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "# pip install cohere\n", - "\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Basic text generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To get started with Chat, we just need to pass one parameter, `message`, representing the user message. We then call the Chat endpoint through the client we created earlier.\n", - "\n", - "The response contains several objects. For simplicity, what we want right now is the `text` object.\n", - "\n", - "Here's an example of the assistant responding to a new hire's query asking for help to make introductions." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Sure! Here is a short introduction message:\n", - "\n", - "\"Hi everyone! My name is [Your Name] and I am excited to join the Co1t team today. I am passionate about [relevant experience or skills] and look forward to contributing my skills and ideas to the team. In my free time, I enjoy [hobbies or interests]. Feel free to reach out to me directly if you want to chat or collaborate. Let's work together to make Co1t a success!\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(message=message)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Chat endpoint API reference](https://docs.cohere.com/reference/chat)\n", - "- [Documentation on Chat fine-tuning](https://docs.cohere.com/docs/chat-fine-tuning)\n", - "- [Documentation on Command R+](https://docs.cohere.com/docs/command-r-plus)\n", - "- [LLM University module on text generation](https://cohere.com/llmu#text-generation)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Prompt engineering" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Prompting is at the heart of working with LLMs. The prompt provides context for the text that we want the model to generate. The prompts we create can be anything from simple instructions to more complex pieces of text, and they are used to encourage the model to produce a specific type of output.\n", - "\n", - "In this section, we'll look at a couple of prompting techniques.\n", - "\n", - "The first is to add more specific instructions to the prompt. The more instructions you provide in the prompt, the closer you can get to the response you need.\n", - "\n", - "The limit of how long a prompt can be is dependent on the maximum context length that a model can support (in the case Command R/R+, it's 128k tokens).\n", - "\n", - "Below, we'll add one additional instruction to the earlier prompt: the length we need the response to be." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a potential introduction message:\n", - "\n", - "\"Hi everyone, my name is [Your Name] and I'm thrilled to join Co1t today as part of the team, and I look forward to contributing my skills and ideas to drive innovation and success!\"\n", - "\n", - "This message expresses your excitement about joining the company and highlights your commitment to contributing to the team's success.\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a one-sentence introduction message to my teammates.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(message=message)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "All our prompts so far use what is called zero-shot prompting, which means that provide instruction without any example. But in many cases, it is extremely helpful to provide examples to the model to guide its response. This is called few-shot prompting.\n", - "\n", - "Few-shot prompting is especially useful when we want the model response to follow a particular style or format. Also, it is sometimes hard to explain what you want in an instruction, and easier to show examples.\n", - "\n", - "Below, we want the response to be similar in style and length to the convention, as we show in the examples." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Server Access Issues\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "user_input = \"Why can't I access the server? Is it a permissions issue?\"\n", - "\n", - "# Create a prompt containing example outputs\n", - "message=f\"\"\"Write a ticket title for the following user request:\n", - "\n", - "User request: Where are the usual storage places for project files?\n", - "Ticket title: Project File Storage Location\n", - "\n", - "User request: Emails won't send. What could be the issue?\n", - "Ticket title: Email Sending Issues\n", - "\n", - "User request: How can I set up a connection to the office printer?\n", - "Ticket title: Printer Connection Setup\n", - "\n", - "User request: {user_input}\n", - "Ticket title:\"\"\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(message=message)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on prompt engineering](https://docs.cohere.com/docs/crafting-effective-prompts)\n", - "- [LLM University module on prompt engineering](https://cohere.com/llmu#prompt-engineering)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Parameters for controlling output" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Chat endpoint provides developers with an array of options and parameters.\n", - "\n", - "For example, you can choose from several variations of the Command model. Different models produce different output profiles, such as quality and latency." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Hello, my name is [Your Name] and I'm thrilled to join the Co1t team today as the new kid in town!\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a one-sentence introduction message to my teammates.\"\n", - "\n", - "# Generate the response by specifying a model\n", - "response = co.chat(message=message, model=\"command-r\")\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Often, you’ll need to control the level of randomness of the output. You can control this using a few parameters.\n", - "\n", - "The most commonly used parameter is `temperature`, which is a number used to tune the degree of randomness. You can enter values between 0.0 to 1.0.\n", - "\n", - "A lower temperature gives more predictable outputs, and a higher temperature gives more \"creative\" outputs.\n", - "\n", - "Here's an example of setting `temperature` to 0." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1: Curious Historian.\n", - "\n", - "2: Curious Historian.\n", - "\n", - "3: Curious Historian.\n", - "\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I like learning about the industrial revolution and how it shapes the modern world. How can I introduce myself in two words.\"\n", - "\n", - "# Generate the response multiple times by specifying a low temperature value\n", - "for idx in range(3):\n", - " response = co.chat(message=message, temperature=0)\n", - " print(f\"{idx+1}: {response.text}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here's an example of setting `temperature` to 1." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1: Sure! Here are two words that can describe you: \n", - "\n", - "1. Industry Enthusiast \n", - "2. Revolution Aficionado \n", - "\n", - "These words combine your passion for learning about the Industrial Revolution with a modern twist, showcasing your enthusiasm and knowledge in a concise manner.\n", - "\n", - "2: \"Revolution Fan\"\n", - "\n", - "3: History Enthusiast!\n", - "\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I like learning about the industrial revolution and how it shapes the modern world. How can I introduce myself in two words.\"\n", - "\n", - "# Generate the response multiple times by specifying a high temperature value\n", - "for idx in range(3):\n", - " response = co.chat(message=message, temperature=1)\n", - " print(f\"{idx+1}: {response.text}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Available models for the Chat endpoint](https://docs.cohere.com/docs/models#command)\n", - "- [Documentation on predictable outputs](https://docs.cohere.com/docs/predictable-outputs)\n", - "- [Documentation on advanced generation parameters](https://docs.cohere.com/docs/advanced-generation-hyperparameters)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Structured output generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "By adding the `response_format` parameter, you can get the model to generate the output as a JSON object. By generating JSON objects, you can structure and organize the model's responses in a way that can be used in downstream applications.\n", - "\n", - "The `response_format` parameter allows you to specify the schema the JSON object must follow. It takes the following parameters:\n", - "- `message`: The user message\n", - "- `response_format`: The schema of the JSON object" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'title': 'User Unable to Access Server', 'category': 'access', 'status': 'open'}\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "user_input = \"Why can't I access the server? Is it a permissions issue?\"\n", - "\n", - "# Generate the response multiple times by adding the JSON schema\n", - "response = co.chat(\n", - " model=\"command-r-plus\",\n", - " message=f\"\"\"Create an IT ticket for the following user request. Generate a JSON object.\n", - " {user_input}\"\"\",\n", - " response_format={\n", - " \"type\": \"json_object\",\n", - " \"schema\": {\n", - " \"type\": \"object\",\n", - " \"required\": [\"title\", \"category\", \"status\"],\n", - " \"properties\": {\n", - " \"title\": { \"type\": \"string\"},\n", - " \"category\": { \"type\" : \"string\", \"enum\" : [\"access\", \"software\"]},\n", - " \"status\": { \"type\" : \"string\" , \"enum\" : [\"open\", \"closed\"]}\n", - " }\n", - " }\n", - " },\n", - ")\n", - "\n", - "import json\n", - "json_object = json.loads(response.text)\n", - "\n", - "print(json_object)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on Structured Generations (JSON)](https://docs.cohere.com/docs/structured-outputs-json)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Streaming responses" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "All the previous examples above generate responses in a non-streamed manner. This means that the endpoint would return a response object only after the model has generated the text in full.\n", - "\n", - "The Chat endpoint also provides streaming support. In a streamed response, the endpoint would return a response object for each token as it is being generated. This means you can display the text incrementally without having to wait for the full completion.\n", - "\n", - "To activate it, use `co.chat_stream()` instead of `co.chat()`.\n", - "\n", - "In streaming mode, the endpoint will generate a series of objects. To get the actual text contents, we take objects whose `event_type` is `text-generation`." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a potential introduction message:\n", - "\n", - "\"Hi everyone, my name is [Your Name] and I'm thrilled to join Co1t today as the newest [Your Role], and I look forward to contributing my skills and expertise to the team and driving innovative solutions for our customers.\"" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a one-sentence introduction message to my teammates.\"\n", - "\n", - "# Generate the response by streaming it\n", - "response = co.chat_stream(\n", - " message=message)\n", - "\n", - "for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on streaming responses](https://docs.cohere.com/docs/streaming)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to get started with a basic text generation\n", - "- How to improve outputs with prompt engineering\n", - "- How to control outputs using parameter changes\n", - "- How to generate structured outputs\n", - "- How to stream text generation outputs\n", - "\n", - "However, we have only done all this using direct text generations. As its name implies, the Chat endpoint can also support building chatbots, which require features to support multi-turn conversations and maintain the conversation state. \n", - "\n", - "In Part 3, you'll learn how to build chatbots with the Chat endpoint." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt3.ipynb b/notebooks/guides/getting-started/tutorial_pt3.ipynb index c7dd4d39..c59e61ef 100644 --- a/notebooks/guides/getting-started/tutorial_pt3.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt3.ipynb @@ -1,378 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Chatbots" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "As its name implies, the Chat endpoint enables developers to build chatbots that can handle conversations. At the core of a conversation is a multi-turn dialog between the user and the chatbot. This requires the chatbot to have the state (or “memory”) of all the previous turns to maintain the state of the conversation.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Creating a custom preamble\n", - "- Creating a single-turn conversation\n", - "- Building the conversation memory\n", - "- Running a multi-turn conversation\n", - "- Viewing the chat history\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating a custom preamble" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "A conversation starts with a system message, or a preamble, to help steer a chatbot’s response toward certain characteristics.\n", - "\n", - "For example, if we want the chatbot to adopt a formal style, the preamble can be used to encourage the generation of more business-like and professional responses.\n", - "\n", - "The recommended approach is to use two H2 Markdown headers: \"Task and Context\" and \"Style Guide\" in the exact order.\n", - "\n", - "In the example below, the preamble provides context for the assistant's task (task and context) and encourages the generation of rhymes as much as possible (style guide)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Sure, here's a rhyme to break the ice,\n", - "A polite and friendly tone should suffice: \n", - "\n", - "Hello team, it's a pleasure to meet,\n", - "My name's [Your Name], and my role is quite sweet. \n", - "\n", - "I'm thrilled to join Co1t, a startup so bright,\n", - "Where innovation and talent ignite. \n", - "\n", - "My role here is [Your Role], a position brand new,\n", - "Where I'll contribute and learn from you. \n", - "\n", - "I look forward to working together in harmony,\n", - "Exchanging ideas and creating synergy. \n", - "\n", - "Feel free to connect, and let's start anew,\n", - "I'm excited to be part of this team, me and you! \n", - "\n", - "Cheers to a great first week,\n", - "And many successes, unique and sleek! \n", - "\n", - "Let's collaborate and soar,\n", - "Co1t's future is bright, that's for sure! \n", - "\n", - "Regards, \n", - "[Your Name] \n", - "\n", - "(P.S. I'm a poet and didn't know it!)\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"\n", - "\n", - "# Create a custom preamble\n", - "preamble=\"\"\"## Task and Context\n", - "You are an assistant who assist new employees of Co1t with their first week.\n", - "\n", - "## Style Guide\n", - "Try to speak in rhymes as much as possible. Be professional.\"\"\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(message=message,\n", - " preamble=preamble)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on preambles](https://docs.cohere.com/docs/preambles)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating a single-turn conversation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's start with a single-turn conversation, which doesn't require the chatbot to maintain any conversation state. \n", - "\n", - "Here, we are also adding a custom preamble for generating concise response, just to keep the outputs brief for this tutorial." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hi, I'm thrilled to join the Co1t team today and look forward to contributing to the company's success and working collaboratively with all of you!\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"\n", - "\n", - "# Create a custom preamble\n", - "preamble=\"\"\"## Task & Context\n", - "Generate concise responses, with maximum one-sentence.\"\"\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(message=message,\n", - " preamble=preamble)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Building the conversation memory" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want the model to refine the earlier response. This requires the next generation to have access to the state, or memory, of the conversation.\n", - "\n", - "To do this, we add the `chat_history` argument, which takes the current chat history as the value.\n", - "\n", - "You can get the current chat history by taking the the `response.chat_history` object from the previous response.\n", - "\n", - "Looking at the response, we see that the model is able to get the context from the chat history. The model is able to capture that \"it\" in the user message refers to the introduction message it had generated earlier." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hey, I'm stoked to be a part of the Co1t crew! Can't wait to dive in and work together to make our startup vision a reality!\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"Make it more upbeat and conversational.\"\n", - "\n", - "# Generate the response with the current chat history as the context\n", - "response = co.chat(message=message,\n", - " preamble=preamble,\n", - " chat_history=response.chat_history)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on using the Chat endpoint](https://docs.cohere.com/docs/chat-api)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running a multi-turn conversation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "You can continue doing this for any number of turns by passing the most recent `response.chat_history` value, which contains the conversation history from the beginning." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Super excited to be a part of the Co1t family! Looking forward to learning from your expertise and guidance and contributing my best to the team's success under your management.\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"Thanks. Could you create another one for my DM to my manager.\"\n", - "\n", - "# Generate the response with the current chat history as the context\n", - "response = co.chat(message=message,\n", - " preamble=preamble,\n", - " chat_history=response.chat_history)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Viewing the chat history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To look at the current chat history, you can print the `response.chat_history` object, which contains a list of `USER` and `CHATBOT` turns in the same sequence as they were created." - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Role: USER\n", - "Message: I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates. \n", - "\n", - "Role: CHATBOT\n", - "Message: \"Hi, I'm thrilled to join the Co1t team today and look forward to contributing to the company's success and working collaboratively with all of you!\" \n", - "\n", - "Role: USER\n", - "Message: Make it more upbeat and conversational. \n", - "\n", - "Role: CHATBOT\n", - "Message: \"Hey, I'm stoked to be a part of the Co1t crew! Can't wait to dive in and work together to make our startup vision a reality!\" \n", - "\n", - "Role: USER\n", - "Message: Thanks. Could you create another one for my DM to my manager. \n", - "\n", - "Role: CHATBOT\n", - "Message: \"Super excited to be a part of the Co1t family! Looking forward to learning from your expertise and guidance and contributing my best to the team's success under your management.\" \n", - "\n" - ] - } - ], - "source": [ - "# View the chat history\n", - "for turn in response.chat_history:\n", - " print(\"Role:\",turn.role)\n", - " print(\"Message:\",turn.message,\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to create a custom preamble\n", - "- How to create a single-turn conversation\n", - "- How to build the conversation memory\n", - "- How to run a multi-turn conversation\n", - "- How to view the chat history\n", - "\n", - "You will use the same method for running a multi-turn conversation when you learn about other use cases such as RAG (Part 6) and tool use (Part 7).\n", - "\n", - "But to fully leverage these other capabilities, you will need another type of language model that generates text representations, or embeddings.\n", - "\n", - "In Part 4, you will learn how text embeddings can power an important use case for RAG, which is semantic search." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt3.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt4.ipynb b/notebooks/guides/getting-started/tutorial_pt4.ipynb index cf561248..bd80d942 100644 --- a/notebooks/guides/getting-started/tutorial_pt4.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt4.ipynb @@ -1,458 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Semantic Search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Text embeddings are a list of numbers that represent the context or meaning inside a piece of text. This is particularly useful in search or information retrieval applications. With text embeddings, this is called semantic search.\n", - "\n", - "Semantic search solves the problem faced by the more traditional approach of lexical search, which is great at finding keyword matches, but struggles to capture the context or meaning of a piece of text.\n", - "\n", - "With Cohere, you can generate text embeddings through the Embed endpoint (Embed v3 being the latest model), which supports over 100 languages.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Embedding the documents\n", - "- Embedding the query\n", - "- Performing semantic search\n", - "- Multilingual semantic search\n", - "- Changing embedding compression types\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import numpy as np\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Embedding the documents" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Embed endpoint takes in texts as input and returns embeddings as output.\n", - "\n", - "For semantic search, there are two types of documents we need to turn into embeddings.\n", - "- The list of documents that we want to search from.\n", - "- The query that will be used to search the documents.\n", - "\n", - "Right now, we are doing the former. We call the Embed endpoint using `co.embed()` and pass the following arguments:\n", - "- `model`: Here we choose `embed-english-v3.0`, which generates embeddings of size 1024\n", - "- `input_type`: We choose `search_document` to ensure the model treats these as the documents for search\n", - "- `texts`: The list of texts (the FAQs)" - ] - }, - { - "cell_type": "code", - "execution_count": 55, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_long = [\n", - " {\"text\": \"Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.\"},\n", - " {\"text\": \"Finding Coffee Spots: For your caffeine fix, head to the break room's coffee machine or cross the street to the café for artisan coffee.\"},\n", - " {\"text\": \"Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!\"},\n", - " {\"text\": \"Working Hours Flexibility: We prioritize work-life balance. While our core hours are 9 AM to 5 PM, we offer flexibility to adjust as needed.\"},\n", - " {\"text\": \"Side Projects Policy: We encourage you to pursue your passions. Just be mindful of any potential conflicts of interest with our business.\"},\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"},\n", - " {\"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"},\n", - " {\"text\": \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"},\n", - " {\"text\": \"Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.\"},\n", - "]\n", - "\n", - "documents = faqs_long\n", - "\n", - "# Embed the documents\n", - "doc_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in documents]).embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Embed endpoint API reference](https://docs.cohere.com/reference/embed)\n", - "- [Documentation on the Embed endpoint](https://docs.cohere.com/docs/embeddings)\n", - "- [Documentation on the models available on the Embed endpoint](https://docs.cohere.com/docs/cohere-embed)\n", - "- [LLM University module on Text Representation](https://cohere.com/llmu#text-representation)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Embedding the query" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we add a query, which asks about how to stay connected to company updates.\n", - "\n", - "We choose `search_query` as the `input_type` to ensure the model treats this as the query (instead of documents) for search." - ] - }, - { - "cell_type": "code", - "execution_count": 56, - "metadata": {}, - "outputs": [], - "source": [ - "# Add the user query\n", - "query = \"How do I stay connected to what's happening at the company?\"\n", - "\n", - "# Embed the query\n", - "query_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[query]).embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Perfoming semantic search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want to search for the most relevant documents to the query. We do this by computing the similarity between the embeddings of the query and each of the documents.\n", - "\n", - "There are various approaches to compute similarity between embeddings, and we'll choose the dot product approach. For this, we use the `numpy` library which comes with the implementation.\n", - "\n", - "Each query-document pair returns a score, which represents how similar the pair is. We then sort these scores in descending order and select the top-most similar pairs, which we choose 2 (this is an arbitrary choice, you can choose any number).\n", - "\n", - "Here, we show the most relevant documents with their similarity scores." - ] - }, - { - "cell_type": "code", - "execution_count": 57, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.352135965228231\n", - "Document: {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}\n", - "\n", - "Rank: 2\n", - "Score: 0.31995661889273097\n", - "Document: {'text': 'Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "def return_results(query_emb, doc_emb, documents):\n", - " n = 2\n", - " scores = np.dot(query_emb, np.transpose(doc_emb))[0]\n", - " scores_sorted = sorted(enumerate(scores), key=lambda x: x[1], reverse=True)[:n]\n", - "\n", - " for idx, item in enumerate(scores_sorted):\n", - " print(f\"Rank: {idx+1}\")\n", - " print(f\"Score: {item[1]}\")\n", - " print(f\"Document: {documents[item[0]]}\\n\")\n", - " \n", - "return_results(query_emb, doc_emb, documents)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multilingual semantic search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Embed endpoint also supports multilingual semantic search via the `embed-multilingual-...` models. This means you can perform semantic search on texts in different languages.\n", - "\n", - "Specifically, you can do both multilingual and cross-lingual searches using one single model.\n", - "\n", - "Multilingual search happens when the query and the result are of the same language. For example, an English query of “places to eat” returning an English result of “Bob's Burgers.” You can replace English with other languages and use the same model for performing search.\n", - "\n", - "Cross-lingual search happens when the query and the result are of a different language. For example, a Hindi query of “खाने की जगह” (places to eat) returning an English result of “Bob's Burgers.”\n", - "\n", - "In the example below, we repeat the steps of performing semantic search with one difference – changing the model type to the multilingual version. Here, we use the `embed-multilingual-v3.0` model. Here, we are searching a French version of the FAQ list using an English query." - ] - }, - { - "cell_type": "code", - "execution_count": 60, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.442758615743984\n", - "Document: {'text': \"Travailler de l'étranger : Il est possible de travailler à distance depuis un autre pays. Il suffit de coordonner avec votre responsable et de vous assurer d'être disponible pendant les heures de travail.\"}\n", - "\n", - "Rank: 2\n", - "Score: 0.32783563708365726\n", - "Document: {'text': 'Avantages pour la santé et le bien-être : Nous nous soucions de votre bien-être et proposons des adhésions à des salles de sport, des cours de yoga sur site et une assurance santé complète.'}\n", - "\n" - ] - } - ], - "source": [ - "# Define the documents\n", - "faqs_short_fr = [\n", - " {\"text\" : \"Remboursement des frais de voyage : Gérez facilement vos frais de voyage en les soumettant via notre outil financier. Les approbations sont rapides et simples.\"},\n", - " {\"text\" : \"Travailler de l'étranger : Il est possible de travailler à distance depuis un autre pays. Il suffit de coordonner avec votre responsable et de vous assurer d'être disponible pendant les heures de travail.\"},\n", - " {\"text\" : \"Avantages pour la santé et le bien-être : Nous nous soucions de votre bien-être et proposons des adhésions à des salles de sport, des cours de yoga sur site et une assurance santé complète.\"},\n", - " {\"text\" : \"Fréquence des évaluations de performance : Nous organisons des bilans informels tous les trimestres et des évaluations formelles deux fois par an.\"}\n", - "]\n", - "\n", - "documents = faqs_short_fr\n", - "\n", - "# Embed the documents\n", - "doc_emb = co.embed(\n", - " model=\"embed-multilingual-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in documents]).embeddings\n", - "\n", - "# Add the user query\n", - "query = \"What's your remote-working policy?\"\n", - "\n", - "# Embed the query\n", - "query_emb = co.embed(\n", - " model=\"embed-multilingual-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[query]).embeddings\n", - "\n", - "# Compute dot product similarity and display results\n", - "return_results(query_emb, doc_emb, documents)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [The list of supported languages for multilingual Embed](https://docs.cohere.com/docs/cohere-embed#list-of-supported-languages)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Changing embedding compression types" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Semantic search over large datasets can require a lot of memory, which is expensive to host in a vector database. Changing the embeddings compression type can help reduce the memory footprint.\n", - "\n", - "A typical embedding model generates embeddings as float32 format (consuming 4 bytes). By compressing the embeddings to int8 format (1 byte), we can reduce the memory 4x while keeping 99.99% of the original search quality.\n", - "\n", - "We can go even further and use the binary format (1 bit), which reduces the needed memory 32x while keeping 90-98% of the original search quality.\n", - "\n", - "The Embed endpoint supports the following formats: `float`, `int8`, `unint8`, `binary`, and `ubinary`. You can get these different compression levels by passing the `embedding_types` parameter.\n", - "\n", - "In the example below, we embed the documents in two formats: `float` and `int8`." - ] - }, - { - "cell_type": "code", - "execution_count": 68, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "documents = faqs_long\n", - "\n", - "# Embed the documents with the given embedding types\n", - "doc_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " embedding_types=[\"float\",\"int8\"],\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in documents]).embeddings\n", - "\n", - "# Add the user query\n", - "query = \"How do I stay connected to what's happening at the company?\"\n", - "\n", - "# Embed the query\n", - "query_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " embedding_types=[\"float\",\"int8\"],\n", - " input_type=\"search_query\",\n", - " texts=[query]).embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here are the search results of using the `float` embeddings." - ] - }, - { - "cell_type": "code", - "execution_count": 70, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.352135965228231\n", - "Document: {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}\n", - "\n", - "Rank: 2\n", - "Score: 0.31995661889273097\n", - "Document: {'text': 'Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "return_results(query_emb.float_, doc_emb.float_, documents)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here are the search results of using the `int8` embeddings." - ] - }, - { - "cell_type": "code", - "execution_count": 73, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 563583\n", - "Document: {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}\n", - "\n", - "Rank: 2\n", - "Score: 508692\n", - "Document: {'text': 'Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "return_results(query_emb.int8, doc_emb.int8, documents)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on embeddings compression levels](https://docs.cohere.com/docs/embeddings#compression-levels)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to embed documents for search\n", - "- How to embed queries\n", - "- How to perform semantic search\n", - "- How to perform multilingual semantic search\n", - "- How to change the embedding compression types\n", - "\n", - "A high-performance and modern search system typically includes a reranking stage, which further boosts the search results.\n", - "\n", - "In Part 5, you will learn how to add reranking to a search system." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt4.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt5.ipynb b/notebooks/guides/getting-started/tutorial_pt5.ipynb index 9e9c0423..4e3c178f 100644 --- a/notebooks/guides/getting-started/tutorial_pt5.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt5.ipynb @@ -1,495 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Reranking" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Reranking is a technique that leverages embeddings as the last stage of a retrieval process, and is especially useful in RAG systems.\n", - "\n", - "We can rerank results from semantic search as well as any other search systems such as lexical search. This means that companies can retain an existing keyword-based (also called “lexical”) or semantic search system for the first-stage retrieval and integrate the Rerank endpoint in the second-stage reranking.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Reranking lexical/semantic search results\n", - "- Reranking semi-structured data\n", - "- Reranking tabular data\n", - "- Multilingual reranking\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere numpy\n", - "\n", - "import numpy as np\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reranking lexical/semantic search results" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Rerank requires just a single line of code to implement.\n", - "\n", - "Suppose we have a list of search results of an FAQ list, which can come from semantic, lexical, or any other types of search systems. But this list may not be optimally ranked for relevance to the user query.\n", - "\n", - "This is where Rerank can help. We call the endpoint using `co.rerank()` and pass the following arguments:\n", - "- `query`: The user query\n", - "- `documents`: The list of documents\n", - "- `top_n`: The top reranked documents to select\n", - "- `model`: We choose Rerank English 3" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_short = [\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"},\n", - " {\"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"},\n", - " {\"text\": \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"}\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "id='9633b278-93ff-4664-a142-7d9dcf0ec0e5' results=[RerankResponseResultsItem(document=None, index=2, relevance_score=0.01798621), RerankResponseResultsItem(document=None, index=3, relevance_score=8.463939e-06)] meta=ApiMeta(api_version=ApiMetaApiVersion(version='1', is_deprecated=None, is_experimental=None), billed_units=ApiMetaBilledUnits(input_tokens=None, output_tokens=None, search_units=1, classifications=None), tokens=None, warnings=None)\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"Are there fitness-related perks?\"\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=faqs_short,\n", - " top_n=2,\n", - " model='rerank-english-v3.0')\n", - "\n", - "print(results)" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.01798621\n", - "Document: {'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}\n", - "\n", - "Rank: 2\n", - "Score: 8.463939e-06\n", - "Document: {'text': 'Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.'}\n", - "\n" - ] - } - ], - "source": [ - "# Display the reranking results\n", - "def return_results(results, documents): \n", - " for idx, result in enumerate(results.results):\n", - " print(f\"Rank: {idx+1}\") \n", - " print(f\"Score: {result.relevance_score}\")\n", - " print(f\"Document: {documents[result.index]}\\n\")\n", - " \n", - "return_results(results, faqs_short)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Rerank endpoint API reference](https://docs.cohere.com/reference/rerank)\n", - "- [Documentation on Rerank](https://docs.cohere.com/docs/overview)\n", - "- [Documentation on Rerank fine-tuning](https://docs.cohere.com/docs/rerank-fine-tuning)\n", - "- [Documentation on Rerank best practices](https://docs.cohere.com/docs/reranking-best-practices)\n", - "- [LLM University module on Text Representation](https://cohere.com/llmu#text-representation)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reranking semi-structured data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Rerank 3 model supports multi-aspect and semi-structured data like emails, invoices, JSON documents, code, and tables. By setting the rank fields, you can select which fields the model should consider for reranking.\n", - "\n", - "In the following example, we'll use an email data example. It is a semi-stuctured data that contains a number of fields – `from`, `to`, `date`, `subject`, and `text`. \n", - "\n", - "Suppose the new hire now wants to search for any emails about check-in sessions. Let's pretend we have a list of 5 emails retrieved from the email provider's API.\n", - "\n", - "To perform reranking over semi-structured data, we add an additional parameter, `rank_fields`, which contains the list of available fields.\n", - "\n", - "The model will rerank based on order of the fields passed in. For example, given rank_fields=['title','author','text'], the model will rerank using the values in title, author, and text sequentially. " - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "emails = [\n", - " {\"from\": \"hr@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"A Warm Welcome to Co1t!\", \"text\": \"We are delighted to welcome you to the team! As you embark on your journey with us, you'll find attached an agenda to guide you through your first week.\"},\n", - " {\"from\": \"it@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"Setting Up Your IT Needs\", \"text\": \"Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\"},\n", - " {\"from\": \"john@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"First Week Check-In\", \"text\": \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.1979091\n", - "Document: {'from': 'john@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'First Week Check-In', 'text': \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}\n", - "\n", - "Rank: 2\n", - "Score: 9.535461e-05\n", - "Document: {'from': 'hr@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'A Warm Welcome to Co1t!', 'text': \"We are delighted to welcome you to the team! As you embark on your journey with us, you'll find attached an agenda to guide you through your first week.\"}\n", - "\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"Any email about check ins?\"\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=emails,\n", - " top_n=2,\n", - " model='rerank-english-v3.0',\n", - " rank_fields=[\"from\", \"to\", \"date\", \"subject\", \"body\"])\n", - "\n", - "return_results(results, emails)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reranking tabular data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Many enterprises rely on tabular data, such as relational databases, CSVs, and Excel. To perform reranking, you can transform a dataframe into a list of JSON records and use Rerank 3's JSON capabilities to rank them.\n", - "\n", - "Here's an example of reranking a CSV file that contains employee information." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    namerolejoin_dateemailstatus
    0Rebecca LeeSenior Software Engineer2024-07-01rebecca@co1t.comFull-time
    1Emma WilliamsProduct Designer2024-06-15emma@co1t.comFull-time
    2Michael JonesMarketing Manager2024-05-20michael@co1t.comFull-time
    3Amelia ThompsonSales Representative2024-05-20amelia@co1t.comPart-time
    4Ethan DavisProduct Designer2024-05-25ethan@co1t.comContractor
    \n", - "
    " - ], - "text/plain": [ - " name role join_date email \\\n", - "0 Rebecca Lee Senior Software Engineer 2024-07-01 rebecca@co1t.com \n", - "1 Emma Williams Product Designer 2024-06-15 emma@co1t.com \n", - "2 Michael Jones Marketing Manager 2024-05-20 michael@co1t.com \n", - "3 Amelia Thompson Sales Representative 2024-05-20 amelia@co1t.com \n", - "4 Ethan Davis Product Designer 2024-05-25 ethan@co1t.com \n", - "\n", - " status \n", - "0 Full-time \n", - "1 Full-time \n", - "2 Full-time \n", - "3 Part-time \n", - "4 Contractor " - ] - }, - "execution_count": 13, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import pandas as pd\n", - "from io import StringIO\n", - "\n", - "# Create a demo CSV file\n", - "data = \"\"\"name,role,join_date,email,status\n", - "Rebecca Lee,Senior Software Engineer,2024-07-01,rebecca@co1t.com,Full-time\n", - "Emma Williams,Product Designer,2024-06-15,emma@co1t.com,Full-time\n", - "Michael Jones,Marketing Manager,2024-05-20,michael@co1t.com,Full-time\n", - "Amelia Thompson,Sales Representative,2024-05-20,amelia@co1t.com,Part-time\n", - "Ethan Davis,Product Designer,2024-05-25,ethan@co1t.com,Contractor\"\"\"\n", - "data_csv = StringIO(data)\n", - "\n", - "# Load the CSV file\n", - "df = pd.read_csv(data_csv)\n", - "df.head()" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.986828\n", - "Document: {'name': 'Emma Williams', 'role': 'Product Designer', 'join_date': '2024-06-15', 'email': 'emma@co1t.com', 'status': 'Full-time'}\n", - "\n" - ] - } - ], - "source": [ - "# Define the documents and rank fields\n", - "employees = df.to_dict('records')\n", - "rank_fields = df.columns.tolist()\n", - "\n", - "# Add the user query\n", - "query = \"Any full-time product designers who joined recently?\"\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=employees,\n", - " top_n=1,\n", - " model='rerank-english-v3.0',\n", - " rank_fields=rank_fields)\n", - "\n", - "return_results(results, employees)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multilingual reranking" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Rerank endpoint also supports multilingual semantic search via the `rerank-multilingual-...` models. This means you can perform semantic search on texts in different languages.\n", - "\n", - "In the example below, we repeat the steps of performing reranking with one difference – changing the model type to a multilingual one. Here, we use the `rerank-multilingual-v3.0` model. Here, we are reranking the FAQ list using an Arabic query." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.42232594\n", - "Document: {'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}\n", - "\n", - "Rank: 2\n", - "Score: 0.00025118678\n", - "Document: {'text': 'Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.'}\n", - "\n" - ] - } - ], - "source": [ - "# Define the query\n", - "query = \"هل هناك مزايا تتعلق باللياقة البدنية؟\" # Are there fitness benefits?\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=faqs_short,\n", - " top_n=2,\n", - " model='rerank-multilingual-v3.0')\n", - "\n", - "return_results(results, faqs_short)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to rerank lexical/semantic search results\n", - "- How to rerank semi-structured data\n", - "- How to rerank tabular data\n", - "- How to perform Multilingual reranking\n", - "\n", - "We have now seen two critical components of a powerful search system - semantic search, or dense retrieval (Part 4) and reranking (Part 5). These building blocks are essential for implementing RAG solutions.\n", - "\n", - "In Part 6, you will learn how to implement RAG." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt5.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt6.ipynb b/notebooks/guides/getting-started/tutorial_pt6.ipynb index eeac1495..f1f94e13 100644 --- a/notebooks/guides/getting-started/tutorial_pt6.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt6.ipynb @@ -1,579 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# RAG" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Chat endpoint provides comprehensive support for various text generation use cases, including retrieval-augmented generation (RAG). \n", - "\n", - "While LLMs are good at maintaining the context of the conversation and generating responses, they can be prone to hallucinate and include factually incorrect or incomplete information in their responses.\n", - "\n", - "RAG enables a model to access and utilize supplementary information from external documents, thereby improving the accuracy of its responses.\n", - "\n", - "When using RAG with the Chat endpoint, these responses are backed by fine-grained citations linking to the source documents. This makes the responses easily verifiable.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Basic RAG\n", - "- Search query generation\n", - "- Retrieval with Embed\n", - "- Reranking with Rerank\n", - "- Response and citation generation\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere numpy\n", - "\n", - "import numpy as np\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Basic RAG" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To see how RAG works, let's define the documents that the application has access to. We'll use a short list of documents consisting of internal FAQs about the fictitious company Co1t (in production, these documents are massive).\n", - "\n", - "In this example, each document is a dictionary with one field, `text`. But we can define any number of fields we want, depending on the nature of the documents. For example, emails could contain `title` and `text` fields." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_short = [\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"},\n", - " {\"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"},\n", - " {\"text\": \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To use these documents, we pass them to the `documents` parameter in the Chat endpoint call. This tells the model to run in RAG-mode and use these documents in its response.\n", - "\n", - "Let's create a query asking about the company's support for personal well-being, which is not going to be available to the model based on the data its trained on. It will need to use external documents.\n", - "\n", - "RAG introduces additional objects in the Chat response. Here we display two:\n", - "- `citations`: indicate the specific text spans from the retrieved documents on which the response is grounded.\n", - "- `documents`: the IDs of the documents referenced in the citations." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Yes, we offer health and wellness benefits, including gym memberships, on-site yoga classes, and comprehensive health insurance.\n", - "\n", - "CITATIONS:\n", - "start=14 end=42 text='health and wellness benefits' document_ids=['doc_2']\n", - "start=54 end=69 text='gym memberships' document_ids=['doc_2']\n", - "start=71 end=91 text='on-site yoga classes' document_ids=['doc_2']\n", - "start=97 end=128 text='comprehensive health insurance.' document_ids=['doc_2']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'doc_2', 'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"Are there fitness-related perks?\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(\n", - " message=query,\n", - " model=\"command-r-plus\",\n", - " documents=faqs_short)\n", - "\n", - "# Display the response\n", - "print(response.text)\n", - "\n", - "# Display the citations and source documents\n", - "if response.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in response.documents:\n", - " print(document)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Chat endpoint API reference](https://docs.cohere.com/reference/chat)\n", - "- [Documentation on RAG](https://docs.cohere.com/docs/retrieval-augmented-generation-rag)\n", - "- [LLM University module on RAG](https://cohere.com/llmu#rag)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Search query generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The previous example showed how to get started with RAG, and in particular, the augmented generation portion of RAG. But as its name implies, RAG consists of other steps, such as retrieval. \n", - "\n", - "In a basic RAG application, the steps involved are:\n", - "- Transforming the user message into search queries\n", - "- Retrieving relevant documents for a given search query\n", - "- Generating the response and citations\n", - "\n", - "Let's now look at the first step—search query generation. The chatbot needs to generate an optimal set of search queries to use for retrieval. \n", - "\n", - "The Chat endpoint has a feature that handles this for us automatically. This is done by adding the `search_queries_only=True` parameter to the Chat endpoint call.\n", - "\n", - "It will generate a list of search queries based on a user message. Depending on the message, it can be one or more queries.\n", - "\n", - "In the example below, the resulting queries breaks down the user message into two separate queries." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['staying connected with the company', 'team events']\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"How to stay connected with the company and do you organize team events?\"\n", - "\n", - "# Generate the search queries\n", - "response = co.chat(message=query,\n", - " search_queries_only=True)\n", - "\n", - "queries = []\n", - "for r in response.search_queries:\n", - " queries.append(r.text)\n", - " \n", - "print(queries)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And in the example below, the model decides that one query is sufficient." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['working hours flexibility']\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"How flexible are the working hours\"\n", - "\n", - "# Generate the search queries\n", - "response = co.chat(message=query,\n", - " search_queries_only=True)\n", - "\n", - "queries = []\n", - "for r in response.search_queries:\n", - " queries.append(r.text)\n", - " \n", - "print(queries)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Retrieval with Embed" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Given the search query, we need a way to retrieve the most relevant documents from a large collection of documents.\n", - "\n", - "This is where we can leverage text embeddings through the Embed endpoint. It enables semantic search, which lets us to compare the semantic meaning of the documents and the query. It solves the problem faced by the more traditional approach of lexical search, which is great at finding keyword matches, but struggles at capturing the context or meaning of a piece of text.\n", - "\n", - "The Embed endpoint takes in texts as input and returns embeddings as output.\n", - "\n", - "First, we need to embed the documents to search from. We call the Embed endpoint using `co.embed()` and pass the following arguments:\n", - "- `model`: Here we choose `embed-english-v3.0`, which generates embeddings of size 1024\n", - "- `input_type`: We choose `search_document` to ensure the model treats these as the documents (instead of the query) for search\n", - "- `texts`: The list of texts (the FAQs)" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_long = [\n", - " {\"text\": \"Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.\"},\n", - " {\"text\": \"Finding Coffee Spots: For your caffeine fix, head to the break room's coffee machine or cross the street to the café for artisan coffee.\"},\n", - " {\"text\": \"Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!\"},\n", - " {\"text\": \"Working Hours Flexibility: We prioritize work-life balance. While our core hours are 9 AM to 5 PM, we offer flexibility to adjust as needed.\"},\n", - " {\"text\": \"Side Projects Policy: We encourage you to pursue your passions. Just be mindful of any potential conflicts of interest with our business.\"},\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"},\n", - " {\"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"},\n", - " {\"text\": \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"},\n", - " {\"text\": \"Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.\"},\n", - "]\n", - "\n", - "# Embed the documents\n", - "doc_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in faqs_long]).embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we add a query, which asks about how to get to know the team.\n", - "\n", - "We choose `search_query` as the `input_type` to ensure the model treats this as the query (instead of the documents) for search." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Add the user query\n", - "query = \"How to get to know my teammates\"\n", - "\n", - "# Generate the search query\n", - "response = co.chat(message=query,\n", - " search_queries_only=True)\n", - "query_optimized = response.search_queries[0].text\n", - "\n", - "# Embed the search query\n", - "query_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[query_optimized]).embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want to search for the most relevant documents to the query. For this, we make use of the `numpy` library to compute the similarity between each query-document pair using the dot product approach.\n", - "\n", - "Each query-document pair returns a score, which represents how similar the pair are. We then sort these scores in descending order and select the top most similar pairs, which we choose 5 (this is an arbitrary choice, you can choose any number).\n", - "\n", - "Here, we show the most relevant documents with their similarity scores." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.32675385963873044\n", - "Document: {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}\n", - "\n", - "Rank: 2\n", - "Score: 0.2683516879250747\n", - "Document: {'text': 'Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.'}\n", - "\n", - "Rank: 3\n", - "Score: 0.25784017142593213\n", - "Document: {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}\n", - "\n", - "Rank: 4\n", - "Score: 0.18610347850687634\n", - "Document: {'text': \"Finding Coffee Spots: For your caffeine fix, head to the break room's coffee machine or cross the street to the café for artisan coffee.\"}\n", - "\n", - "Rank: 5\n", - "Score: 0.12958686394309055\n", - "Document: {'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "n = 5\n", - "scores = np.dot(query_emb, np.transpose(doc_emb))[0]\n", - "scores_sorted = sorted(enumerate(scores), key=lambda x: x[1], reverse=True)[:n]\n", - "\n", - "retrieved_documents = [faqs_long[item[0]] for item in scores_sorted]\n", - "\n", - "for idx, item in enumerate(scores_sorted):\n", - " print(f\"Rank: {idx+1}\")\n", - " print(f\"Score: {item[1]}\")\n", - " print(f\"Document: {faqs_long[item[0]]}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Embed endpoint API reference](https://docs.cohere.com/reference/embed)\n", - "- [Documentation on the Embed endpoint](https://docs.cohere.com/docs/embeddings)\n", - "- [Documentation on the models available on the Embed endpoint](https://docs.cohere.com/docs/cohere-embed)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Reranking with Rerank\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Reranking can boost the results from semantic or lexical search further. The Rerank endpoint takes a list of search results and reranks them according to the most relevant documents to a query. This requires just a single line of code to implement.\n", - "\n", - "We call the endpoint using `co.rerank()` and pass the following arguments:\n", - "- `query`: The user query\n", - "- `documents`: The list of documents we get from the semantic search results\n", - "- `top_n`: The top reranked documents to select\n", - "- `model`: We choose Rerank English 3\n", - "\n", - "Looking at the results, we see that the given a query about getting to know the team, the document that talks about joining Slack channels is now ranked higher (1st) compared to earlier (3rd).\n", - "\n", - "Here we select `top_n` to be 2, which will be the documents we will pass next for response generation." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.0040072887\n", - "Document: {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}\n", - "\n", - "Rank: 2\n", - "Score: 0.0020829707\n", - "Document: {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}\n", - "\n" - ] - } - ], - "source": [ - "# Rerank the documents\n", - "results = co.rerank(query=query_optimized,\n", - " documents=retrieved_documents,\n", - " top_n=2,\n", - " model='rerank-english-v3.0')\n", - "\n", - "# Display the reranking results\n", - "for idx, result in enumerate(results.results):\n", - " print(f\"Rank: {idx+1}\") \n", - " print(f\"Score: {result.relevance_score}\")\n", - " print(f\"Document: {retrieved_documents[result.index]}\\n\")\n", - " \n", - "reranked_documents = [retrieved_documents[result.index] for result in results.results]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Rerank endpoint API reference](https://docs.cohere.com/reference/rerank)\n", - "- [Documentation on Rerank](https://docs.cohere.com/docs/overview)\n", - "- [Documentation on Rerank fine-tuning](https://docs.cohere.com/docs/rerank-fine-tuning)\n", - "- [Documentation on Rerank best practices](https://docs.cohere.com/docs/reranking-best-practices)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Response and citation generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Finally we reach the step that we saw in the earlier `Basic RAG` section. Here, the response is generated based on the the query and the documents retrieved.\n", - "\n", - "RAG introduces additional objects in the Chat response. Here we display two:\n", - "- `citations`: indicate the specific spans of text from the retrieved documents on which the response is grounded.\n", - "- `documents`: the IDs of the documents being referenced in the citations." - ] - }, - { - "cell_type": "code", - "execution_count": 45, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "There are a few ways to get to know your teammates. You could join your company's Slack channels to stay informed and connected. You could also take part in team-building activities, such as outings and game nights.\n", - "\n", - "CITATIONS:\n", - "start=62 end=96 text=\"join your company's Slack channels\" document_ids=['doc_0']\n", - "start=100 end=128 text='stay informed and connected.' document_ids=['doc_0']\n", - "start=157 end=181 text='team-building activities' document_ids=['doc_1']\n", - "start=191 end=215 text='outings and game nights.' document_ids=['doc_1']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'doc_0', 'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}\n", - "{'id': 'doc_1', 'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}\n" - ] - } - ], - "source": [ - "# Generate the response\n", - "response = co.chat(\n", - " message=query_optimized,\n", - " model=\"command-r-plus\",\n", - " documents=reranked_documents)\n", - "\n", - "# Display the response\n", - "print(response.text)\n", - "\n", - "# Display the citations and source documents\n", - "if response.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in response.documents:\n", - " print(document)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to get started with RAG\n", - "- How to generate search queries\n", - "- How to perform retrieval with Embed\n", - "- How to perform reranking with Rerank\n", - "- How to generate response and citations\n", - "\n", - "RAG is great for building applications that can *answer questions* by grounding the response in external documents. But you can unlock the ability to not just answer questions, but also *automate tasks*. This can be done using a technique called tool use.\n", - "\n", - "In Part 7, you will learn how to leverage tool use to automate tasks and workflows." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt6.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/tutorial_pt7.ipynb b/notebooks/guides/getting-started/tutorial_pt7.ipynb index 7b3eef2a..1c38a271 100644 --- a/notebooks/guides/getting-started/tutorial_pt7.ipynb +++ b/notebooks/guides/getting-started/tutorial_pt7.ipynb @@ -1,531 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Agents with Tool Use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Tool use extends the ideas from RAG, where external systems are used to guide the response of an LLM, but by leveraging a much bigger set of tools than what’s possible with RAG. The concept of tool use leverages LLMs' useful feature of being able to act as a reasoning and decision-making engine.\n", - "\n", - "While RAG enables applications that can *answer questions*, tool use enables those that can *automate tasks*.\n", - "\n", - "Tool use also enables developers to build agentic applications that can take actions, that is, doing both read and write operations on an external system.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Creating tools\n", - "- Tool planning and calling\n", - "- Tool execution\n", - "- Response and citation generation\n", - "- Multi-step tool use\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere numpy\n", - "\n", - "import numpy as np\n", - "import cohere\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The pre-requisite, before we can run a tool use workflow, is to set up the tools. Let's create three tools:\n", - "- `search_faqs`: A tool for searching the FAQs. For simplicity, we'll not implement any retrieval logic, but we'll simply pass a list of pre-defined documents, which are the FAQ documents we had used in the Text Embeddings section.\n", - "- `search_emails`: A tool for searching the emails. Same as above, we'll simply pass a list of pre-defined emails from the Reranking section.\n", - "- `create_calendar_event`: A tool for creating new calendar events. Again, for simplicity, we'll not implement actual event bookings, but will return a mock success event. In practice, we can connect to a calendar service API and implement all the necessary logic here.\n", - "\n", - "Here, we are defining a Python function for each tool, but more broadly, the tool can be any function or service that can receive and send objects." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "# Create the tools\n", - "def search_faqs(query):\n", - " faqs = [\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"}\n", - " ]\n", - " return {\"faqs\" : faqs}\n", - "\n", - "def search_emails(query):\n", - " emails = [\n", - " {\"from\": \"it@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"Setting Up Your IT Needs\", \"text\": \"Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\"},\n", - " {\"from\": \"john@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"First Week Check-In\", \"text\": \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}\n", - " ]\n", - " return {\"emails\" : emails}\n", - " \n", - "def create_calendar_event(date: str, time: str, duration: int):\n", - " # You can implement any logic here\n", - " return {\"is_success\": True,\n", - " \"message\": f\"Created a {duration} hour long event at {time} on {date}\"}\n", - " \n", - "functions_map = {\n", - " \"search_faqs\": search_faqs,\n", - " \"search_emails\": search_emails,\n", - " \"create_calendar_event\": create_calendar_event\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The second and final setup step is to define the tool schemas in a format that can be passed to the Chat endpoint. The schema must contain the following fields: `name`, `description`, and `parameter_definitions` in the format shown below. \n", - "\n", - "This schema informs the LLM about what the tool does, and the LLM decides whether to use a particular tool based on it. Therefore, the more descriptive and specific the schema, the more likely the LLM will make the right tool call decisions." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the tools\n", - "tools = [\n", - " {\n", - " \"name\": \"search_faqs\",\n", - " \"description\": \"Given a user query, searches a company's frequently asked questions (FAQs) list and returns the most relevant matches to the query.\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"The query from the user\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }, \n", - " {\n", - " \"name\": \"search_emails\",\n", - " \"description\": \"Given a user query, searches a person's emails and returns the most relevant matches to the query.\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"The query from the user\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }, \n", - " {\n", - " \"name\": \"create_calendar_event\",\n", - " \"description\": \"Creates a new calendar event of the specified duration at the specified time and date. A new event cannot be created on the same time as an existing event.\",\n", - " \"parameter_definitions\": {\n", - " \"date\": {\n", - " \"description\": \"the date on which the event starts, formatted as mm/dd/yy\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"time\": {\n", - " \"description\": \"the time of the event, formatted using 24h military time formatting\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"duration\": {\n", - " \"description\": \"the number of hours the event lasts for\",\n", - " \"type\": \"float\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Tool planning and calling" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can now run the tool use workflow. We can think of a tool use system as consisting of four components:\n", - "- The user\n", - "- The application\n", - "- The LLM\n", - "- The tools\n", - "\n", - "At its most basic, these four components interact in a workflow through four steps:\n", - "- **Step 1: Get user message** – The LLM gets the user message (via the application)\n", - "- **Step 2: Tool planning and calling** – The LLM makes a decision on the tools to call (if any) and generates - the tool calls\n", - "- **Step 3: Tool execution** - The application executes the tools and the results are sent to the LLM\n", - "- **Step 4: Response and citation generation** – The LLM generates the response and citations to back to the user" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool plan:\n", - "I will search the user's emails for any messages about getting set up with IT. \n", - "\n", - "Tool calls:\n", - "Tool name: search_emails | Parameters: {'query': 'IT setup'}\n" - ] - } - ], - "source": [ - "# Step 1: Get user message\n", - "message = \"Any messages about getting setup with IT?\"\n", - "\n", - "preamble=\"\"\"## Task & Context\n", - "You are an assistant who assist new employees of Co1t with their first week. You respond to their questions and assist them with their needs. Today is Monday, June 24, 2024\"\"\"\n", - "\n", - "# Step 2: Tool planning and calling\n", - "response = co.chat(\n", - " message=message,\n", - " preamble=preamble,\n", - " tools=tools)\n", - "\n", - "if response.tool_calls:\n", - " print(\"Tool plan:\")\n", - " print(response.text,\"\\n\")\n", - " \n", - " print(\"Tool calls:\")\n", - " for call in response.tool_calls:\n", - " print(f\"Tool name: {call.name} | Parameters: {call.parameters}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Given three tools to choose from, the model is able to pick the right tool (in this case, `search_emails`) based on what the user is asking for.\n", - "\n", - "Also, notice that the model first generates a plan about what it should do (\"I will do ...\") before actually generating the tool call(s)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Tool execution" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool results:\n", - "{'call': {'name': 'search_emails', 'parameters': {'query': 'IT setup'}}, 'outputs': [{'emails': [{'from': 'it@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'Setting Up Your IT Needs', 'text': 'Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.'}, {'from': 'john@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'First Week Check-In', 'text': \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}]}]}\n" - ] - } - ], - "source": [ - "# Step 3: Tool execution\n", - "tool_results = []\n", - "for tc in response.tool_calls:\n", - " tool_call = {\"name\": tc.name, \"parameters\": tc.parameters}\n", - " tool_output = functions_map[tc.name](**tc.parameters)\n", - " tool_results.append({\"call\": tool_call, \"outputs\": [tool_output]})\n", - "\n", - "print(\"Tool results:\") \n", - "for result in tool_results:\n", - " print(result)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Response and citation generation" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final response:\n", - "You have an email from IT with a comprehensive guide attached to help you set up your work accounts.\n", - "==================================================\n", - "\n", - "CITATIONS:\n", - "start=12 end=25 text='email from IT' document_ids=['search_emails:0:2:0']\n", - "start=33 end=61 text='comprehensive guide attached' document_ids=['search_emails:0:2:0']\n", - "start=74 end=99 text='set up your work accounts' document_ids=['search_emails:0:2:0']\n", - "\n", - "CITED REFERENCES:\n", - "{'emails': '[{\"date\":\"2024-06-24\",\"from\":\"it@co1t.com\",\"subject\":\"Setting Up Your IT Needs\",\"text\":\"Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"john@co1t.com\",\"subject\":\"First Week Check-In\",\"text\":\"Hello! I hope you\\'re settling in well. Let\\'s connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it\\'s a great opportunity to get to know your colleagues!\",\"to\":\"david@co1t.com\"}]', 'id': 'search_emails:0:2:0', 'tool_name': 'search_emails'}\n" - ] - } - ], - "source": [ - "# Step 4: Response and citation generation\n", - "response = co.chat(\n", - " message=\"\", # In response generation, we set the message as empty\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - ")\n", - "\n", - "# Print final response\n", - "print(\"Final response:\")\n", - "print(response.text)\n", - "print(\"=\"*50)\n", - "\n", - "# Print citations (if any)\n", - "if response.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nCITED REFERENCES:\")\n", - " for document in response.documents:\n", - " print(document)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Multi-step tool use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The model can execute more complex tasks in tool use – tasks that require tool calls to happen in a sequence. This is referred to as \"multi-step\" tool use. \n", - "\n", - "Let's create a function to called `run_assistant` to implement these steps, and along the way, print out the key events and messages. Optionally, this function also accepts the chat history as an argument to keep the state in a multi-turn conversation. " - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus\"\n", - "\n", - "preamble=\"\"\"## Task & Context\n", - "You are an assistant who assists new employees of Co1t with their first week. You respond to their questions and assist them with their needs. Today is Monday, June 24, 2024\"\"\"\n", - "\n", - "# A function that runs multi-step tool use\n", - "def run_assistant(message, chat_history=None):\n", - " \n", - " if chat_history is None:\n", - " chat_history = []\n", - " \n", - " # Step 1: get user message\n", - " print(f\"Question:\\n{message}\")\n", - " print(\"=\"*50)\n", - "\n", - " # Step 2: Generate tool calls (if any) \n", - " response = co.chat(\n", - " message=message,\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " chat_history=chat_history\n", - " )\n", - "\n", - " # Tool execution loop\n", - " while response.tool_calls:\n", - " tool_calls = response.tool_calls\n", - " \n", - " if response.text:\n", - " print(\"Intermediate response:\")\n", - " print(response.text,\"\\n\")\n", - " print(\"Tool calls:\")\n", - " for call in tool_calls:\n", - " print(f\"Tool name: {call.name} | Parameters: {call.parameters}\")\n", - " print(\"=\"*50)\n", - " \n", - " # Step 3: Get tool results\n", - " tool_results = []\n", - " for tc in tool_calls:\n", - " tool_call = {\"name\": tc.name, \"parameters\": tc.parameters}\n", - " tool_output = functions_map[tc.name](**tc.parameters)\n", - " tool_results.append({\"call\": tool_call, \"outputs\": [tool_output]})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " message=\"\",\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - " )\n", - "\n", - " chat_history = response.chat_history\n", - " \n", - " # Print final response\n", - " print(\"Final response:\")\n", - " print(response.text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " if response.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nCITED REFERENCES:\")\n", - " for document in response.documents:\n", - " print(document)\n", - " \n", - " return chat_history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To illustrate the concept of multi-step tool user, let's ask the assistant to block time for any lunch invites received in the email.\n", - "\n", - "This requires tasks to happen over multiple steps in a sequence. Here, we see the assistant running these steps:\n", - "- First, it calls the `search_emails` tool to find any lunch invites, which it found one.\n", - "- Next, it calls the `create_calendar_event` tool to create an event to block the person's calendar on the day mentioned by the email.\n", - "\n", - "This is also an example of tool use enabling a write operation instead of just a read operation that we saw with RAG." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Can you check if there are any lunch invites, and for those days, block an hour on my calendar from 12-1PM.\n", - "==================================================\n", - "Intermediate response:\n", - "I will search the user's emails for lunch invites, and then create calendar events for the dates and times of those invites. \n", - "\n", - "Tool calls:\n", - "Tool name: search_emails | Parameters: {'query': 'lunch invite'}\n", - "==================================================\n", - "Intermediate response:\n", - "I have found one lunch invite for Thursday 27 June at noon. I will now create a calendar event for this. \n", - "\n", - "Tool calls:\n", - "Tool name: create_calendar_event | Parameters: {'date': '06/27/24', 'duration': 1, 'time': '12:00'}\n", - "==================================================\n", - "Final response:\n", - "I found one lunch invite for Thursday 27 June at noon. I have created a calendar event for this.\n", - "==================================================\n", - "\n", - "CITATIONS:\n", - "start=29 end=53 text='Thursday 27 June at noon' document_ids=['search_emails:0:2:0']\n", - "start=62 end=95 text='created a calendar event for this' document_ids=['create_calendar_event:0:4:0']\n", - "\n", - "CITED REFERENCES:\n", - "{'emails': '[{\"date\":\"2024-06-24\",\"from\":\"it@co1t.com\",\"subject\":\"Setting Up Your IT Needs\",\"text\":\"Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"john@co1t.com\",\"subject\":\"First Week Check-In\",\"text\":\"Hello! I hope you\\'re settling in well. Let\\'s connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it\\'s a great opportunity to get to know your colleagues!\",\"to\":\"david@co1t.com\"}]', 'id': 'search_emails:0:2:0', 'tool_name': 'search_emails'}\n", - "{'id': 'create_calendar_event:0:4:0', 'is_success': 'true', 'message': 'Created a 1 hour long event at 12:00 on 06/27/24', 'tool_name': 'create_calendar_event'}\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Can you check if there are any lunch invites, and for those days, block an hour on my calendar from 12-1PM.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to create tools\n", - "- How tool planning and calling happens\n", - "- How tool execution happens\n", - "- How to generate the response and citations\n", - "- How to run tool use in a multi-step scenario\n", - "\n", - "And that concludes our 7-part Cohere tutorial. We hope that they have provided you with a foundational understanding of the Cohere API, the available models and endpoints, and the types of use cases that you can build with them.\n", - "\n", - "To continue your learning, check out:\n", - "- [LLM University - A range of courses and step-by-step guides to help you start building](https://cohere.com/llmu)\n", - "- [Cookbooks - A collection of basic to advanced example applications](https://docs.cohere.com/page/cookbooks)\n", - "- [Cohere's documentation](https://docs.cohere.com/docs/the-cohere-platform)\n", - "- [The Cohere API reference](https://docs.cohere.com/reference/about)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt7.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt1_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt1_v2.ipynb index 4a2d6d59..67b5ae57 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt1_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt1_v2.ipynb @@ -1,122 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Cohere Tutorial\n", - "\n", - "#### Build your first Cohere application: An onboarding assistant for new hires" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Welcome to the Cohere tutorial – a hands-on introduction to Cohere!\n", - "\n", - "In this tutorial, you will learn how to use the Cohere API, specifically three endpoints: Chat, Embed, and Rerank.\n", - "\n", - "This tutorial is split over seven parts, with each part focusing on one use case, as follows:\n", - "\n", - "- Part 1: Installation and Setup (Pre-requisite)\n", - "- Part 2: Text Generation\n", - "- Part 3: Chatbots\n", - "- Part 4: Semantic Search\n", - "- Part 5: Reranking\n", - "- Part 6: Retrieval-Augmented Generation (RAG)\n", - "- Part 7: Agents with Tool Use\n", - "\n", - "You'll learn about these use cases by building an onboarding assistant that helps new hires onboard to a fictitious company called Co1t. The assistant can help write introductions, answer user questions about the company, search for information from e-mails, and create meeting appointments.\n", - "\n", - "We recommend that you follow the parts sequentially. However, feel free to skip to specific parts if you want (apart from Part 1, which is a pre-requisite) because each part also works as a standalone tutorial.\n", - "\n", - "Total Duration: ~15 minutes" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Installation and Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Cohere platform lets developers access large language model (LLM) capabilities with a few lines of code. These LLMs can solve a broad spectrum of natural language use cases, including classification, semantic search, paraphrasing, summarization, and content generation.\n", - "\n", - "Cohere's models can be accessed through the playground, SDK, and CLI tool. We support SDKs in four different languages: Python, Typescript, Java, and Go.\n", - "\n", - "This tutorial uses the Python SDK and accesses the models through the Cohere platform.\n", - "\n", - "To get started, first install the Cohere Python SDK." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install -U cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we'll import the `cohere` library and create a client to be used throughout the examples. We create a client by passing the Cohere API key as an argument. To get an API key, [sign up with Cohere](https://dashboard.cohere.com/welcome/register) and get the API key [from the dashboard](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "co = cohere.ClientV2(api_key=\"YOUR_COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In Part 2, we'll get started with the first use case - text generation." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt1_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt2_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt2_v2.ipynb index 473566fc..15200f31 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt2_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt2_v2.ipynb @@ -1,538 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text Generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Command is Cohere’s flagship LLM. It generates a response based on a user message or prompt. It is trained to follow user commands and to be instantly useful in practical business applications, like summarization, copywriting, extraction, and question-answering.\n", - "\n", - "Command R and Command R+ are the most recent models in the Command family. They are the market-leading models that balance high efficiency with strong accuracy to enable enterprises to move from proof of concept into production-grade AI.\n", - "\n", - "You'll use Chat, the Cohere endpoint for accessing the Command models.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Basic text generation\n", - "- Prompt engineering\n", - "- Parameters for controlling output\n", - "- Structured output generation\n", - "- Streamed output\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import cohere\n", - "import json\n", - "\n", - "co = cohere.ClientV2(api_key\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Basic text generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To get started with Chat, we need to pass two parameters, `model` for the LLM model ID and `messages`, which we add a single user message. We then call the Chat endpoint through the client we created earlier.\n", - "\n", - "The response contains several objects. For simplicity, what we want right now is the `message.content[0].text` object.\n", - "\n", - "Here's an example of the assistant responding to a new hire's query asking for help to make introductions." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Sure! Here is a draft of an introduction message: \n", - "\n", - "\"Hi everyone! My name is [Your Name], and I am thrilled to be joining the Co1t team today. I am excited to get to know you all and contribute to the amazing work being done at this startup. A little about me: [Brief description of your role, experience, and interests]. Outside of work, I enjoy [Hobbies and interests]. I look forward to collaborating with you all and being a part of Co1t's journey. Let's connect and make something great together!\" \n", - "\n", - "Feel free to edit and personalize the message to your liking. Good luck with your new role at Co1t!\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}])\n", - " # messages=[cohere.UserMessage(content=message)])\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Chat endpoint API reference](https://docs.cohere.com/v2/reference/chat)\n", - "- [Documentation on Chat fine-tuning](https://docs.cohere.com/docs/chat-fine-tuning)\n", - "- [Documentation on Command R+](https://docs.cohere.com/docs/command-r-plus)\n", - "- [LLM University module on text generation](https://cohere.com/llmu#text-generation)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Prompt engineering" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Prompting is at the heart of working with LLMs. The prompt provides context for the text that we want the model to generate. The prompts we create can be anything from simple instructions to more complex pieces of text, and they are used to encourage the model to produce a specific type of output.\n", - "\n", - "In this section, we'll look at a couple of prompting techniques.\n", - "\n", - "The first is to add more specific instructions to the prompt. The more instructions you provide in the prompt, the closer you can get to the response you need.\n", - "\n", - "The limit of how long a prompt can be is dependent on the maximum context length that a model can support (in the case Command R/R+, it's 128k tokens).\n", - "\n", - "Below, we'll add one additional instruction to the earlier prompt: the length we need the response to be." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hi everyone, my name is [Your Name], and I am thrilled to join the Co1t team today as a [Your Role], eager to contribute my skills and ideas to the company's growth and success!\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a one-sentence introduction message to my teammates.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}])\n", - " # messages=[cohere.UserMessage(content=message)])\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "All our prompts so far use what is called zero-shot prompting, which means that provide instruction without any example. But in many cases, it is extremely helpful to provide examples to the model to guide its response. This is called few-shot prompting.\n", - "\n", - "Few-shot prompting is especially useful when we want the model response to follow a particular style or format. Also, it is sometimes hard to explain what you want in an instruction, and easier to show examples.\n", - "\n", - "Below, we want the response to be similar in style and length to the convention, as we show in the examples." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ticket title: \"Server Access Permissions Issue\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "user_input = \"Why can't I access the server? Is it a permissions issue?\"\n", - "\n", - "# Create a prompt containing example outputs\n", - "message=f\"\"\"Write a ticket title for the following user request:\n", - "\n", - "User request: Where are the usual storage places for project files?\n", - "Ticket title: Project File Storage Location\n", - "\n", - "User request: Emails won't send. What could be the issue?\n", - "Ticket title: Email Sending Issues\n", - "\n", - "User request: How can I set up a connection to the office printer?\n", - "Ticket title: Printer Connection Setup\n", - "\n", - "User request: {user_input}\n", - "Ticket title:\"\"\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}])\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on prompt engineering](https://docs.cohere.com/docs/crafting-effective-prompts)\n", - "- [LLM University module on prompt engineering](https://cohere.com/llmu#prompt-engineering)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Parameters for controlling output" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Chat endpoint provides developers with an array of options and parameters.\n", - "\n", - "For example, you can choose from several variations of the Command model. Different models produce different output profiles, such as quality and latency." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hi, I'm [Your Name] and I'm thrilled to join the Co1t team today as a [Your Role], eager to contribute my skills and ideas to help drive innovation and success for our startup!\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a one-sentence introduction message to my teammates.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}])\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Often, you’ll need to control the level of randomness of the output. You can control this using a few parameters.\n", - "\n", - "The most commonly used parameter is `temperature`, which is a number used to tune the degree of randomness. You can enter values between 0.0 to 1.0.\n", - "\n", - "A lower temperature gives more predictable outputs, and a higher temperature gives more \"creative\" outputs.\n", - "\n", - "Here's an example of setting `temperature` to 0." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1: \"Revolution Enthusiast\"\n", - "\n", - "2: \"Revolution Enthusiast\"\n", - "\n", - "3: \"Revolution Enthusiast\"\n", - "\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I like learning about the industrial revolution and how it shapes the modern world. How I can introduce myself in five words or less.\"\n", - "\n", - "# Generate the response multiple times by specifying a low temperature value\n", - "for idx in range(3):\n", - " response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}],\n", - " temperature=0)\n", - "\n", - " print(f\"{idx+1}: {response.message.content[0].text}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here's an example of setting `temperature` to 1." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1: Here is a suggestion: \n", - "\n", - "\"Revolution Enthusiast. History Fan.\" \n", - "\n", - "This introduction highlights your passion for the industrial revolution and its impact on history while keeping within the word limit.\n", - "\n", - "2: \"Revolution fan.\"\n", - "\n", - "3: \"IR enthusiast.\"\n", - "\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I like learning about the industrial revolution and how it shapes the modern world. How I can introduce myself in five words or less.\"\n", - "\n", - "# Generate the response multiple times by specifying a low temperature value\n", - "for idx in range(3):\n", - " response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}],\n", - " temperature=1)\n", - "\n", - " print(f\"{idx+1}: {response.message.content[0].text}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Available models for the Chat endpoint](https://docs.cohere.com/docs/models#command)\n", - "- [Documentation on predictable outputs](https://docs.cohere.com/v2/docs/predictable-outputs)\n", - "- [Documentation on advanced generation parameters](https://docs.cohere.com/docs/advanced-generation-hyperparameters)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Structured output generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "By adding the `response_format` parameter, you can get the model to generate the output as a JSON object. By generating JSON objects, you can structure and organize the model's responses in a way that can be used in downstream applications.\n", - "\n", - "The `response_format` parameter allows you to specify the schema the JSON object must follow. It takes the following parameters:\n", - "- `message`: The user message\n", - "- `response_format`: The schema of the JSON object" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "The `response_format.schema` parameter is an experimental feature and may change in future releases.\n", - "To suppress this warning, set `log_warning_experimental_features=False` when initializing the client.\n" - ] + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt2_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'title': 'Unable to Access Server', 'category': 'access', 'status': 'open'}\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "user_input = \"Why can't I access the server? Is it a permissions issue?\"\n", - "message = f\"\"\"Create an IT ticket for the following user request. Generate a JSON object.\n", - "{user_input}\"\"\"\n", - "\n", - "# Generate the response multiple times by adding the JSON schema\n", - "response = co.chat(\n", - " model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}],\n", - " response_format={\n", - " \"type\": \"json_object\",\n", - " \"schema\": {\n", - " \"type\": \"object\",\n", - " \"required\": [\"title\", \"category\", \"status\"],\n", - " \"properties\": {\n", - " \"title\": { \"type\": \"string\"},\n", - " \"category\": { \"type\" : \"string\", \"enum\" : [\"access\", \"software\"]},\n", - " \"status\": { \"type\" : \"string\" , \"enum\" : [\"open\", \"closed\"]}\n", - " }\n", - " }\n", - " },\n", - ")\n", - "\n", - "json_object = json.loads(response.message.content[0].text)\n", - "\n", - "print(json_object)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on Structured Generations (JSON)](https://docs.cohere.com/docs/structured-outputs-json)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Streaming responses" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "All the previous examples above generate responses in a non-streamed manner. This means that the endpoint would return a response object only after the model has generated the text in full.\n", - "\n", - "The Chat endpoint also provides streaming support. In a streamed response, the endpoint would return a response object for each token as it is being generated. This means you can display the text incrementally without having to wait for the full completion.\n", - "\n", - "To activate it, use `co.chat_stream()` instead of `co.chat()`.\n", - "\n", - "In streaming mode, the endpoint will generate a series of objects. To get the actual text contents, we take objects whose `event_type` is `content-delta`." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hi, I'm [Your Name] and I'm thrilled to join the Co1t team today as a [Your Role], passionate about [Your Expertise], and excited to contribute to our shared mission of [Startup's Mission]!\"" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a one-sentence introduction message to my teammates.\"\n", - "\n", - "# Generate the response by streaming it\n", - "response = co.chat_stream(model=\"command-r-plus-08-2024\",\n", - " messages=[{\"role\": \"user\", \"content\": message}])\n", - "\n", - "for event in response:\n", - " if event:\n", - " if event.type == \"content-delta\":\n", - " print(event.delta.message.content.text, end=\"\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on streaming responses](https://docs.cohere.com/docs/streaming)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to get started with a basic text generation\n", - "- How to improve outputs with prompt engineering\n", - "- How to control outputs using parameter changes\n", - "- How to generate structured outputs\n", - "- How to stream text generation outputs\n", - "\n", - "However, we have only done all this using direct text generations. As its name implies, the Chat endpoint can also support building chatbots, which require features to support multi-turn conversations and maintain the conversation state. \n", - "\n", - "In Part 3, you'll learn how to build chatbots with the Chat endpoint." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt3_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt3_v2.ipynb index 197acf34..23142ccd 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt3_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt3_v2.ipynb @@ -1,388 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Chatbots" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "As its name implies, the Chat endpoint enables developers to build chatbots that can handle conversations. At the core of a conversation is a multi-turn dialog between the user and the chatbot. This requires the chatbot to have the state (or “memory”) of all the previous turns to maintain the state of the conversation.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Creating a custom preamble\n", - "- Creating a single-turn conversation\n", - "- Building the conversation memory\n", - "- Running a multi-turn conversation\n", - "- Viewing the chat history\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import cohere\n", - "\n", - "co = cohere.ClientV2(api_key\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating a custom preamble" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "A conversation starts with a system message, or a preamble, to help steer a chatbot’s response toward certain characteristics.\n", - "\n", - "For example, if we want the chatbot to adopt a formal style, the preamble can be used to encourage the generation of more business-like and professional responses.\n", - "\n", - "The recommended approach is to use two H2 Markdown headers: \"Task and Context\" and \"Style Guide\" in the exact order.\n", - "\n", - "In the example below, the preamble provides context for the assistant's task (task and context) and encourages the generation of rhymes as much as possible (style guide)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Sure, here's a rhyme to break the ice,\n", - "A warm welcome to the team, so nice,\n", - "\n", - "Hi, I'm [Your Name], a new face,\n", - "Ready to join the Co1t space,\n", - "\n", - "A journey begins, a path unknown,\n", - "But together we'll make our mark, a foundation stone,\n", - "\n", - "Excited to learn and contribute my part,\n", - "Let's create, innovate, and leave a lasting art,\n", - "\n", - "Looking forward to our adventures yet untold,\n", - "With teamwork and passion, let's achieve our goals!\n", - "\n", - "Cheers to a great start!\n", - "Your enthusiastic new mate.\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"\n", - "\n", - "# Create a custom system message\n", - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who assist new employees of Co1t with their first week.\n", - "\n", - "## Style Guide\n", - "Try to speak in rhymes as much as possible. Be professional.\"\"\"\n", - "\n", - "# Add the messages\n", - "messages = [{\"role\": \"system\", \"content\": system_message},\n", - " {\"role\": \"user\", \"content\": message}]\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on preambles](https://docs.cohere.com/docs/preambles)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Starting the first conversation turn" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's start with the first conversation turn.\n", - "\n", - "Here, we are also adding a custom preamble or system message for generating a concise response, just to keep the outputs brief for this tutorial." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hello, teammates! I'm thrilled to join the Co1t family today and looking forward to getting to know you all and contributing to our shared success.\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"\n", - "\n", - "# Create a custom system message\n", - "system_message=\"\"\"## Task and Context\n", - "Generate concise responses, with maximum one-sentence.\"\"\"\n", - "\n", - "# Add the messages\n", - "messages = [{\"role\": \"system\", \"content\": system_message},\n", - " {\"role\": \"user\", \"content\": message}]\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Building the conversation memory" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want the model to refine the earlier response. This requires the next generation to have access to the state, or memory, of the conversation.\n", - "\n", - "To do this, we append the `messages` with the model's previous response using the `assistant` role.\n", - "\n", - "Next, we also append a new user message (for the second turn) to the `messages` list.\n", - "\n", - "Looking at the response, we see that the model is able to get the context from the chat history. The model is able to capture that \"it\" in the user message refers to the introduction message it had generated earlier." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hey, future Co1t buddies! Stoked to join this awesome team, let's get to know each other and make some startup magic together!\"\n" - ] - } - ], - "source": [ - "# Append the previous response\n", - "messages.append({'role' : 'assistant', 'content': response.message.content[0].text})\n", - "\n", - "# Add the user message\n", - "message = \"Make it more upbeat and conversational.\"\n", - "\n", - "# Append the user message\n", - "messages.append({\"role\": \"user\", \"content\": message})\n", - "\n", - "# Generate the response with the current chat history as the context\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on using the Chat endpoint](https://docs.cohere.com/docs/chat-api)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running a multi-turn conversation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "You can continue doing this for any number of turns by continuing to append the chatbot's response and the new user message to the `messages` list." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hi, boss! So excited to dive into my new role at Co1t and eager to learn from your mentorship and guidance. Let's crush it!\"\n" - ] - } - ], - "source": [ - "# Append the previous response\n", - "messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - "\n", - "# Add the user message\n", - "message = \"Thanks. Could you create another one for my DM to my manager.\"\n", - "\n", - "# Append the user message\n", - "messages.append({\"role\": \"user\", \"content\": message})\n", - "\n", - "# Generate the response with the current chat history as the context\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Viewing the chat history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To look at the current chat history, you can print the `messages` list, which contains a list of `user` and `assistant` turns in the same sequence as they were created." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'role': 'system', 'content': '## Task and Context\\nGenerate concise responses, with maximum one-sentence.'} \n", - "\n", - "{'role': 'user', 'content': \"I'm joining a new startup called Co1t today. Could you help me write a short introduction message to my teammates.\"} \n", - "\n", - "{'role': 'assistant', 'content': '\"Hello, teammates! I\\'m thrilled to join the Co1t family today and looking forward to getting to know you all and contributing to our shared success.\"'} \n", - "\n", - "{'role': 'user', 'content': 'Make it more upbeat and conversational.'} \n", - "\n", - "{'role': 'assistant', 'content': '\"Hey, future Co1t buddies! Stoked to join this awesome team, let\\'s get to know each other and make some startup magic together!\"'} \n", - "\n", - "{'role': 'user', 'content': 'Thanks. Could you create another one for my DM to my manager.'} \n", - "\n", - "{'role': 'assistant', 'content': '\"Hi, boss! So excited to dive into my new role at Co1t and eager to learn from your mentorship and guidance. Let\\'s crush it!\"'} \n", - "\n" - ] - } - ], - "source": [ - "# Append the previous response\n", - "messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - "\n", - "# View the chat history\n", - "for message in messages:\n", - " print(message,\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to create a custom preamble\n", - "- How to create a single-turn conversation\n", - "- How to build the conversation memory\n", - "- How to run a multi-turn conversation\n", - "- How to view the chat history\n", - "\n", - "You will use the same method for running a multi-turn conversation when you learn about other use cases such as RAG (Part 6) and tool use (Part 7).\n", - "\n", - "But to fully leverage these other capabilities, you will need another type of language model that generates text representations, or embeddings.\n", - "\n", - "In Part 4, you will learn how text embeddings can power an important use case for RAG, which is semantic search." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt3_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt4_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt4_v2.ipynb index cef60556..617794f2 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt4_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt4_v2.ipynb @@ -1,456 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Semantic Search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Text embeddings are a list of numbers that represent the context or meaning inside a piece of text. This is particularly useful in search or information retrieval applications. With text embeddings, this is called semantic search.\n", - "\n", - "Semantic search solves the problem faced by the more traditional approach of lexical search, which is great at finding keyword matches, but struggles to capture the context or meaning of a piece of text.\n", - "\n", - "With Cohere, you can generate text embeddings through the Embed endpoint (Embed v3 being the latest model), which supports over 100 languages.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Embedding the documents\n", - "- Embedding the query\n", - "- Performing semantic search\n", - "- Multilingual semantic search\n", - "- Changing embedding compression types\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import cohere\n", - "import numpy as np\n", - "\n", - "co = cohere.ClientV2(api_key=\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Embedding the documents" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Embed endpoint takes in texts as input and returns embeddings as output.\n", - "\n", - "For semantic search, there are two types of documents we need to turn into embeddings.\n", - "- The list of documents that we want to search from.\n", - "- The query that will be used to search the documents.\n", - "\n", - "Right now, we are doing the former. We call the Embed endpoint using `co.embed()` and pass the following arguments:\n", - "- `model`: Here we choose `embed-english-v3.0`, which generates embeddings of size 1024\n", - "- `input_type`: We choose `search_document` to ensure the model treats these as the documents for search\n", - "- `texts`: The list of texts (the FAQs)\n", - "- `embedding_types`: We choose `float` to get the float embeddings." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_long = [\n", - " {\"text\": \"Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.\"},\n", - " {\"text\": \"Finding Coffee Spots: For your caffeine fix, head to the break room's coffee machine or cross the street to the café for artisan coffee.\"},\n", - " {\"text\": \"Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!\"},\n", - " {\"text\": \"Working Hours Flexibility: We prioritize work-life balance. While our core hours are 9 AM to 5 PM, we offer flexibility to adjust as needed.\"},\n", - " {\"text\": \"Side Projects Policy: We encourage you to pursue your passions. Just be mindful of any potential conflicts of interest with our business.\"},\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"},\n", - " {\"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"},\n", - " {\"text\": \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"},\n", - " {\"text\": \"Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.\"},\n", - "]\n", - "\n", - "# Embed the documents\n", - "doc_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in faqs_long],\n", - " embedding_types=[\"float\"]).embeddings.float" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Embed endpoint API reference](https://docs.cohere.com/reference/embed)\n", - "- [Documentation on the Embed endpoint](https://docs.cohere.com/docs/embeddings)\n", - "- [Documentation on the models available on the Embed endpoint](https://docs.cohere.com/docs/cohere-embed)\n", - "- [LLM University module on Text Representation](https://cohere.com/llmu#text-representation)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Embedding the query" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we add a query, which asks about how to stay connected to company updates.\n", - "\n", - "We choose `search_query` as the `input_type` to ensure the model treats this as the query (instead of documents) for search." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# Add the user query\n", - "query = \"Ways to connect with my teammates\"\n", - "\n", - "# Embed the query\n", - "query_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[query],\n", - " embedding_types=[\"float\"]).embeddings.float" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Perfoming semantic search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want to search for the most relevant documents to the query. We do this by computing the similarity between the embeddings of the query and each of the documents.\n", - "\n", - "There are various approaches to compute similarity between embeddings, and we'll choose the dot product approach. For this, we use the `numpy` library which comes with the implementation.\n", - "\n", - "Each query-document pair returns a score, which represents how similar the pair is. We then sort these scores in descending order and select the top-most similar pairs, which we choose 2 (this is an arbitrary choice, you can choose any number).\n", - "\n", - "Here, we show the most relevant documents with their similarity scores." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.3872984617627964\n", - "Document: {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}\n", - "\n", - "Rank: 2\n", - "Score: 0.3272549670724577\n", - "Document: {'text': 'Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "def return_results(query_emb, doc_emb, documents):\n", - " n = 2 # customize your top N results\n", - " scores = np.dot(query_emb, np.transpose(doc_emb))[0]\n", - " max_idx = np.argsort(-scores)[:n]\n", - " \n", - " for rank, idx in enumerate(max_idx):\n", - " print(f\"Rank: {rank+1}\")\n", - " print(f\"Score: {scores[idx]}\")\n", - " print(f\"Document: {documents[idx]}\\n\")\n", - " \n", - "return_results(query_emb, doc_emb, faqs_long)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multilingual semantic search" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Embed endpoint also supports multilingual semantic search via the `embed-multilingual-...` models. This means you can perform semantic search on texts in different languages.\n", - "\n", - "Specifically, you can do both multilingual and cross-lingual searches using one single model.\n", - "\n", - "Multilingual search happens when the query and the result are of the same language. For example, an English query of “places to eat” returning an English result of “Bob's Burgers.” You can replace English with other languages and use the same model for performing search.\n", - "\n", - "Cross-lingual search happens when the query and the result are of a different language. For example, a Hindi query of “खाने की जगह” (places to eat) returning an English result of “Bob's Burgers.”\n", - "\n", - "In the example below, we repeat the steps of performing semantic search with one difference – changing the model type to the multilingual version. Here, we use the `embed-multilingual-v3.0` model. Here, we are searching a French version of the FAQ list using an English query." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.442758615743984\n", - "Document: {'text': \"Travailler de l'étranger : Il est possible de travailler à distance depuis un autre pays. Il suffit de coordonner avec votre responsable et de vous assurer d'être disponible pendant les heures de travail.\"}\n", - "\n", - "Rank: 2\n", - "Score: 0.32783563708365726\n", - "Document: {'text': 'Avantages pour la santé et le bien-être : Nous nous soucions de votre bien-être et proposons des adhésions à des salles de sport, des cours de yoga sur site et une assurance santé complète.'}\n", - "\n" - ] - } - ], - "source": [ - "# Define the documents\n", - "faqs_short_fr = [\n", - " {\"text\" : \"Remboursement des frais de voyage : Gérez facilement vos frais de voyage en les soumettant via notre outil financier. Les approbations sont rapides et simples.\"},\n", - " {\"text\" : \"Travailler de l'étranger : Il est possible de travailler à distance depuis un autre pays. Il suffit de coordonner avec votre responsable et de vous assurer d'être disponible pendant les heures de travail.\"},\n", - " {\"text\" : \"Avantages pour la santé et le bien-être : Nous nous soucions de votre bien-être et proposons des adhésions à des salles de sport, des cours de yoga sur site et une assurance santé complète.\"},\n", - " {\"text\" : \"Fréquence des évaluations de performance : Nous organisons des bilans informels tous les trimestres et des évaluations formelles deux fois par an.\"}\n", - "]\n", - "\n", - "# Embed the documents\n", - "doc_emb = co.embed(\n", - " model=\"embed-multilingual-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in faqs_short_fr],\n", - " embedding_types=[\"float\"]).embeddings.float\n", - "\n", - "# Add the user query\n", - "query = \"What's your remote-working policy?\"\n", - "\n", - "# Embed the query\n", - "query_emb = co.embed(\n", - " model=\"embed-multilingual-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[query],\n", - " embedding_types=[\"float\"]).embeddings.float\n", - "\n", - "# Compute dot product similarity and display results\n", - "return_results(query_emb, doc_emb, faqs_short_fr)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [The list of supported languages for multilingual Embed](https://docs.cohere.com/docs/cohere-embed#list-of-supported-languages)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Changing embedding compression types" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Semantic search over large datasets can require a lot of memory, which is expensive to host in a vector database. Changing the embeddings compression type can help reduce the memory footprint.\n", - "\n", - "A typical embedding model generates embeddings as float32 format (consuming 4 bytes). By compressing the embeddings to int8 format (1 byte), we can reduce the memory 4x while keeping 99.99% of the original search quality.\n", - "\n", - "We can go even further and use the binary format (1 bit), which reduces the needed memory 32x while keeping 90-98% of the original search quality.\n", - "\n", - "The Embed endpoint supports the following formats: `float`, `int8`, `unint8`, `binary`, and `ubinary`. You can get these different compression levels by passing the `embedding_types` parameter.\n", - "\n", - "In the example below, we embed the documents in two formats: `float` and `int8`." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Embed the documents with the given embedding types\n", - "doc_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['text'] for doc in faqs_long],\n", - " embedding_types=[\"float\",\"int8\"]).embeddings\n", - "\n", - "# Add the user query\n", - "query = \"Ways to connect with my teammates\"\n", - "\n", - "# Embed the query\n", - "query_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[query],\n", - " embedding_types=[\"float\",\"int8\"]).embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here are the search results of using the `float` embeddings (same as the earlier example)." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.3872984617627964\n", - "Document: {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}\n", - "\n", - "Rank: 2\n", - "Score: 0.3272549670724577\n", - "Document: {'text': 'Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "return_results(query_emb.float, doc_emb.float, faqs_long)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here are the search results of using the `int8` embeddings." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 613377\n", - "Document: {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}\n", - "\n", - "Rank: 2\n", - "Score: 515890\n", - "Document: {'text': 'Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.'}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "return_results(query_emb.int8, doc_emb.int8, faqs_long)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on embeddings compression levels](https://docs.cohere.com/docs/embeddings#compression-levels)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to embed documents for search\n", - "- How to embed queries\n", - "- How to perform semantic search\n", - "- How to perform multilingual semantic search\n", - "- How to change the embedding compression types\n", - "\n", - "A high-performance and modern search system typically includes a reranking stage, which further boosts the search results.\n", - "\n", - "In Part 5, you will learn how to add reranking to a search system." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt4_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt5_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt5_v2.ipynb index 6aa77c7a..8dff6a28 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt5_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt5_v2.ipynb @@ -1,503 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Reranking" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Reranking is a technique that leverages embeddings as the last stage of a retrieval process, and is especially useful in RAG systems.\n", - "\n", - "We can rerank results from semantic search as well as any other search systems such as lexical search. This means that companies can retain an existing keyword-based (also called “lexical”) or semantic search system for the first-stage retrieval and integrate the Rerank endpoint in the second-stage reranking.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Reranking lexical/semantic search results\n", - "- Reranking semi-structured data\n", - "- Reranking tabular data\n", - "- Multilingual reranking\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# pip install cohere\n", - "\n", - "import cohere\n", - "\n", - "co = cohere.ClientV2(api_key=\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reranking lexical/semantic search results" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Rerank requires just a single line of code to implement.\n", - "\n", - "Suppose we have a list of search results of an FAQ list, which can come from semantic, lexical, or any other types of search systems. But this list may not be optimally ranked for relevance to the user query.\n", - "\n", - "This is where Rerank can help. We call the endpoint using `co.rerank()` and pass the following arguments:\n", - "- `query`: The user query\n", - "- `documents`: The list of documents\n", - "- `top_n`: The top reranked documents to select\n", - "- `model`: We choose Rerank English 3" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_short = [\n", - " \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\",\n", - " \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\",\n", - " \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\",\n", - " \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "id='2fa5bc0d-28aa-4c99-8355-7de78dbf3c86' results=[RerankResponseResultsItem(document=None, index=2, relevance_score=0.01798621), RerankResponseResultsItem(document=None, index=3, relevance_score=8.463939e-06)] meta=ApiMeta(api_version=ApiMetaApiVersion(version='1', is_deprecated=None, is_experimental=None), billed_units=ApiMetaBilledUnits(input_tokens=None, output_tokens=None, search_units=1.0, classifications=None), tokens=None, warnings=None)\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"Are there fitness-related perks?\"\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=faqs_short,\n", - " top_n=2,\n", - " model='rerank-3.5')\n", - "\n", - "print(results)" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.01798621\n", - "Document: {'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}\n", - "\n", - "Rank: 2\n", - "Score: 8.463939e-06\n", - "Document: {'text': 'Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.'}\n", - "\n" - ] - } - ], - "source": [ - "# Display the reranking results\n", - "def return_results(results, documents): \n", - " for idx, result in enumerate(results.results):\n", - " print(f\"Rank: {idx+1}\") \n", - " print(f\"Score: {result.relevance_score}\")\n", - " print(f\"Document: {documents[result.index]}\\n\")\n", - " \n", - "return_results(results, faqs_short)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Rerank endpoint API reference](https://docs.cohere.com/reference/rerank)\n", - "- [Documentation on Rerank](https://docs.cohere.com/docs/overview)\n", - "- [Documentation on Rerank fine-tuning](https://docs.cohere.com/docs/rerank-fine-tuning)\n", - "- [Documentation on Rerank best practices](https://docs.cohere.com/docs/reranking-best-practices)\n", - "- [LLM University module on Text Representation](https://cohere.com/llmu#text-representation)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reranking semi-structured data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Rerank 3 model supports multi-aspect and semi-structured data like emails, invoices, JSON documents, code, and tables. By setting the rank fields, you can select which fields the model should consider for reranking.\n", - "\n", - "In the following example, we'll use an email data example. It is a semi-stuctured data that contains a number of fields – `from`, `to`, `date`, `subject`, and `text`. \n", - "\n", - "Suppose the new hire now wants to search for any emails about check-in sessions. Let's pretend we have a list of 5 emails retrieved from the email provider's API.\n", - "\n", - "To perform reranking over semi-structured data, we serialize the data into a list of yaml strings." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import yaml\n", - "\n", - "# Define the documents\n", - "emails = [\n", - " {\"from\": \"hr@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"A Warm Welcome to Co1t!\", \"text\": \"We are delighted to welcome you to the team! As you embark on your journey with us, you'll find attached an agenda to guide you through your first week.\"},\n", - " {\"from\": \"it@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"Setting Up Your IT Needs\", \"text\": \"Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\"},\n", - " {\"from\": \"john@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"First Week Check-In\", \"text\": \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}\n", - "]\n", - "\n", - "yaml_emails = [yaml.dump(email, sort_keys=False) for email in emails]" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.1979091\n", - "Document: {'from': 'john@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'First Week Check-In', 'text': \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}\n", - "\n", - "Rank: 2\n", - "Score: 9.535461e-05\n", - "Document: {'from': 'hr@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'A Warm Welcome to Co1t!', 'text': \"We are delighted to welcome you to the team! As you embark on your journey with us, you'll find attached an agenda to guide you through your first week.\"}\n", - "\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"Any email about check ins?\"\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=yaml_emails,\n", - " top_n=2,\n", - " model='rerank-v3.5')\n", - "\n", - "return_results(results, emails)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reranking tabular data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Many enterprises rely on tabular data, such as relational databases, CSVs, and Excel. To perform reranking, you can transform a dataframe into a list of JSON records and use Rerank 3's JSON capabilities to rank them.\n", - "\n", - "Here's an example of reranking a CSV file that contains employee information." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/Users/meor/anaconda3/lib/python3.11/site-packages/pandas/core/arrays/masked.py:60: UserWarning: Pandas requires version '1.3.6' or newer of 'bottleneck' (version '1.3.5' currently installed).\n", - " from pandas.core import (\n" - ] + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt5_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    namerolejoin_dateemailstatus
    0Rebecca LeeSenior Software Engineer2024-07-01rebecca@co1t.comFull-time
    1Emma WilliamsProduct Designer2024-06-15emma@co1t.comFull-time
    2Michael JonesMarketing Manager2024-05-20michael@co1t.comFull-time
    3Amelia ThompsonSales Representative2024-05-20amelia@co1t.comPart-time
    4Ethan DavisProduct Designer2024-05-25ethan@co1t.comContractor
    \n", - "
    " - ], - "text/plain": [ - " name role join_date email \\\n", - "0 Rebecca Lee Senior Software Engineer 2024-07-01 rebecca@co1t.com \n", - "1 Emma Williams Product Designer 2024-06-15 emma@co1t.com \n", - "2 Michael Jones Marketing Manager 2024-05-20 michael@co1t.com \n", - "3 Amelia Thompson Sales Representative 2024-05-20 amelia@co1t.com \n", - "4 Ethan Davis Product Designer 2024-05-25 ethan@co1t.com \n", - "\n", - " status \n", - "0 Full-time \n", - "1 Full-time \n", - "2 Full-time \n", - "3 Part-time \n", - "4 Contractor " - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import pandas as pd\n", - "from io import StringIO\n", - "\n", - "# Create a demo CSV file\n", - "data = \"\"\"name,role,join_date,email,status\n", - "Rebecca Lee,Senior Software Engineer,2024-07-01,rebecca@co1t.com,Full-time\n", - "Emma Williams,Product Designer,2024-06-15,emma@co1t.com,Full-time\n", - "Michael Jones,Marketing Manager,2024-05-20,michael@co1t.com,Full-time\n", - "Amelia Thompson,Sales Representative,2024-05-20,amelia@co1t.com,Part-time\n", - "Ethan Davis,Product Designer,2024-05-25,ethan@co1t.com,Contractor\"\"\"\n", - "data_csv = StringIO(data)\n", - "\n", - "# Load the CSV file\n", - "df = pd.read_csv(data_csv)\n", - "df.head()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.986828\n", - "Document: {'name': 'Emma Williams', 'role': 'Product Designer', 'join_date': '2024-06-15', 'email': 'emma@co1t.com', 'status': 'Full-time'}\n", - "\n" - ] - } - ], - "source": [ - "# Define the documents and rank fields\n", - "employees = df.to_dict('records')\n", - "employees_yaml = [yaml.dump(employee, sort_keys=False) for employee in employees]\n", - "\n", - "\n", - "# Add the user query\n", - "query = \"Any full-time product designers who joined recently?\"\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=employees,\n", - " top_n=1,\n", - " model='rerank-v3.5')\n", - "\n", - "return_results(results, employees)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multilingual reranking" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Rerank endpoint also supports multilingual semantic search via the `rerank-multilingual-...` models. This means you can perform semantic search on texts in different languages.\n", - "\n", - "In the example below, we repeat the steps of performing reranking with one difference – changing the model type to a multilingual one. Here, we use the `rerank-multilingual-v3.0` model. Here, we are reranking the FAQ list using an Arabic query." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.42232594\n", - "Document: {'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}\n", - "\n", - "Rank: 2\n", - "Score: 0.00025118678\n", - "Document: {'text': 'Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.'}\n", - "\n" - ] - } - ], - "source": [ - "# Define the query\n", - "query = \"هل هناك مزايا تتعلق باللياقة البدنية؟\" # Are there fitness benefits?\n", - "\n", - "# Rerank the documents\n", - "results = co.rerank(query=query,\n", - " documents=faqs_short,\n", - " top_n=2,\n", - " model='rerank-v3.5')\n", - "\n", - "return_results(results, faqs_short)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusion" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to rerank lexical/semantic search results\n", - "- How to rerank semi-structured data\n", - "- How to rerank tabular data\n", - "- How to perform Multilingual reranking\n", - "\n", - "We have now seen two critical components of a powerful search system - semantic search, or dense retrieval (Part 4) and reranking (Part 5). These building blocks are essential for implementing RAG solutions.\n", - "\n", - "In Part 6, you will learn how to implement RAG." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt6_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt6_v2.ipynb index 2ebc1921..d5ebc134 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt6_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt6_v2.ipynb @@ -1,649 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# RAG" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Chat endpoint provides comprehensive support for various text generation use cases, including retrieval-augmented generation (RAG). \n", - "\n", - "While LLMs are good at maintaining the context of the conversation and generating responses, they can be prone to hallucinate and include factually incorrect or incomplete information in their responses.\n", - "\n", - "RAG enables a model to access and utilize supplementary information from external documents, thereby improving the accuracy of its responses.\n", - "\n", - "When using RAG with the Chat endpoint, these responses are backed by fine-grained citations linking to the source documents. This makes the responses easily verifiable.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Basic RAG\n", - "- Search query generation\n", - "- Retrieval with Embed\n", - "- Reranking with Rerank\n", - "- Response and citation generation\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "# pip install cohere\n", - "\n", - "import cohere\n", - "import numpy as np\n", - "import json\n", - "from typing import List\n", - "\n", - "co = cohere.ClientV2(api_key=\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Basic RAG\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To see how RAG works, let's define the documents that the application has access to. We'll use a short list of documents consisting of internal FAQs about the fictitious company Co1t (in production, these documents are massive).\n", - "\n", - "In this example, each document is a `data` object with one field, `text`. But we can define any number of fields we want, depending on the nature of the documents. For example, emails could contain `title` and `text` fields." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "documents = [\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To call the Chat API with RAG, pass the following parameters at a minimum. This tells the model to run in RAG-mode and use these documents in its response.\n", - "\n", - "- `model` for the model ID\n", - "- `messages` for the user's query.\n", - "- `documents` for defining the documents.\n", - "\n", - "Let's create a query asking about the company's support for personal well-being, which is not going to be available to the model based on the data its trained on. It will need to use external documents.\n", - "\n", - "RAG introduces additional objects in the Chat response. One of them is `citations`, which contains details about:\n", - "- specific text spans from the retrieved documents on which the response is grounded.\n", - "- the documents referenced in the citations." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Yes, there are health benefits. We offer gym memberships, on-site yoga classes, and comprehensive health insurance.\n", - "\n", - "CITATIONS:\n", - "start=41 end=115 text='gym memberships, on-site yoga classes, and comprehensive health insurance.' sources=[DocumentSource(type='document', id='doc:2', document={'id': 'doc:2', 'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'})] \n", - "\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"Are there health benefits?\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role': 'user', 'content': query}],\n", - " documents=documents)\n", - "\n", - "# Display the response\n", - "print(response.message.content[0].text)\n", - "\n", - "# Display the citations and source documents\n", - "if response.message.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.message.citations:\n", - " print(citation, \"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Search query generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The previous example showed how to get started with RAG, and in particular, the augmented generation portion of RAG. But as its name implies, RAG consists of other steps, such as retrieval. \n", - "\n", - "In a basic RAG application, the steps involved are:\n", - "\n", - "- Transforming the user message into search queries\n", - "- Retrieving relevant documents for a given search query\n", - "- Generating the response and citations\n", - "\n", - "Let's now look at the first step—search query generation. The chatbot needs to generate an optimal set of search queries to use for retrieval. \n", - "\n", - "There are different possible approaches to this. In this example, we'll take a [tool use](v2/docs/tool-use) approach.\n", - "\n", - "Here, we build a tool that takes a user query and returns a list of relevant document snippets for that query. The tool can generate zero, one or multiple search queries depending on the user query." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [], - "source": [ - "def generate_search_queries(message: str) -> List[str]:\n", - " \n", - " # Define the query generation tool\n", - " query_gen_tool = [\n", - " {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"internet_search\",\n", - " \"description\": \"Returns a list of relevant document snippets for a textual query retrieved from the internet\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"queries\": {\n", - " \"type\": \"array\",\n", - " \"items\": {\"type\": \"string\"},\n", - " \"description\": \"a list of queries to search the internet with.\",\n", - " }\n", - " },\n", - " \"required\": [\"queries\"],\n", - " },\n", - " },\n", - " }\n", - " ]\n", - "\n", - "\n", - " # Define a preamble to optimize search query generation\n", - " instructions = \"Write a search query that will find helpful information for answering the user's question accurately. If you need more than one search query, write a list of search queries. If you decide that a search is very unlikely to find information that would be useful in constructing a response to the user, you should instead directly answer.\"\n", - "\n", - " # Generate search queries (if any)\n", - " search_queries = []\n", - " \n", - " res = co.chat(\n", - " model=\"command-r-08-2024\",\n", - " messages=[\n", - " {\"role\": \"system\", \"content\": instructions},\n", - " {\"role\": \"user\", \"content\": message},\n", - " ],\n", - " tools=query_gen_tool\n", - " )\n", - " \n", - " if res.message.tool_calls:\n", - " for tc in res.message.tool_calls:\n", - " queries = json.loads(tc.function.arguments)[\"queries\"]\n", - " search_queries.extend(queries)\n", - "\n", - " return search_queries" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the example above, the tool breaks down the user message into two separate queries." - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['how to stay connected with the company', 'do companies organise team events']\n" - ] - } - ], - "source": [ - "query = \"How to stay connected with the company, and do you organize team events?\"\n", - "queries_for_search = generate_search_queries(query)\n", - "print(queries_for_search)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And in the example below, the tool decides that one query is sufficient." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['How flexible are the working hours?']\n" - ] - } - ], - "source": [ - "query = \"How flexible are the working hours\"\n", - "queries_for_search = generate_search_queries(query)\n", - "print(queries_for_search)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And in the example below, the tool decides that no retrieval is needed to answer the query." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[]\n" - ] - } - ], - "source": [ - "query = \"What is 2 + 2\"\n", - "queries_for_search = generate_search_queries(query)\n", - "print(queries_for_search)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Retrieval with Embed" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Given the search query, we need a way to retrieve the most relevant documents from a large collection of documents.\n", - "\n", - "This is where we can leverage text embeddings through the Embed endpoint. It enables semantic search, which lets us to compare the semantic meaning of the documents and the query. It solves the problem faced by the more traditional approach of lexical search, which is great at finding keyword matches, but struggles at capturing the context or meaning of a piece of text.\n", - "\n", - "The Embed endpoint takes in texts as input and returns embeddings as output.\n", - "\n", - "First, we need to embed the documents to search from. We call the Embed endpoint using `co.embed()` and pass the following arguments:\n", - "\n", - "- `model`: Here we choose `embed-english-v3.0`, which generates embeddings of size 1024\n", - "- `input_type`: We choose `search_document` to ensure the model treats these as the documents (instead of the query) for search\n", - "- `texts`: The list of texts (the FAQs)" - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the documents\n", - "faqs_long = [\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Finding Coffee Spots: For your caffeine fix, head to the break room's coffee machine or cross the street to the café for artisan coffee.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Working Hours Flexibility: We prioritize work-life balance. While our core hours are 9 AM to 5 PM, we offer flexibility to adjust as needed.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Side Projects Policy: We encourage you to pursue your passions. Just be mindful of any potential conflicts of interest with our business.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Performance Reviews Frequency: We conduct informal check-ins every quarter and formal performance reviews twice a year.\"\n", - " }\n", - " },\n", - " {\n", - " \"data\": {\n", - " \"text\": \"Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.\"\n", - " }\n", - " },\n", - "]\n", - "\n", - "# Embed the documents\n", - "doc_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=[doc['data']['text'] for doc in faqs_long],\n", - " embedding_types=[\"float\"]).embeddings.float" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we add a query, which asks about how to get to know the team.\n", - "\n", - "We choose `search_query` as the `input_type` to ensure the model treats this as the query (instead of the documents) for search." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Search query: how to get to know your teammates\n" - ] - } - ], - "source": [ - "# Add the user query\n", - "query = \"How to get to know my teammates\"\n", - "\n", - "# Generate the search query\n", - "# Note: For simplicity, we are assuming only one query generated. For actual implementations, you will need to perform search for each query.\n", - "queries_for_search = generate_search_queries(query)[0]\n", - "print(\"Search query: \", queries_for_search)\n", - "\n", - "# Embed the search query\n", - "query_emb = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_query\",\n", - " texts=[queries_for_search],\n", - " embedding_types=[\"float\"]).embeddings.float" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want to search for the most relevant documents to the query. For this, we make use of the `numpy` library to compute the similarity between each query-document pair using the dot product approach.\n", - "\n", - "Each query-document pair returns a score, which represents how similar the pair are. We then sort these scores in descending order and select the top most similar pairs, which we choose 5 (this is an arbitrary choice, you can choose any number).\n", - "\n", - "Here, we show the most relevant documents with their similarity scores." - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.32653470360872655\n", - "Document: {'data': {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}}\n", - "\n", - "Rank: 2\n", - "Score: 0.26851855352264786\n", - "Document: {'data': {'text': 'Proposing New Ideas: Innovation is welcomed! Share your brilliant ideas at our weekly team meetings or directly with your team lead.'}}\n", - "\n", - "Rank: 3\n", - "Score: 0.2581341975304149\n", - "Document: {'data': {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}}\n", - "\n", - "Rank: 4\n", - "Score: 0.18633336738178463\n", - "Document: {'data': {'text': \"Finding Coffee Spots: For your caffeine fix, head to the break room's coffee machine or cross the street to the café for artisan coffee.\"}}\n", - "\n", - "Rank: 5\n", - "Score: 0.13022396595682814\n", - "Document: {'data': {'text': 'Health and Wellness Benefits: We care about your well-being and offer gym memberships, on-site yoga classes, and comprehensive health insurance.'}}\n", - "\n" - ] - } - ], - "source": [ - "# Compute dot product similarity and display results\n", - "n = 5\n", - "scores = np.dot(query_emb, np.transpose(doc_emb))[0]\n", - "max_idx = np.argsort(-scores)[:n]\n", - "\n", - "retrieved_documents = [faqs_long[item] for item in max_idx]\n", - "\n", - "for rank, idx in enumerate(max_idx):\n", - " print(f\"Rank: {rank+1}\")\n", - " print(f\"Score: {scores[idx]}\")\n", - " print(f\"Document: {retrieved_documents[rank]}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Reranking can boost the results from semantic or lexical search further. The Rerank endpoint takes a list of search results and reranks them according to the most relevant documents to a query. This requires just a single line of code to implement.\n", - "\n", - "We call the endpoint using `co.rerank()` and pass the following arguments:\n", - "\n", - "- `query`: The user query\n", - "- `documents`: The list of documents we get from the semantic search results\n", - "- `top_n`: The top reranked documents to select\n", - "- `model`: We choose Rerank English 3\n", - "\n", - "Looking at the results, we see that since the query is about getting to know the team, the document that talks about joining Slack channels is now ranked higher (1st) compared to earlier (3rd).\n", - "\n", - "Here we select `top_n` to be 2, which will be the documents we will pass next for response generation." - ] - }, - { - "cell_type": "code", - "execution_count": 41, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Rank: 1\n", - "Score: 0.0040072887\n", - "Document: {'data': {'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'}}\n", - "\n", - "Rank: 2\n", - "Score: 0.0020829707\n", - "Document: {'data': {'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'}}\n", - "\n" - ] - } - ], - "source": [ - "# Rerank the documents\n", - "results = co.rerank(query=queries_for_search,\n", - " documents=[doc['data']['text'] for doc in retrieved_documents],\n", - " top_n=2,\n", - " model='rerank-english-v3.0')\n", - "\n", - "# Display the reranking results\n", - "for idx, result in enumerate(results.results):\n", - " print(f\"Rank: {idx+1}\") \n", - " print(f\"Score: {result.relevance_score}\")\n", - " print(f\"Document: {retrieved_documents[result.index]}\\n\")\n", - " \n", - "reranked_documents = [retrieved_documents[result.index] for result in results.results]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Finally we reach the step that we saw in the earlier `Basic RAG` section.\n", - "\n", - "To call the Chat API with RAG, we pass the following parameters. This tells the model to run in RAG-mode and use these documents in its response.\n", - "\n", - "- `model` for the model ID\n", - "- `messages` for the user's query.\n", - "- `documents` for defining the documents.\n", - "\n", - "The response is then generated based on the the query and the documents retrieved.\n", - "\n", - "RAG introduces additional objects in the Chat response. One of them is `citations`, which contains details about:\n", - "- specific text spans from the retrieved documents on which the response is grounded.\n", - "- the documents referenced in the citations." - ] - }, - { - "cell_type": "code", - "execution_count": 42, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "You can get to know your teammates by joining Slack channels and participating in team-building activities. You will receive an invite via email to join relevant channels to stay informed and engaged. There are monthly outings and weekly game nights to foster team spirit. You can suggest new activity ideas at any time.\n", - "\n", - "CITATIONS:\n", - "start=38 end=60 text='joining Slack channels' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'})] \n", - "\n", - "start=82 end=107 text='team-building activities.' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'})] \n", - "\n", - "start=117 end=144 text='receive an invite via email' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'})] \n", - "\n", - "start=148 end=170 text='join relevant channels' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'})] \n", - "\n", - "start=174 end=200 text='stay informed and engaged.' sources=[DocumentSource(type='document', id='doc:0', document={'id': 'doc:0', 'text': 'Joining Slack Channels: You will receive an invite via email. Be sure to join relevant channels to stay informed and engaged.'})] \n", - "\n", - "start=211 end=249 text='monthly outings and weekly game nights' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'})] \n", - "\n", - "start=253 end=272 text='foster team spirit.' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'})] \n", - "\n", - "start=281 end=320 text='suggest new activity ideas at any time.' sources=[DocumentSource(type='document', id='doc:1', document={'id': 'doc:1', 'text': 'Team-Building Activities: We foster team spirit with monthly outings and weekly game nights. Feel free to suggest new activity ideas anytime!'})] \n", - "\n" - ] - } - ], - "source": [ - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role': 'user', 'content': query}],\n", - " documents=reranked_documents)\n", - "\n", - "# Display the response\n", - "print(response.message.content[0].text)\n", - "\n", - "# Display the citations and source documents\n", - "if response.message.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.message.citations:\n", - " print(citation, \"\\n\")" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt6_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/getting-started/v2/tutorial_pt7_v2.ipynb b/notebooks/guides/getting-started/v2/tutorial_pt7_v2.ipynb index f705a807..40aa44e5 100644 --- a/notebooks/guides/getting-started/v2/tutorial_pt7_v2.ipynb +++ b/notebooks/guides/getting-started/v2/tutorial_pt7_v2.ipynb @@ -1,570 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Agents with Tool Use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Tool use extends the ideas from RAG, where external systems are used to guide the response of an LLM, but by leveraging a much bigger set of tools than what’s possible with RAG. The concept of tool use leverages LLMs' useful feature of being able to act as a reasoning and decision-making engine.\n", - "\n", - "While RAG enables applications that can *answer questions*, tool use enables those that can *automate tasks*.\n", - "\n", - "Tool use also enables developers to build agentic applications that can take actions, that is, doing both read and write operations on an external system.\n", - "\n", - "In this tutorial, you'll learn about:\n", - "- Creating tools\n", - "- Tool planning and calling\n", - "- Tool execution\n", - "- Response and citation generation\n", - "- Multi-step tool use\n", - "\n", - "You'll learn these by building an onboarding assistant for new hires." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "To get started, first we need to install the `cohere` library and create a Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "# pip install cohere\n", - "\n", - "import cohere\n", - "import json\n", - "import os\n", - "\n", - "co = cohere.ClientV2(api_key=os.environ[\"COHERE_API_KEY\"]) # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Creating tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The pre-requisite, before we can run a tool use workflow, is to set up the tools. Let's create three tools:\n", - "- `search_faqs`: A tool for searching the FAQs. For simplicity, we'll not implement any retrieval logic, but we'll simply pass a list of pre-defined documents, which are the FAQ documents we had used in the Text Embeddings section.\n", - "- `search_emails`: A tool for searching the emails. Same as above, we'll simply pass a list of pre-defined emails from the Reranking section.\n", - "- `create_calendar_event`: A tool for creating new calendar events. Again, for simplicity, we'll not implement actual event bookings, but will return a mock success event. In practice, we can connect to a calendar service API and implement all the necessary logic here.\n", - "\n", - "Here, we are defining a Python function for each tool, but more broadly, the tool can be any function or service that can receive and send objects." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [], - "source": [ - "# Create the tools\n", - "def search_faqs(query):\n", - " faqs = [\n", - " {\"text\": \"Reimbursing Travel Expenses: Easily manage your travel expenses by submitting them through our finance tool. Approvals are prompt and straightforward.\"},\n", - " {\"text\": \"Working from Abroad: Working remotely from another country is possible. Simply coordinate with your manager and ensure your availability during core hours.\"}\n", - " ]\n", - " return faqs\n", - "\n", - "def search_emails(query):\n", - " emails = [\n", - " {\"from\": \"it@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"Setting Up Your IT Needs\", \"text\": \"Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\"},\n", - " {\"from\": \"john@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"First Week Check-In\", \"text\": \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}\n", - " ]\n", - " return emails\n", - " \n", - "def create_calendar_event(date: str, time: str, duration: int):\n", - " # You can implement any logic here\n", - " return {\"is_success\": True,\n", - " \"message\": f\"Created a {duration} hour long event at {time} on {date}\"}\n", - " \n", - "functions_map = {\n", - " \"search_faqs\": search_faqs,\n", - " \"search_emails\": search_emails,\n", - " \"create_calendar_event\": create_calendar_event\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The second and final setup step is to define the tool schemas in a format that can be passed to the Chat endpoint. The schema must contain the following fields: `name`, `description`, and `parameters` in the format shown below. \n", - "\n", - "This schema informs the LLM about what the tool does, and the LLM decides whether to use a particular tool based on it. Therefore, the more descriptive and specific the schema, the more likely the LLM will make the right tool call decisions." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Further reading:\n", - "- [Documentation on parameter types in tool use](https://docs.cohere.com/v2/docs/parameter-types-in-tool-use)" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the tools\n", - "tools = [\n", - " {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"search_faqs\",\n", - " \"description\": \"Given a user query, searches a company's frequently asked questions (FAQs) list and returns the most relevant matches to the query.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"query\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"The query from the user\"\n", - " }\n", - " },\n", - " \"required\": [\"query\"]\n", - " }\n", - " }\n", - " },\n", - " {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"search_emails\",\n", - " \"description\": \"Given a user query, searches a person's emails and returns the most relevant matches to the query.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"query\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"The query from the user\"\n", - " }\n", - " },\n", - " \"required\": [\"query\"]\n", - " }\n", - " }\n", - " },\n", - " {\n", - " \"type\": \"function\",\n", - " \"function\": {\n", - " \"name\": \"create_calendar_event\",\n", - " \"description\": \"Creates a new calendar event of the specified duration at the specified time and date. A new event cannot be created on the same time as an existing event.\",\n", - " \"parameters\": {\n", - " \"type\": \"object\",\n", - " \"properties\": {\n", - " \"date\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"the date on which the event starts, formatted as mm/dd/yy\"\n", - " },\n", - " \"time\": {\n", - " \"type\": \"string\",\n", - " \"description\": \"the time of the event, formatted using 24h military time formatting\"\n", - " },\n", - " \"duration\": {\n", - " \"type\": \"number\",\n", - " \"description\": \"the number of hours the event lasts for\"\n", - " }\n", - " },\n", - " \"required\": [\"date\", \"time\", \"duration\"]\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Tool planning and calling" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can now run the tool use workflow. We can think of a tool use system as consisting of four components:\n", - "- The user\n", - "- The application\n", - "- The LLM\n", - "- The tools\n", - "\n", - "At its most basic, these four components interact in a workflow through four steps:\n", - "- **Step 1: Get user message** – The LLM gets the user message (via the application)\n", - "- **Step 2: Tool planning and calling** – The LLM makes a decision on the tools to call (if any) and generates - the tool calls\n", - "- **Step 3: Tool execution** - The application executes the tools and the results are sent to the LLM\n", - "- **Step 4: Response and citation generation** – The LLM generates the response and citations to back to the user" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool plan:\n", - "I will search the emails for any messages about getting set up with IT. \n", - "\n", - "Tool calls:\n", - "Tool name: search_emails | Parameters: {\"query\":\"getting setup with IT\"}\n" - ] - } - ], - "source": [ - "# Create custom system message\n", - "system_message = \"\"\"## Task and Context\n", - "You are an assistant who assist new employees of Co1t with their first week. You respond to their questions and assist them with their needs. Today is Monday, June 24, 2024\"\"\"\n", - "\n", - "\n", - "# Step 1: Get user message\n", - "message = \"Is there any message about getting setup with IT?\"\n", - "\n", - "# Add the system and user messages to the chat history\n", - "messages = [\n", - " {\"role\": \"system\", \"content\": system_message},\n", - " {\"role\": \"user\", \"content\": message},\n", - "]\n", - "\n", - "# Step 2: Tool planning and calling\n", - "response = co.chat(model=\"command-r-plus-08-2024\", messages=messages, tools=tools)\n", - "\n", - "if response.message.tool_calls:\n", - " print(\"Tool plan:\")\n", - " print(response.message.tool_plan, \"\\n\")\n", - " print(\"Tool calls:\")\n", - " for tc in response.message.tool_calls:\n", - " print(f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\")\n", - "\n", - " # Append tool calling details to the chat history\n", - " messages.append(\n", - " {\n", - " \"role\": \"assistant\",\n", - " \"tool_calls\": response.message.tool_calls,\n", - " \"tool_plan\": response.message.tool_plan,\n", - " }\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Given three tools to choose from, the model is able to pick the right tool (in this case, `search_emails`) based on what the user is asking for.\n", - "\n", - "Also, notice that the model first generates a plan about what it should do (\"I will do ...\") before actually generating the tool call(s)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Tool execution" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool results:\n", - "{'type': 'document', 'document': {'id': '0', 'data': {'from': 'it@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'Setting Up Your IT Needs', 'text': 'Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.'}}}\n", - "{'type': 'document', 'document': {'id': '1', 'data': {'from': 'john@co1t.com', 'to': 'david@co1t.com', 'date': '2024-06-24', 'subject': 'First Week Check-In', 'text': \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\"}}}\n" - ] - } - ], - "source": [ - "# Step 3: Tool execution\n", - "for tc in response.message.tool_calls:\n", - " tool_result = functions_map[tc.function.name](**json.loads(tc.function.arguments))\n", - " tool_content = []\n", - " for idx, data in enumerate(tool_result):\n", - " tool_content.append({\"type\": \"document\", \"document\": {\"data\": json.dumps(data)}})\n", - " # Optional: add an \"id\" field in the \"document\" object, otherwise IDs are auto-generated\n", - " # Append tool results to the chat history\n", - " messages.append({\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content})\n", - "\n", - "print(\"Tool results:\")\n", - "for result in tool_content:\n", - " print(result)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Response and citation generation" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Response:\n", - "Yes, there is an email from it@co1t.com with the subject 'Setting Up Your IT Needs'. It says:\n", - "> Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.\n", - "==================================================\n", - "\n", - "CITATIONS:\n", - "start=28 end=39 text='it@co1t.com' sources=[ToolSource(type='tool', id='0', tool_output={'date': '2024-06-24', 'from': 'it@co1t.com', 'subject': 'Setting Up Your IT Needs', 'text': 'Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.', 'to': 'david@co1t.com'})] \n", - "\n", - "start=57 end=83 text=\"'Setting Up Your IT Needs'\" sources=[ToolSource(type='tool', id='0', tool_output={'date': '2024-06-24', 'from': 'it@co1t.com', 'subject': 'Setting Up Your IT Needs', 'text': 'Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.', 'to': 'david@co1t.com'})] \n", - "\n", - "start=96 end=244 text='Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.' sources=[ToolSource(type='tool', id='0', tool_output={'date': '2024-06-24', 'from': 'it@co1t.com', 'subject': 'Setting Up Your IT Needs', 'text': 'Greetings! To ensure a seamless start, please refer to the attached comprehensive guide, which will assist you in setting up all your work accounts.', 'to': 'david@co1t.com'})] \n", - "\n" - ] - } - ], - "source": [ - "# Step 4: Response and citation generation\n", - "response = co.chat(\n", - " model=\"command-r-plus-08-2024\",\n", - " messages=messages,\n", - " tools=tools\n", - ")\n", - "\n", - "# Append assistant response to the chat history\n", - "messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - "\n", - "# Print final response\n", - "print(\"Response:\")\n", - "print(response.message.content[0].text)\n", - "print(\"=\"*50)\n", - "\n", - "# Print citations (if any)\n", - "if response.message.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.message.citations:\n", - " print(citation, \"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Multi-step tool use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The model can execute more complex tasks in tool use – tasks that require tool calls to happen in a sequence. This is referred to as \"multi-step\" tool use. \n", - "\n", - "Let's create a function to called `run_assistant` to implement these steps, and along the way, print out the key events and messages. Optionally, this function also accepts the chat history as an argument to keep the state in a multi-turn conversation. " - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus-08-2024\"\n", - "\n", - "system_message = \"\"\"## Task and Context\n", - "You are an assistant who assists new employees of Co1t with their first week. You respond to their questions and assist them with their needs. Today is Monday, June 24, 2024\"\"\"\n", - "\n", - "\n", - "def run_assistant(query, messages=None):\n", - " if messages is None:\n", - " messages = []\n", - "\n", - " if \"system\" not in {m.get(\"role\") for m in messages}:\n", - " messages.append({\"role\": \"system\", \"content\": system_message})\n", - "\n", - " # Step 1: get user message\n", - " print(f\"Question:\\n{query}\")\n", - " print(\"=\" * 50)\n", - "\n", - " messages.append({\"role\": \"user\", \"content\": query})\n", - "\n", - " # Step 2: Generate tool calls (if any)\n", - " response = co.chat(model=model, messages=messages, tools=tools)\n", - "\n", - " while response.message.tool_calls:\n", - "\n", - " print(\"Tool plan:\")\n", - " print(response.message.tool_plan, \"\\n\")\n", - " print(\"Tool calls:\")\n", - " for tc in response.message.tool_calls:\n", - " print(\n", - " f\"Tool name: {tc.function.name} | Parameters: {tc.function.arguments}\"\n", - " )\n", - " print(\"=\" * 50)\n", - "\n", - " messages.append(\n", - " {\n", - " \"role\": \"assistant\",\n", - " \"tool_calls\": response.message.tool_calls,\n", - " \"tool_plan\": response.message.tool_plan,\n", - " }\n", - " )\n", - "\n", - " # Step 3: Get tool results\n", - " for idx, tc in enumerate(response.message.tool_calls):\n", - " tool_result = functions_map[tc.function.name](\n", - " **json.loads(tc.function.arguments)\n", - " )\n", - " tool_content = []\n", - " for idx, data in enumerate(tool_result):\n", - " tool_content.append({\"type\": \"document\", \"document\": {\"data\": json.dumps(data)}})\n", - " # Optional: add an \"id\" field in the \"document\" object, otherwise IDs are auto-generated\n", - " messages.append(\n", - " {\"role\": \"tool\", \"tool_call_id\": tc.id, \"content\": tool_content}\n", - " )\n", - "\n", - " # Step 4: Generate response and citations\n", - " response = co.chat(model=model, messages=messages, tools=tools)\n", - "\n", - " messages.append({\"role\": \"assistant\", \"content\": response.message.content[0].text})\n", - "\n", - " # Print final response\n", - " print(\"Response:\")\n", - " print(response.message.content[0].text)\n", - " print(\"=\" * 50)\n", - "\n", - " # Print citations (if any)\n", - " if response.message.citations:\n", - " print(\"\\nCITATIONS:\")\n", - " for citation in response.message.citations:\n", - " print(citation, \"\\n\")\n", - "\n", - " return messages" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To illustrate the concept of multi-step tool user, let's ask the assistant to block time for any lunch invites received in the email.\n", - "\n", - "This requires tasks to happen over multiple steps in a sequence. Here, we see the assistant running these steps:\n", - "- First, it calls the `search_emails` tool to find any lunch invites, which it found one.\n", - "- Next, it calls the `create_calendar_event` tool to create an event to block the person's calendar on the day mentioned by the email.\n", - "\n", - "This is also an example of tool use enabling a write operation instead of just a read operation that we saw with RAG." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Can you check if there are any lunch invites, and for those days, create a one-hour event on my calendar at 12PM.\n", - "==================================================\n", - "Tool plan:\n", - "I will first search the user's emails for lunch invites. Then, I will create a one-hour event on the user's calendar at 12PM for each day that has a lunch invite. \n", - "\n", - "Tool calls:\n", - "Tool name: search_emails | Parameters: {\"query\":\"lunch invite\"}\n", - "==================================================\n", - "Tool plan:\n", - "I found one email containing a lunch invite for Thursday at noon. I will now create a one-hour event on the user's calendar for that day. \n", - "\n", - "Tool calls:\n", - "Tool name: create_calendar_event | Parameters: {\"date\":\"06/27/24\",\"duration\":1,\"time\":\"12:00\"}\n", - "==================================================\n", - "Response:\n", - "I found one lunch invite for Thursday at noon. I have created a one-hour event on your calendar for that day.\n", - "==================================================\n", - "\n", - "CITATIONS:\n", - "start=29 end=46 text='Thursday at noon.' sources=[ToolSource(type='tool', id='1', tool_output={'date': '2024-06-24', 'from': 'john@co1t.com', 'subject': 'First Week Check-In', 'text': \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\", 'to': 'david@co1t.com'})] \n", - "\n", - "start=64 end=109 text='one-hour event on your calendar for that day.' sources=[ToolSource(type='tool', id='0', tool_output={'content': 'is_success'}), ToolSource(type='tool', id='1', tool_output={'date': '2024-06-24', 'from': 'john@co1t.com', 'subject': 'First Week Check-In', 'text': \"Hello! I hope you're settling in well. Let's connect briefly tomorrow to discuss how your first week has been going. Also, make sure to join us for a welcoming lunch this Thursday at noon—it's a great opportunity to get to know your colleagues!\", 'to': 'david@co1t.com'})] \n", - "\n" - ] - } - ], - "source": [ - "messages = run_assistant(\"Can you check if there are any lunch invites, and for those days, create a one-hour event on my calendar at 12PM.\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this tutorial, you learned about:\n", - "- How to create tools\n", - "- How tool planning and calling happens\n", - "- How tool execution happens\n", - "- How to generate the response and citations\n", - "- How to run tool use in a multi-step scenario\n", - "\n", - "And that concludes our 7-part Cohere tutorial. We hope that they have provided you with a foundational understanding of the Cohere API, the available models and endpoints, and the types of use cases that you can build with them.\n", - "\n", - "To continue your learning, check out:\n", - "- [LLM University - A range of courses and step-by-step guides to help you start building](https://cohere.com/llmu)\n", - "- [Cookbooks - A collection of basic to advanced example applications](https://docs.cohere.com/page/cookbooks)\n", - "- [Cohere's documentation](https://docs.cohere.com/docs/the-cohere-platform)\n", - "- [The Cohere API reference](https://docs.cohere.com/reference/about)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tutorial_pt7_v2.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/rag_chatbot_with_cohere_and_mongodb.ipynb b/notebooks/guides/rag_chatbot_with_cohere_and_mongodb.ipynb index 12d8764b..64970853 100644 --- a/notebooks/guides/rag_chatbot_with_cohere_and_mongodb.ipynb +++ b/notebooks/guides/rag_chatbot_with_cohere_and_mongodb.ipynb @@ -1,2739 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "rsrW8qa1SImB" - }, - "source": [ - "# Build Chatbots That Know Your Business (with MongoDB and Cohere)\n", - "\n", - "[![Open In Colab](https://colab.research.google.com/assets/colab-badge.svg)](https://colab.research.google.com/github/mongodb-developer/GenAI-Showcase/blob/main/notebooks/rag/rag_chatbot_with_cohere_and_mongodb.ipynb)\n", - "\n", - " **What you will learn:**\n", - " * How to empower leverage semantic search on customer or operational data in MongoDB Atlas.\n", - " * Pass retrieved data to Cohere’s Command R+ generative model for retrieval-augmented generation (RAG).\n", - " * Develop and deploy a RAG-optimized user interface for your app.\n", - " * Create a conversation data store for your RAG chatbot using MongoDB\n", - "\n", - "**Use Case**: Develop an advanced chatbot assistant that provides asset managers with information and actionable insights on technology company market reports.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bxDo4gbQTQpI" - }, - "source": [ - "## Introduction\n", - "\n", - "- What is Cohere?\n", - "- What is MongoDB?\n", - "- How Cohere and MongoDB work together?" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "53oGydWkWump" - }, - "source": [ - "### What is Cohere?" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-_nfPnVoLQXD" - }, - "source": [ - "![cohere_overview.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "uPNWAXurWRBk" - }, - "source": [ - "### What is MongoDB?" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8VIyF0flTZAd" - }, - "source": [ - "![Screenshot 2024-07-24 at 11.44.56.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wIJacxVJZdlY" - }, - "source": [ - "### What exactly are we showing today?" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "F9GAuJjRJxUL" - }, - "source": [ - "![image.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "_6opv4QXZlvL" - }, - "source": [ - "## Step 1: Install libaries and Set Environment Variables\n", - "\n", - "🚨Critical Security Reminder: Safeguard your production environment by never committing sensitive information, such as environment variable values, to public repositories. This practice is essential for maintaining the security and integrity of your systems.\n", - "\n", - "Libraries:\n", - "* `cohere`: A Python library for accessing Cohere's large language models, enabling natural language processing tasks like text generation, classification, and embedding.\n", - "* `pymongo`: The recommended Python driver for MongoDB, allowing Python applications to interact with MongoDB databases for data storage and retrieval.\n", - "* `datasets`: A library by Hugging Face that provides easy access to a wide range of datasets for machine learning and natural language processing tasks.\n", - "*`tqdm`: A fast, extensible progress bar library for Python, useful for displaying progress in long-running operations or loops.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": { - "id": "ErGW6K5xhQBC" - }, - "outputs": [], - "source": [ - "!pip install --quiet datasets tqdm cohere pymongo" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": { - "id": "sucsR55niqZJ" - }, - "outputs": [], - "source": [ - "import os\n", - "import cohere\n", - "\n", - "os.environ[\"COHERE_API_KEY\"] = \"\"\n", - "co = cohere.Client(os.environ.get(\"COHERE_API_KEY\"))\n", - "\n", - "os.environ[\"HF_TOKEN\"] = \"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MsD0WW23MZsK" - }, - "source": [ - "## Step 2: Data Loading and Preparation\n", - "**Dataset Information**\n", - "\n", - "This dataset contains detailed information about multiple technology companies in the Information Technology sector. For each company, the dataset includes:\n", - "\n", - "1. Company name and stock ticker symbol\n", - "2. Market analysis reports for recent years (typically 2023 and 2024), which include:\n", - " - Title and author of the report\n", - " - Date of publication\n", - " - Detailed content covering financial performance, product innovations, market position, challenges, and future outlook\n", - " - Stock recommendations and price targets\n", - "\n", - "3. Key financial metrics such as:\n", - " - Current stock price\n", - " - 52-week price range\n", - " - Market capitalization\n", - " - Price-to-earnings (P/E) ratio\n", - " - Dividend yield\n", - "\n", - "\n", - "4. Recent news items, typically including:\n", - " - Date of the news\n", - " - Headline\n", - " - Brief summary\n", - "\n", - "The market analysis reports provide in-depth information about each company's performance, innovations, challenges, and future prospects. They offer insights into the companies' strategies, market positions, and potential for growth." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "Gl7FBM6g_voT", - "outputId": "10723c15-bce0-4392-f249-ec52df62252a" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "summary": "{\n \"name\": \"dataset_df\",\n \"rows\": 63,\n \"fields\": [\n {\n \"column\": \"recent_news\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"reports\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"company\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 63,\n \"samples\": [\n \"TechInnovate\",\n \"GreenEnergy Corp\",\n \"CyberDefense Dynamics\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"ticker\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 61,\n \"samples\": [\n \"CDDY\",\n \"SHSY\",\n \"GNMD\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"key_metrics\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"sector\",\n \"properties\": {\n \"dtype\": \"category\",\n \"num_unique_values\": 1,\n \"samples\": [\n \"Information Technology\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}", - "type": "dataframe", - "variable_name": "dataset_df" - }, - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    recent_newsreportscompanytickerkey_metricssector
    0[{'date': '2024-06-09', 'headline': 'CyberDefe...[{'author': 'Taylor Smith, Technology Sector L...CyberDefense DynamicsCDDY{'52_week_range': {'high': 387.3, 'low': 41.63...Information Technology
    1[{'date': '2024-07-04', 'headline': 'CloudComp...[{'author': 'Casey Jones, Chief Market Strateg...CloudCompute ProCCPR{'52_week_range': {'high': 524.23, 'low': 171....Information Technology
    2[{'date': '2024-06-27', 'headline': 'VirtualRe...[{'author': 'Sam Brown, Head of Equity Researc...VirtualReality SystemsVRSY{'52_week_range': {'high': 530.59, 'low': 56.4...Information Technology
    3[{'date': '2024-07-06', 'headline': 'BioTech I...[{'author': 'Riley Smith, Senior Tech Analyst'...BioTech InnovationsBTCI{'52_week_range': {'high': 366.55, 'low': 124....Information Technology
    4[{'date': '2024-06-26', 'headline': 'QuantumCo...[{'author': 'Riley Garcia, Senior Tech Analyst...QuantumComputing IncQCMP{'52_week_range': {'high': 231.91, 'low': 159....Information Technology
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " recent_news \\\n", - "0 [{'date': '2024-06-09', 'headline': 'CyberDefe... \n", - "1 [{'date': '2024-07-04', 'headline': 'CloudComp... \n", - "2 [{'date': '2024-06-27', 'headline': 'VirtualRe... \n", - "3 [{'date': '2024-07-06', 'headline': 'BioTech I... \n", - "4 [{'date': '2024-06-26', 'headline': 'QuantumCo... \n", - "\n", - " reports company \\\n", - "0 [{'author': 'Taylor Smith, Technology Sector L... CyberDefense Dynamics \n", - "1 [{'author': 'Casey Jones, Chief Market Strateg... CloudCompute Pro \n", - "2 [{'author': 'Sam Brown, Head of Equity Researc... VirtualReality Systems \n", - "3 [{'author': 'Riley Smith, Senior Tech Analyst'... BioTech Innovations \n", - "4 [{'author': 'Riley Garcia, Senior Tech Analyst... QuantumComputing Inc \n", - "\n", - " ticker key_metrics \\\n", - "0 CDDY {'52_week_range': {'high': 387.3, 'low': 41.63... \n", - "1 CCPR {'52_week_range': {'high': 524.23, 'low': 171.... \n", - "2 VRSY {'52_week_range': {'high': 530.59, 'low': 56.4... \n", - "3 BTCI {'52_week_range': {'high': 366.55, 'low': 124.... \n", - "4 QCMP {'52_week_range': {'high': 231.91, 'low': 159.... \n", - "\n", - " sector \n", - "0 Information Technology \n", - "1 Information Technology \n", - "2 Information Technology \n", - "3 Information Technology \n", - "4 Information Technology " - ] - }, - "execution_count": 28, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import pandas as pd\n", - "from datasets import load_dataset\n", - "\n", - "# Make sure you have an Hugging Face token(HF_TOKEN) in your development environemnt before running the code below\n", - "# How to get a token: https://huggingface.co/docs/hub/en/security-tokens\n", - "# https://huggingface.co/datasets/MongoDB/fake_tech_companies_market_reports\n", - "dataset = load_dataset(\"MongoDB/fake_tech_companies_market_reports\", split=\"train\", streaming=True)\n", - "dataset_df = dataset.take(100)\n", - "\n", - "# Convert the dataset to a pandas dataframe\n", - "dataset_df = pd.DataFrame(dataset_df)\n", - "dataset_df.head(5)" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": { - "id": "0XMrtXMZhsS6" - }, - "outputs": [], - "source": [ - "# Data Preparation\n", - "def combine_attributes(row):\n", - " combined = f\"{row['company']} {row['sector']} \"\n", - "\n", - " # Add reports information\n", - " for report in row['reports']:\n", - " combined += f\"{report['year']} {report['title']} {report['author']} {report['content']} \"\n", - "\n", - " # Add recent news information\n", - " for news in row['recent_news']:\n", - " combined += f\"{news['headline']} {news['summary']} \"\n", - "\n", - " return combined.strip()" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": { - "id": "RXkswCOATREy" - }, - "outputs": [], - "source": [ - "# Add the new column 'combined_attributes'\n", - "dataset_df['combined_attributes'] = dataset_df.apply(combine_attributes, axis=1)" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "aD-JbWJZTeqE", - "outputId": "60c0d0e3-7924-4601-95ea-4c3f56d73ca4" - }, - "outputs": [ + "cells": [ { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "summary": "{\n \"name\": \"dataset_df[['company', 'ticker', 'combined_attributes']]\",\n \"rows\": 5,\n \"fields\": [\n {\n \"column\": \"company\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 5,\n \"samples\": [\n \"CloudCompute Pro\",\n \"QuantumComputing Inc\",\n \"VirtualReality Systems\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"ticker\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 5,\n \"samples\": [\n \"CCPR\",\n \"QCMP\",\n \"VRSY\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"combined_attributes\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 5,\n \"samples\": [\n \"CloudCompute Pro Information Technology 2023 CloudCompute Pro (CCPR) - 2023 Market Analysis Casey Jones, Chief Market Strategist # CloudCompute Pro (CCPR) - Market Analysis Report 2023\\n\\n## Overview:\\nCloudCompute Pro (CCPR) is a leading provider of cloud computing solutions, offering a wide range of services to businesses worldwide. In 2023, CCPR continued its strong performance, building on its innovative technologies and solid market position. This report will analyze the key aspects of CCPR's year, including financial performance, product developments, and its standing in a dynamic market.\\n\\n## Key Highlights:\\n### Financial Performance:\\n- Revenue Growth: CCPR reported impressive revenue growth for the year, with a year-over-year increase of 25%. This growth was driven by a combination of new client acquisitions and expanded services to existing clients. The company's diverse revenue streams, including infrastructure-as-a-service (IaaS) and software-as-a-service (SaaS) offerings, contributed to this success.\\n- Profitability: CCPR maintained healthy profit margins, with a slight improvement compared to 2022. The company's efficient cost management strategies and economies of scale played a crucial role in maintaining profitability while investing in research and development.\\n- Cash Flow: Strong cash flows were observed from operations, reflecting CCPR's ability to effectively manage its working capital and invest in strategic initiatives. This positions the company well for future growth and expansion opportunities.\\n\\n### Product Innovations:\\n- Hybrid Cloud Solutions: CCPR enhanced its hybrid cloud offerings, providing seamless integration between private and public clouds. This innovation addressed the needs of businesses seeking flexibility, scalability, and control over their data.\\n- Artificial Intelligence: The company made significant investments in AI-powered solutions, including machine learning and natural language processing capabilities. This enhanced the automation and intelligence of its cloud platform, improving efficiency for clients.\\n- Edge Computing: CCPR expanded its edge computing presence, bringing computing power and data storage closer to end-users, which is crucial for latency-sensitive applications.\\n\\n### Market Position:\\n- Market Share: CCPR solidified its position as a top cloud computing provider, capturing a larger market share in 2023. This was achieved through strategic partnerships, expansion into new geographic markets, and a strong focus on customer satisfaction.\\n- Competitive Landscape: The company faced intense competition but maintained its competitive edge through technological advancements, innovative pricing models, and a robust partner ecosystem. CCPR's ability to adapt to market demands and offer customized solutions contributed to its market standing.\\n\\n## Challenges:\\n- Regulatory Compliance: CCPR, like many cloud providers, faced challenges in navigating the complex regulatory environment, especially with data privacy and sovereignty concerns.\\n- Talent Acquisition: The company experienced difficulties in attracting and retaining top talent in a highly competitive market, impacting its ability to fully staff certain strategic initiatives.\\n- Integration Complexities: With the increasing demand for hybrid cloud solutions, CCPR had to address the challenges of seamless integration across diverse cloud environments.\\n\\n## Outlook and Stock Recommendation:\\n### Outlook for 2024:\\nFor the upcoming year, CCPR is well-positioned for continued success. The company's focus on AI-powered solutions, edge computing, and hybrid cloud offerings are expected to drive further revenue growth. Additionally, CCPR's strong cash position enables potential strategic acquisitions to enhance its market presence and expand its service offerings.\\n\\n### Stock Recommendation:\\nBuy - With a Price Target of $120: CCPR's strong financial performance, innovative product pipeline, and solid market position make it an attractive investment opportunity. The company has demonstrated its ability to adapt to market demands and leverage new technologies. The outlook for the cloud computing industry remains positive, and CCPR is well-equipped to capitalize on these opportunities. Therefore, a 'Buy' recommendation is issued for CCPR stock, with a price target of $120, representing a potential upside from its current trading levels.\\n\\nIn conclusion, CloudCompute Pro's performance in 2023 showcases its resilience and ability to thrive in a dynamic market. The company's financial health, coupled with its focus on innovation, positions it for continued success in the cloud computing space. 2024 CloudCompute Pro (CCPR) - 2024 Market Analysis Jordan Williams, Senior Tech Analyst # CloudCompute Pro (CCPR) - Market Analysis Report 2024\\n\\n## Overview\\nCloudCompute Pro (CCPR) has had an impressive run in 2024, solidifying its position as a leading provider of cloud computing solutions. The company has shown strong financial performance, backed by innovative product offerings and a strategic market approach. This report will analyze CCPR's performance, highlights, challenges, and future prospects to provide a comprehensive overview for investors.\\n\\n## Key Highlights\\n\\n### Financial Performance\\n- Revenue Growth: CCPR reported impressive revenue growth of 25% year-over-year in 2024. This growth was driven by increased demand for its cloud infrastructure and platform services, as well as expansion into new markets.\\n- Profitability: The company's focus on operational efficiency has paid off, with a 5% increase in net profit margins compared to the previous year. This improvement is attributed to cost-optimization strategies and economies of scale.\\n- Cash Flow: CCPR's free cash flow increased by 15%, demonstrating its ability to generate cash and invest in future growth opportunities.\\n\\n### Product Innovations\\n- Hybrid Cloud Solutions: CCPR launched its hybrid cloud platform, offering seamless integration between private and public clouds. This innovation provides enterprises with flexibility, scalability, and enhanced data security.\\n- AI Integration: The company enhanced its cloud offerings with artificial intelligence capabilities, including machine learning and natural language processing. This enables smarter data analytics, automated decision-making, and improved security.\\n- Edge Computing: CCPR expanded its presence in edge computing, bringing computing power and data storage closer to end-users, reducing latency for time-sensitive applications.\\n\\n### Market Position\\n- Market Share: CCPR maintained its position as one of the top three players in the cloud computing market, with a market share of 18%, just behind the two dominant players, AWS and Azure.\\n- Customer Acquisition: The company successfully expanded its customer base, particularly among small and medium-sized enterprises, with a 20% increase in new customer acquisitions.\\n- Partnerships: CCPR strengthened its partner ecosystem, forming strategic alliances with leading software vendors and system integrators, which helped expand its reach and enhance its product offerings.\\n\\n## Challenges\\n- Competitive Landscape: The cloud computing market is highly competitive, with well-established players and constant technological advancements. CCPR needs to continue innovating and differentiating its offerings to maintain its market position.\\n- Regulatory Compliance: As CCPR expands globally, navigating different data privacy and security regulations becomes more complex. Ensuring compliance across multiple jurisdictions is a challenge the company must address.\\n- Talent Acquisition: With the high demand for skilled professionals in the cloud computing industry, attracting and retaining top talent is crucial for CCPR's future growth.\\n\\n## Outlook for 2025\\nCCPR is well-positioned for continued success in 2025. The company's focus on hybrid cloud solutions and AI integration is expected to drive further revenue growth. Additionally, expanding into new markets, particularly in the Asia-Pacific region, offers significant growth potential. The company's strong cash position and strategic partnerships will enable it to invest in R&D and acquire complementary businesses to enhance its product portfolio.\\n\\n## Stock Recommendation\\nBuy - With a Price Target of $320. CCPR's strong financial performance, innovative product pipeline, and expanding market presence make it an attractive investment opportunity. The company has demonstrated its ability to navigate challenges and capitalize on emerging trends. The outlook for 2025 is positive, and we expect the stock to outperform the market, making it a solid buy recommendation. The price target of $320 represents a potential upside of approximately 25% from the current market price.\\n\\nIn conclusion, CloudCompute Pro has had a successful year in 2024, and with its strategic initiatives and market positioning, it is well-equipped to continue its growth trajectory in the coming year. CloudCompute Pro Unveils New AI-Powered Product Line Here is a brief summary: \\n\\n\\\"CloudCompute Pro enhances its offerings with a new product line that leverages the power of AI.\\\" CloudCompute Pro Expands into European Market CloudCompute Pro expands its presence globally by entering the European market, offering its innovative cloud computing solutions to a wider audience. CloudCompute Pro Reports Strong Q2 Earnings, Beating Expectations CloudCompute Pro experiences a successful second quarter, surpassing projected financial estimates and goals.\",\n \"QuantumComputing Inc Information Technology 2023 QuantumComputing Inc (QCMP) - 2023 Market Analysis Riley Garcia, Senior Tech Analyst # QuantumComputing Inc (QCMP) - Market Analysis Report 2023\\n\\n## Overview:\\nQuantumComputing Inc. (QCMP) is a leading developer of quantum computing software and solutions, aiming to revolutionize computing tasks in various industries. In 2023, QCMP made significant strides in expanding its customer base and enhancing its product offerings. The company's financial performance reflected its growing success, with increasing revenue and improving margins. QCMP's stock has been volatile but generally trended upwards throughout the year. \\n\\n## Key Highlights:\\n\\n### Financial Performance:\\n- QCMP reported strong financial results for 2023, with revenue increasing by 25% year-over-year. This growth was driven by the increasing demand for quantum computing solutions and the company's ability to secure new clients.\\n- Gross margins improved by 3 percentage points compared to the previous year, reflecting the company's focus on high-margin software sales and services.\\n- Operating expenses increased slightly due to continued investments in research and development, but the expense ratio decreased as a percentage of revenue, indicating improving operational efficiency.\\n- Net income more than doubled year-over-year, and earnings per share also saw a significant boost, surpassing analyst estimates. \\n\\n### Product Innovations:\\n- QCMP launched its flagship quantum computing software suite, QCMP-X, which offers a comprehensive set of tools for developing and deploying quantum applications. This software has been well-received by the market, with several Fortune 500 companies adopting it.\\n- The company also introduced QCMP-Cloud, a cloud-based quantum computing platform that enables users to access quantum computing resources remotely. This platform has gained traction among small and medium-sized businesses looking to leverage quantum technology.\\n- QCMP continued to invest in its quantum hardware efforts, making significant progress in developing a more stable and scalable quantum processing unit (QPU). \\n\\n### Market Position:\\n- QCMP has solidified its position as a leading provider of quantum computing software, with a growing list of clients across various industries, including finance, pharmaceuticals, and defense. \\n- The company's partnerships with major cloud service providers have expanded its reach and made its products more accessible to a wider range of users. \\n- QCMP's strong research and development capabilities have kept it at the forefront of quantum computing innovation, and its growing patent portfolio further strengthens its market position. \\n\\n## Challenges:\\n- One of the main challenges QCMP faces is the highly competitive nature of the quantum computing market, with several well-funded startups and established tech giants vying for a share. \\n- The company's reliance on a limited number of key clients could impact its performance if these clients were to reduce their quantum computing investments. \\n- QCMP's hardware efforts are still in the development stage, and the company faces significant competition from larger players in this arena. \\n- Quantum technology's dependence on a skilled and scarce talent pool could hinder growth if QCMP struggles to attract and retain the right people. \\n\\n## Outlook and Stock Recommendation:\\n\\n### Outlook for 2024:\\nFor the next year, QCMP is expected to continue its growth trajectory, driven by the following factors: \\n- The expanding quantum computing market, with increasing adoption across industries, is expected to boost demand for QCMP's software and services.\\n- The company's ongoing R&D efforts and planned product launches, including enhancements to QCMP-X and the potential introduction of new hardware solutions, should maintain its competitive position. \\n- QCMP's focus on expanding its client base and diversifying its revenue streams is likely to pay off, leading to more stable and robust financial performance. \\n\\n### Stock Recommendation:\\nBuy - QCMP stock is rated a buy. The company's strong financial performance, innovative product pipeline, and solid market position within the rapidly growing quantum computing industry make it an attractive investment opportunity. \\n\\n### Price Target:\\nThe 12-month price target for QCMP stock is set at $75, representing a potential upside of approximately 25% from the current market price. This target is based on a combination of valuation metrics, including price-to-earnings and price-to-sales ratios, and takes into account the company's growth prospects and market potential. \\n\\nIn conclusion, QuantumComputing Inc. has had a successful year in 2023, and the outlook for 2024 remains positive. With its innovative product offerings and expanding market reach, the company is well-positioned to capitalize on the growing demand for quantum computing solutions. \\n\\n(Disclaimer: This report is for informational purposes only and should not be considered investment advice. Investors are advised to conduct their own due diligence and assess their risk tolerance before making any investment decisions.) 2024 QuantumComputing Inc (QCMP) - 2024 Market Analysis Morgan Davis, Senior Tech Analyst # QuantumComputing Inc (QCMP) Market Analysis Report 2024\\n\\n## Overview:\\nQuantumComputing Inc. (QCMP) has had an eventful year in 2024, solidifying its position as a leading player in the quantum computing industry. The company has made significant strides in developing and commercializing quantum computing technologies, which has reflected positively on its financial performance and market standing. QCMP's dedication to innovation and its ability to adapt to a rapidly evolving market have been key to its success this year. \\n\\n## Key Highlights:\\n\\n### Financial Performance:\\n- QCMP's financial performance in 2024 has been impressive, with the company experiencing significant growth in revenue and profitability. \\n- The company's revenue for the year is estimated to have increased by 45% year-over-year, surpassing initial expectations. This growth is attributed to the increasing demand for quantum computing solutions and QCMP's ability to cater to a diverse range of industries. \\n- Gross margins have also improved, reflecting the company's ability to manage costs effectively as it scales up its operations. \\n- QCMP's bottom line has benefited from strong top-line growth, with net income more than doubling compared to the previous year. This improvement is partly due to the company's successful cost-cutting measures implemented in 2023. \\n\\n### Product Innovations:\\n- QCMP has continued to invest heavily in research and development, resulting in several significant product innovations during the year. \\n- The company launched its flagship quantum annealing processor, Q-Anneal X, which offers improved performance and energy efficiency compared to its predecessors. This processor has been well-received by both researchers and enterprises, solidifying QCMP's position as a leader in quantum annealing technology. \\n- Additionally, QCMP introduced a hybrid quantum-classical computing platform, Q-Hybrid, which combines the power of quantum processing with classical computing resources. This platform has opened up new possibilities for near-term quantum computing applications. \\n- The company also expanded its software offerings, releasing an updated quantum development kit that provides developers with a comprehensive set of tools for building and deploying quantum algorithms. \\n\\n### Market Position:\\n- QCMP has strengthened its market position and is now recognized as one of the top quantum computing solution providers worldwide. \\n- The company has formed strategic partnerships with leading technology companies, including hardware manufacturers and cloud service providers, to expand its reach and integrate its offerings into existing ecosystems. \\n- QCMP's customer base has grown significantly, with notable enterprises and government organizations adopting its quantum computing solutions. This includes partnerships with financial institutions to develop quantum risk analysis tools and collaborations with pharmaceutical companies for drug discovery applications. \\n\\n## Challenges:\\n- One of the main challenges QCMP faced in 2024 was managing the supply chain constraints impacting the entire technology sector. The company had to navigate shortages of critical components and ensure timely deliveries to its customers. \\n- Additionally, the highly competitive nature of the quantum computing market means QCMP must continuously innovate to stay ahead. The company needs to allocate resources effectively to maintain its competitive advantage, especially as new entrants emerge. \\n\\n## Outlook and Stock Recommendation:\\nLooking ahead, QCMP is well-positioned to continue its strong performance in 2025. The company's robust product pipeline, expanding customer base, and growing list of partnerships are all positive indicators. \\n\\nThe quantum computing market is expected to expand significantly in the coming years, and QCMP is well-prepared to capitalize on this growth. The company's focus on both hardware and software solutions, as well as its commitment to making quantum technologies accessible, will be key drivers of its future success. \\n\\n**Stock Recommendation:** Buy\\n**Price Target:** $72.00\\n\\nThis price target represents a potential upside of approximately 25% from the stock's current levels and is based on a combination of fundamental analysis and the expectation of continued strong financial performance. \\n\\nIn summary, QCMP has had a successful year in 2024, and the outlook for the company remains positive. With its innovative product offerings and strong market position, QCMP is well-positioned to benefit from the growing demand for quantum computing solutions. QuantumComputing Inc Announces Strategic Partnership with Microsoft Quantum Computing Inc. strengthens its position in the quantum computing space by forging a strategic alliance with Microsoft to integrate its software with Azure Quantum. QuantumComputing Inc Faces Regulatory Scrutiny Over Data Practices Quantum Computing Inc. is under regulatory scrutiny as authorities examine its data handling practices, sparking concerns about potential privacy and security implications. QuantumComputing Inc Expands into African Market Here is a brief one-sentence summary: \\n\\nQuantum Computing Inc expands its reach into the African market, bringing its innovative quantum computing solutions to a new continent.\",\n \"VirtualReality Systems Information Technology 2023 VirtualReality Systems (VRSY) - 2023 Market Analysis Sam Brown, Head of Equity Research # VirtualReality Systems (VRSY) Market Analysis Report 2023\\n\\n## Overview:\\nVirtualReality Systems (VRSY) had an impressive year in 2023, solidifying its position as a leading provider of virtual reality hardware and software solutions. The company has shown strong financial performance, innovative product developments, and strategic partnerships, all contributing to its success this year. VRSY's dedication to pushing the boundaries of VR technology has positioned it well in a rapidly growing and competitive market.\\n\\n## Key Highlights:\\n\\n### Financial Performance:\\n- VRSY reported strong financial results for 2023, with revenue growth outpacing the industry average. The company's total revenue increased by 25% year-over-year, driven by robust hardware sales and a growing user base for its software offerings.\\n- Profit margins improved due to economies of scale and cost-cutting measures implemented in the previous year. This resulted in a 15% increase in net income compared to 2022.\\n- Cash flow from operations remained strong, providing VRSY with the necessary resources to invest in research and development (R&D) and potential acquisitions to fuel future growth.\\n\\n### Product Innovations:\\n- VRSY released its highly anticipated VR headset, the \\\"ImmersaView,\\\" in the first quarter. This headset offers a wide field of view, advanced motion tracking, and customizable controllers, providing a truly immersive experience for users.\\n- The company also launched its proprietary software platform, \\\"VRSY Arena,\\\" which allows users to create and explore virtual worlds, interact with others, and access a range of VR experiences and games. This platform has gained traction, especially among the gaming community.\\n- Additionally, VRSY introduced hand-tracking technology, removing the need for controllers and providing a more natural and intuitive VR interaction. This innovation has been well-received by both consumers and industry professionals.\\n\\n### Market Position:\\n- VRSY has successfully maintained its market position as a top player in the VR industry. The company's competitive advantage lies in its ability to offer a comprehensive suite of VR products, including hardware, software, and content, appealing to a wide range of users.\\n- Strategic partnerships have also strengthened VRSY's position. Collaborations with leading content creators and developers have expanded the company's content library, ensuring a constant flow of engaging VR experiences for users.\\n- VRSY's strong brand recognition and positive reviews from industry critics have further solidified its market presence and attracted a loyal customer base.\\n\\n## Challenges:\\n- Increased Competition: One of the main challenges VRSY faces is the highly competitive nature of the VR market. With new entrants and established players constantly innovating, VRSY needs to stay agile and continue investing in R&D to bring new and improved products to market.\\n- Supply Chain Disruptions: VRSY, like many other hardware manufacturers, faced supply chain issues in 2023, impacting the production and delivery of its headsets. Managing these disruptions and ensuring a stable supply chain will be crucial in the coming year.\\n- Regulatory Landscape: As VR technology becomes more prevalent, regulatory scrutiny may increase. VRSY will need to navigate potential privacy and content-related regulations to ensure compliance and maintain a positive brand image.\\n\\n## Outlook for 2024:\\nFor the next year, VRSY is well-positioned to build on its successes. The company's key focus will be on expanding its content library, further developing its software platform, and exploring potential hardware upgrades. With a strong financial position and innovative product pipeline, VRSY is expected to continue its growth trajectory and maintain its market presence.\\n\\n## Stock Recommendation:\\nBuy - VRSY is a solid buy for investors with a long-term horizon. The company's strong financial performance, innovative product pipeline, and leading market position within a rapidly growing industry make it an attractive investment opportunity. The stock price is expected to reach $65 within the next 12 months, representing a potential upside of approximately 20% from current levels. 2024 VirtualReality Systems (VRSY) - 2024 Market Analysis Alex Johnson, Head of Equity Research # VirtualReality Systems (VRSY) Market Analysis Report 2024\\n\\n## Overview\\n\\nVirtualReality Systems (VRSY) has had an impressive run in 2024, solidifying its position as a leading provider of immersive technology solutions. The company's financial performance has been robust, driven by the growing demand for its innovative products and services across various industries. VRSY's commitment to research and development (R&D) has resulted in a strong pipeline of next-generation technologies, expanding their offerings and attracting new clients. \\n\\n## Key Highlights\\n\\n### Financial Performance\\n\\n- Revenue Growth: VRSY reported strong financial results for the fiscal year 2024, with a year-over-year revenue increase of 25%. This growth was driven by the increased sales of their enterprise-level VR solutions and expanding customer base. \\n- Profitability: The company's gross margins improved by 3 percentage points compared to the previous year, reflecting the benefits of their strategic cost-cutting measures and operational efficiencies. Net income also saw a healthy boost, increasing by 20% year-over-year. \\n- Cash Flow: VRSY's cash position improved significantly, with a 15% increase in operating cash flow, demonstrating their effective management of expenses and investments. This positions the company well for potential acquisitions or strategic initiatives in the coming year. \\n\\n### Product Innovations\\n\\n- Next-Gen VR Headsets: VRSY released their highly anticipated VR headset, the 'Immersa-X', which offers a wide field of view, advanced motion tracking, and customizable content. This headset has been well-received by both consumers and enterprises, solidifying VRSY's position as an innovator in the VR hardware space. \\n- Industry-Specific Solutions: The company expanded its offerings with industry-specific VR solutions, including training simulations for healthcare professionals, virtual showrooms for automotive retailers, and immersive experiences for theme parks and entertainment venues. \\n- Software Developments: VRSY also enhanced its content creation tools, making it easier for developers and enterprises to create interactive VR experiences. Their 'VR Studio' software suite gained popularity, especially among small and medium-sized businesses, for its user-friendly interface and robust features. \\n\\n### Market Position\\n\\n- Market Share: VRSY maintained its position as one of the top 3 players in the global VR market, competing closely with industry leaders. Their enterprise-level solutions, in particular, gained significant traction, with an increasing number of businesses adopting VRSY's technologies for training, design, and marketing purposes. \\n- Partnerships: The company expanded its strategic alliances, forming partnerships with leading technology providers, content developers, and system integrators. These collaborations helped VRSY expand its global reach and integrate its solutions into a wider range of industries. \\n\\n## Challenges\\n\\n- Competition: One of the main challenges VRSY faces is the highly competitive nature of the VR market, with constant technological advancements and new entrants. The company must continue to innovate and differentiate its offerings to maintain its market position. \\n- Consumer Adoption: While enterprise adoption of VR has been strong, consumer adoption rates remain a challenge for the industry as a whole. VRSY needs to focus on creating compelling use cases and content to drive consumer interest and accelerate the adoption of VR technology. \\n\\n## Outlook for 2025\\n\\n- Revenue Projections: For the fiscal year 2025, VRSY is expected to maintain its growth trajectory, with projected revenue growth of 20-22%. This will be driven by the continued demand for their VR solutions and the expansion of their customer base, particularly in the enterprise segment. \\n- Strategic Acquisitions: With a strong cash position, VRSY is well-positioned to consider strategic acquisitions that could enhance their technology portfolio or expand their market reach. This could include purchasing complementary software solutions or content development studios. \\n- International Expansion: The company is likely to focus on expanding its global footprint, particularly in the Asia-Pacific region, where there is significant potential for VR adoption in both consumer and enterprise markets. \\n\\n## Stock Recommendation\\n\\nBuy - With a Price Target of $65\\n\\nVRSY's strong financial performance, innovative product pipeline, and expanding market presence make it an attractive investment opportunity. The company has demonstrated its ability to execute its strategy effectively, and its focus on both enterprise and consumer markets provides a balanced approach to driving growth. \\n\\nThe projected revenue growth, potential acquisitions, and international expansion efforts are likely to drive shareholder value in the coming year. Therefore, we recommend a 'Buy' rating for VRSY stock, with a price target of $65, representing a potential upside of approximately 25% from current levels. \\n\\nThis report provides a comprehensive overview of VRSY's performance and outlook, offering valuable insights for investors considering adding this VR leader to their portfolio. VirtualReality Systems Announces Strategic Partnership with IBM VirtualReality Systems elevates its market position by forming a strategic alliance with IBM to enhance its VR technology offerings. VirtualReality Systems Faces Regulatory Scrutiny Over Data Practices Sure! Here is a one-sentence summary:\\n\\n\\\"VirtualReality Systems is under regulatory scrutiny as authorities examine the company's data handling practices and potential privacy risks to users.\\\" VirtualReality Systems Announces Strategic Partnership with Amazon VirtualReality Systems takes a giant step forward by joining forces with Amazon in a strategic partnership.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}", - "type": "dataframe" - }, - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    companytickercombined_attributes
    0CyberDefense DynamicsCDDYCyberDefense Dynamics Information Technology 2...
    1CloudCompute ProCCPRCloudCompute Pro Information Technology 2023 C...
    2VirtualReality SystemsVRSYVirtualReality Systems Information Technology ...
    3BioTech InnovationsBTCIBioTech Innovations Information Technology 202...
    4QuantumComputing IncQCMPQuantumComputing Inc Information Technology 20...
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " company ticker \\\n", - "0 CyberDefense Dynamics CDDY \n", - "1 CloudCompute Pro CCPR \n", - "2 VirtualReality Systems VRSY \n", - "3 BioTech Innovations BTCI \n", - "4 QuantumComputing Inc QCMP \n", - "\n", - " combined_attributes \n", - "0 CyberDefense Dynamics Information Technology 2... \n", - "1 CloudCompute Pro Information Technology 2023 C... \n", - "2 VirtualReality Systems Information Technology ... \n", - "3 BioTech Innovations Information Technology 202... \n", - "4 QuantumComputing Inc Information Technology 20... " + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/rag_chatbot_with_cohere_and_mongodb.ipynb." ] - }, - "execution_count": 31, - "metadata": {}, - "output_type": "execute_result" } - ], - "source": [ - "# Display the first few rows of the updated dataframe\n", - "dataset_df[['company', 'ticker', 'combined_attributes']].head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Vv1L8B76MqLa" - }, - "source": [ - "## Step 3: Embedding Generation with Cohere" - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "5evZKnv9huGI", - "outputId": "e69a880a-f765-421c-8893-bded7f7ffa0c" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Generating embeddings: 100%|██████████| 63/63 [00:07<00:00, 8.85it/s]" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "We just computed 63 embeddings.\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "\n" - ] - } - ], - "source": [ - "from tqdm import tqdm\n", - "\n", - "def get_embedding(text: str, input_type: str=\"search_document\") -> list[float]:\n", - " if not text.strip():\n", - " print(\"Attempted to get embedding for empty text.\")\n", - " return []\n", - "\n", - " model = \"embed-english-v3.0\"\n", - " response = co.embed(\n", - " texts=[text],\n", - " model=model,\n", - " input_type=input_type, # Used for embeddings of search queries run against a vector DB to find relevant documents\n", - " embedding_types=['float']\n", - " )\n", - "\n", - " return response.embeddings.float[0]\n", - "\n", - "# Apply the embedding function with a progress bar\n", - "tqdm.pandas(desc=\"Generating embeddings\")\n", - "dataset_df[\"embedding\"] = dataset_df['combined_attributes'].progress_apply(get_embedding)\n", - "\n", - "print(f\"We just computed {len(dataset_df['embedding'])} embeddings.\")" - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 293 - }, - "id": "ubhNQExjl38s", - "outputId": "eb5b9955-4725-40fb-c435-b2ffb593b1a4" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "summary": "{\n \"name\": \"dataset_df\",\n \"rows\": 63,\n \"fields\": [\n {\n \"column\": \"recent_news\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"reports\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"company\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 63,\n \"samples\": [\n \"TechInnovate\",\n \"GreenEnergy Corp\",\n \"CyberDefense Dynamics\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"ticker\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 61,\n \"samples\": [\n \"CDDY\",\n \"SHSY\",\n \"GNMD\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"key_metrics\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"sector\",\n \"properties\": {\n \"dtype\": \"category\",\n \"num_unique_values\": 1,\n \"samples\": [\n \"Information Technology\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"combined_attributes\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 63,\n \"samples\": [\n \"TechInnovate Information Technology 2023 TechInnovate (TCIV) - 2023 Market Analysis Morgan Davis, Technology Sector Lead ## Market Analysis Report for TechInnovate (TCIV) - 2023 Edition\\n\\n### Overview:\\nTechInnovate, trading as TCIV, had a remarkable year in 2023, outperforming the market and solidifying its position as a leading technology innovator. The company's focus on disruptive technologies and strategic investments has paid off, resulting in impressive financial gains and market recognition. \\n\\n### Key Highlights:\\n\\n- **Financial Performance:** TCIV's financial performance was a key strength in 2023. The company reported strong revenue growth, with a year-over-year increase of 25%. This was driven by the successful launch of several new products and services, as well as expanding market share in key sectors. Profit margins also improved, with a 5% increase in net profit margin due to efficient cost management and scaling of operations. \\n\\n- **Product Innovations:** TechInnovate introduced several groundbreaking products to the market this year. Their AI-powered automation platform, AutoIntel, gained widespread adoption across industries, becoming a key driver of revenue. Additionally, their cybersecurity solutions and cloud computing services also saw significant updates and market penetration, positioning TCIV as a leader in these domains. \\n\\n- **Market Position:** TCIV's market share expanded in 2023, particularly in the B2B sector. The company formed strategic partnerships and secured long-term contracts with several Fortune 500 companies, solidifying its position as a trusted technology provider. Their reputation for innovation and reliability has also led to increased brand recognition and customer loyalty. \\n\\n### Challenges:\\nDespite TCIV's impressive performance, the company faced several challenges. First, the highly competitive nature of the technology sector meant that TCIV had to continuously innovate and adapt to stay ahead. Additionally, supply chain constraints and talent acquisition remained issues, impacting the company's ability to scale certain operations. \\n\\n### Outlook for 2024:\\nLooking ahead, TCIV is well-positioned for continued success in 2024. The company has a robust pipeline of innovative products and services, including advancements in AI, IoT, and blockchain technologies. Their R&D investments are expected to pay off, with several new product launches planned for the coming year. \\n\\nThe company's focus on strategic acquisitions and partnerships is also expected to bolster their market presence and open new revenue streams. Additionally, with a strong balance sheet and efficient cost management, TCIV is well-equipped to navigate any economic uncertainties that may arise. \\n\\n### Stock Recommendation:\\nBased on the strong performance, innovative product pipeline, and positive outlook, I recommend a \\\"Buy\\\" rating for TCIV stock. With the company's impressive financial gains, market recognition, and expanding market share, the stock is expected to perform well in the coming year. \\n\\n**Price Target:** $85.00, implying an approximate 25% upside potential from the current market price. \\n\\nThis price target is based on a combination of intrinsic value (using a discounted cash flow model) and relative valuation (comparing to industry peers). It also takes into account the expected growth and market penetration of TCIV's innovative product offerings. \\n\\nIn conclusion, TechInnovate's performance in 2023 positions it for continued success, and investors should consider adding this stock to their portfolios, taking advantage of the potential upside in the coming year. 2024 TechInnovate (TCIV) - 2024 Market Analysis Sam Miller, Head of Equity Research ## Market Analysis Report for TechInnovate (TCIV) - 2024\\n\\n### Overview:\\nTechInnovate (TCIV) has had an impressive year in 2024, solidifying its position as a leading technology innovator and solution provider. The company has shown strong financial performance, backed by successful product launches and strategic acquisitions. TCIV's stock has outperformed the market, and its market capitalization has increased significantly, attracting the attention of investors. \\n\\n### Key Highlights:\\n\\n- **Financial Performance:** TCIV reported robust financial results for the year. Revenue increased by 25% year-over-year, driven by strong demand for its core products and services. Profit margins expanded due to operational efficiencies and effective cost management strategies. The company also benefited from its diverse revenue streams, with contributions from its software, hardware, and consulting services divisions. \\n\\n- **Product Innovations:** TechInnovate introduced several groundbreaking products to the market this year. Their AI-powered software suite, AIInnovate, gained widespread adoption across industries, particularly in healthcare and finance. Additionally, their line of smart hardware devices, including the TCIV SmartHub, saw strong sales and positive reviews from consumers and enterprises alike. \\n\\n- **Market Position:** TCIV has successfully differentiated itself from competitors through its innovative offerings and strategic partnerships. The company expanded its global presence, particularly in the Asia-Pacific region, and established itself as a trusted partner for digital transformation initiatives. TCIV's customer retention rates remain high, and the company has a strong pipeline of potential new clients for the next year. \\n\\n### Challenges:\\nDespite its impressive performance, TCIV faced several challenges in 2024. First, supply chain disruptions impacted the production and delivery of its hardware products, leading to potential lost sales and delayed revenue recognition. Second, increased competition in the AI space meant that TCIV had to continuously innovate and adapt its product offerings to stay ahead. Lastly, integrating acquired companies and managing cultural fit while maintaining rapid growth posed significant challenges for the organization. \\n\\n### Outlook for 2025:\\nLooking ahead, TCIV is well-positioned for continued success in 2025. The company plans to build on its momentum by investing in R&D to bring next-generation products to market and further expand its global footprint. TCIV's focus on digital transformation and AI positions it to capitalize on emerging trends and changing consumer demands. With a strong balance sheet and positive cash flow, the company has the financial flexibility to pursue strategic acquisitions and return value to shareholders. \\n\\n### Stock Recommendation:\\nBased on the company's strong performance, innovative product pipeline, and positive outlook, I recommend a \\\"Buy\\\" rating for TCIV stock. The company has demonstrated its ability to execute its strategy and navigate challenges effectively. With a price target of $150 per share, representing a potential upside of approximately 25% from current levels, TCIV offers attractive upside potential for investors. \\n\\nNote: This report is for illustrative purposes only and should not be considered investment advice. The information provided does not guarantee future performance, and there is always potential for losses when investing in the stock market. TechInnovate Announces Strategic Partnership with Google TechInnovate scales up its presence in the tech industry by forming a strategic alliance with Google. TechInnovate Unveils New AI-Powered Product Line TechInnovate reveals an exciting new range of products, all enhanced by the power of AI technology. TechInnovate Reports Strong Q3 Earnings, Beating Expectations TechInnovate's impressive Q3 performance surpasses forecasts, indicating a prosperous quarter for the tech company. TechInnovate Expands into European Market TechInnovate announces its expansion into the European market, marking a significant step in the company's global growth strategy.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"embedding\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}", - "type": "dataframe", - "variable_name": "dataset_df" - }, - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    recent_newsreportscompanytickerkey_metricssectorcombined_attributesembedding
    0[{'date': '2024-06-09', 'headline': 'CyberDefe...[{'author': 'Taylor Smith, Technology Sector L...CyberDefense DynamicsCDDY{'52_week_range': {'high': 387.3, 'low': 41.63...Information TechnologyCyberDefense Dynamics Information Technology 2...[0.01210022, -0.03466797, -0.017562866, -0.025...
    1[{'date': '2024-07-04', 'headline': 'CloudComp...[{'author': 'Casey Jones, Chief Market Strateg...CloudCompute ProCCPR{'52_week_range': {'high': 524.23, 'low': 171....Information TechnologyCloudCompute Pro Information Technology 2023 C...[-0.058563232, -0.06323242, -0.037139893, -0.0...
    2[{'date': '2024-06-27', 'headline': 'VirtualRe...[{'author': 'Sam Brown, Head of Equity Researc...VirtualReality SystemsVRSY{'52_week_range': {'high': 530.59, 'low': 56.4...Information TechnologyVirtualReality Systems Information Technology ...[0.024154663, -0.022872925, -0.01751709, -0.05...
    3[{'date': '2024-07-06', 'headline': 'BioTech I...[{'author': 'Riley Smith, Senior Tech Analyst'...BioTech InnovationsBTCI{'52_week_range': {'high': 366.55, 'low': 124....Information TechnologyBioTech Innovations Information Technology 202...[0.020736694, -0.041046143, -0.0029773712, -0....
    4[{'date': '2024-06-26', 'headline': 'QuantumCo...[{'author': 'Riley Garcia, Senior Tech Analyst...QuantumComputing IncQCMP{'52_week_range': {'high': 231.91, 'low': 159....Information TechnologyQuantumComputing Inc Information Technology 20...[-0.009757996, -0.04815674, 0.039611816, 0.023...
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " recent_news \\\n", - "0 [{'date': '2024-06-09', 'headline': 'CyberDefe... \n", - "1 [{'date': '2024-07-04', 'headline': 'CloudComp... \n", - "2 [{'date': '2024-06-27', 'headline': 'VirtualRe... \n", - "3 [{'date': '2024-07-06', 'headline': 'BioTech I... \n", - "4 [{'date': '2024-06-26', 'headline': 'QuantumCo... \n", - "\n", - " reports company \\\n", - "0 [{'author': 'Taylor Smith, Technology Sector L... CyberDefense Dynamics \n", - "1 [{'author': 'Casey Jones, Chief Market Strateg... CloudCompute Pro \n", - "2 [{'author': 'Sam Brown, Head of Equity Researc... VirtualReality Systems \n", - "3 [{'author': 'Riley Smith, Senior Tech Analyst'... BioTech Innovations \n", - "4 [{'author': 'Riley Garcia, Senior Tech Analyst... QuantumComputing Inc \n", - "\n", - " ticker key_metrics \\\n", - "0 CDDY {'52_week_range': {'high': 387.3, 'low': 41.63... \n", - "1 CCPR {'52_week_range': {'high': 524.23, 'low': 171.... \n", - "2 VRSY {'52_week_range': {'high': 530.59, 'low': 56.4... \n", - "3 BTCI {'52_week_range': {'high': 366.55, 'low': 124.... \n", - "4 QCMP {'52_week_range': {'high': 231.91, 'low': 159.... \n", - "\n", - " sector combined_attributes \\\n", - "0 Information Technology CyberDefense Dynamics Information Technology 2... \n", - "1 Information Technology CloudCompute Pro Information Technology 2023 C... \n", - "2 Information Technology VirtualReality Systems Information Technology ... \n", - "3 Information Technology BioTech Innovations Information Technology 202... \n", - "4 Information Technology QuantumComputing Inc Information Technology 20... \n", - "\n", - " embedding \n", - "0 [0.01210022, -0.03466797, -0.017562866, -0.025... \n", - "1 [-0.058563232, -0.06323242, -0.037139893, -0.0... \n", - "2 [0.024154663, -0.022872925, -0.01751709, -0.05... \n", - "3 [0.020736694, -0.041046143, -0.0029773712, -0.... \n", - "4 [-0.009757996, -0.04815674, 0.039611816, 0.023... " - ] - }, - "execution_count": 33, - "metadata": {}, - "output_type": "execute_result" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "dataset_df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "XvR0k5RUnIAC" - }, - "source": [ - "## Step 4: MongoDB Vector Database and Connection Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Oj4XBIsqnEb3" - }, - "source": [ - "\n", - "MongoDB acts as both an operational and a vector database for the RAG system.\n", - "MongoDB Atlas specifically provides a database solution that efficiently stores, queries and retrieves vector embeddings.\n", - "\n", - "Creating a database and collection within MongoDB is made simple with MongoDB Atlas.\n", - "\n", - "1. First, register for a [MongoDB Atlas account](https://www.mongodb.com/cloud/atlas/register). For existing users, sign into MongoDB Atlas.\n", - "2. [Follow the instructions](https://www.mongodb.com/docs/atlas/tutorial/deploy-free-tier-cluster/). Select Atlas UI as the procedure to deploy your first cluster.\n", - "3. Create the database: `asset_management_use_case`.\n", - "4. Within the database ` asset_management_use_case`, create the collection `market_reports`.\n", - "5. Create a [vector search index](https://www.mongodb.com/docs/atlas/atlas-vector-search/create-index/#procedure/) named vector_index for the ‘listings_reviews’ collection. This index enables the RAG application to retrieve records as additional context to supplement user queries via vector search. Below is the JSON definition of the data collection vector search index.\n", - "\n", - "Your vector search index created on MongoDB Atlas should look like below:\n", - "\n", - "```\n", - "{\n", - " \"fields\": [\n", - " {\n", - " \"numDimensions\": 1024,\n", - " \"path\": \"embedding\",\n", - " \"similarity\": \"cosine\",\n", - " \"type\": \"vector\"\n", - " }\n", - " ]\n", - "}\n", - "\n", - "```\n", - "\n", - "Follow MongoDB’s [steps to get the connection](https://www.mongodb.com/docs/manual/reference/connection-string/) string from the Atlas UI. After setting up the database and obtaining the Atlas cluster connection URI, securely store the URI within your development environment." - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": { - "id": "JkuqgkUIiC-0" - }, - "outputs": [], - "source": [ - "import os\n", - "os.environ[\"MONGO_URI\"] = \"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Qgf-O0SciFhp", - "outputId": "f005989b-b567-43a9-f371-a67dde72ccd2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Connection to MongoDB successful\n" - ] - } - ], - "source": [ - "import pymongo\n", - "\n", - "def get_mongo_client(mongo_uri):\n", - " \"\"\"Establish and validate connection to the MongoDB.\"\"\"\n", - "\n", - " client = pymongo.MongoClient(mongo_uri, appname=\"devrel.showcase.rag.cohere_mongodb.python\")\n", - "\n", - " # Validate the connection\n", - " ping_result = client.admin.command('ping')\n", - " if ping_result.get('ok') == 1.0:\n", - " # Connection successful\n", - " print(\"Connection to MongoDB successful\")\n", - " return client\n", - " else:\n", - " print(\"Connection to MongoDB failed\")\n", - " return None\n", - "\n", - "MONGO_URI = os.environ[\"MONGO_URI\"]\n", - "\n", - "if not MONGO_URI:\n", - " print(\"MONGO_URI not set in environment variables\")\n", - "\n", - "mongo_client = get_mongo_client(MONGO_URI)\n", - "\n", - "DB_NAME = \"asset_management_use_case\"\n", - "COLLECTION_NAME = \"market_reports\"\n", - "\n", - "db = mongo_client.get_database(DB_NAME)\n", - "collection = db.get_collection(COLLECTION_NAME)" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "esR4SNuoiHBS", - "outputId": "9022caec-6d21-4586-8bf6-d8ef4110061c" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "DeleteResult({'n': 63, 'electionId': ObjectId('7fffffff000000000000002b'), 'opTime': {'ts': Timestamp(1721913981, 63), 't': 43}, 'ok': 1.0, '$clusterTime': {'clusterTime': Timestamp(1721913981, 63), 'signature': {'hash': b'cU;+\\xe3\\xbdRc\\t\\x80\\xad\\x03\\x16\\x11\\x18\\xe6s\\xebF\\x01', 'keyId': 7353740577831124994}}, 'operationTime': Timestamp(1721913981, 63)}, acknowledged=True)" - ] - }, - "execution_count": 36, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Delete any existing records in the collection\n", - "collection.delete_many({})" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8bKIgqWgdwTW" - }, - "source": [ - "## Step 5: Data Ingestion\n", - "\n", - "MongoDB's Document model and its compatibility with Python dictionaries offer several benefits for data ingestion.\n", - "\n", - "* Document-oriented structure:\n", - " * MongoDB stores data in JSON-like documents: BSON(Binary JSON).\n", - " * This aligns naturally with Python dictionaries, allowing for seamless data representation using key value pair data structures.\n", - "* Schema flexibility:\n", - " * MongoDB is schema-less, meaning each document in a collection can have a different structure.\n", - " * This flexibility matches Python's dynamic nature, allowing you to ingest varied data structures without predefined schemas.\n", - "* Efficient ingestion:\n", - " * The similarity between Python dictionaries and MongoDB documents allows for direct ingestion without complex transformations.\n", - " * This leads to faster data insertion and reduced processing overhead.\n", - "\n", - "![Screenshot 2024-07-24 at 12.33.36.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "FJRHCniTiIH4", - "outputId": "52c6856e-157e-4834-9cd7-46aa58bc2f52" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Data ingestion into MongoDB completed\n" - ] - } - ], - "source": [ - "documents = dataset_df.to_dict('records')\n", - "collection.insert_many(documents)\n", - "\n", - "print(\"Data ingestion into MongoDB completed\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lBIZeR7ahbms" - }, - "source": [ - "## Step 6: MongoDB Query language and Vector Search\n", - "\n", - "**Query flexibility**\n", - "\n", - "MongoDB's query language is designed to work well with document structures, making it easy to query and manipulate ingested data using familiar Python-like syntax.\n", - "\n", - "\n", - "**Aggregation Pipeline**\n", - "\n", - "MongoDB's aggregation pipelines is a powerful feature of the MongoDB Database that allows for complex data processing and analysis within the database.\n", - "Aggregation pipeline can be thought of similarly to pipelines in data engineering or machine learning, where processes operate sequentially, each stage taking an input, performing operations, and providing an output for the next stage.\n", - "\n", - "**Stages**\n", - "\n", - "Stages are the building blocks of an aggregation pipeline.\n", - "Each stage represents a specific data transformation or analysis operation.\n", - "Common stages include:\n", - " - `$match`: Filters documents (similar to WHERE in SQL)\n", - " - `$group`: Groups documents by specified fields\n", - " - `$sort`: Sorts the documents\n", - " - `$project`: Reshapes documents (select, rename, compute fields)\n", - " - `$limit`: Limits the number of documents\n", - " - `$unwind`: Deconstructs array fields\n", - " - `$lookup`: Performs left outer joins with other collections\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "aJe8KlKqpOgt" - }, - "source": [ - "![Screenshot 2024-07-25 at 12.26.20.png](data:image/png;base64,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)" - ] - }, - { - "cell_type": "code", - "execution_count": 44, - "metadata": { - "id": "42poGgiziKAr" - }, - "outputs": [], - "source": [ - "def vector_search(user_query, collection):\n", - " \"\"\"\n", - " Perform a vector search in the MongoDB collection based on the user query.\n", - "\n", - " Args:\n", - " user_query (str): The user's query string.\n", - " collection (MongoCollection): The MongoDB collection to search.\n", - "\n", - " Returns:\n", - " list: A list of matching documents.\n", - " \"\"\"\n", - "\n", - " # Generate embedding for the user query\n", - " query_embedding = get_embedding(user_query, input_type=\"search_query\")\n", - "\n", - " if query_embedding is None:\n", - " return \"Invalid query or embedding generation failed.\"\n", - "\n", - " # Define the vector search pipeline\n", - " vector_search_stage = {\n", - " \"$vectorSearch\": {\n", - " \"index\": \"vector_index\",\n", - " \"queryVector\": query_embedding,\n", - " \"path\": \"embedding\",\n", - " \"numCandidates\": 150, # Number of candidate matches to consider\n", - " \"limit\": 5 # Return top 4 matches\n", - " }\n", - " }\n", - "\n", - " unset_stage = {\n", - " \"$unset\": \"embedding\" # Exclude the 'embedding' field from the results\n", - " }\n", - "\n", - " project_stage = {\n", - " \"$project\": {\n", - " \"_id\": 0, # Exclude the _id field\n", - " \"company\": 1, # Include the plot field\n", - " \"reports\": 1, # Include the title field\n", - " \"combined_attributes\": 1, # Include the genres field\n", - " \"score\": {\n", - " \"$meta\": \"vectorSearchScore\" # Include the search score\n", - " }\n", - " }\n", - " }\n", - "\n", - " pipeline = [vector_search_stage, unset_stage, project_stage]\n", - "\n", - " # Execute the search\n", - " results = collection.aggregate(pipeline)\n", - " return list(results)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0gu4ItyMlXCN" - }, - "source": [ - "## Step 7: Add the Cohere Reranker\n", - "Cohere rerank functions as a second stage search that can improve the precision of your first stage search results" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "-mrq-MW6MImF" - }, - "source": [ - "![image.png](data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAABpgAAAHOCAYAAACM1wo8AAABWmlDQ1BJQ0MgUHJvZmlsZQAAKJF1kL9LQlEUx7/PDKGiGhochN7gUGERauFqBlE0iBb92J5Xewb6urz3pB//Qi0R1NoQLY0GOjQ0NtQUVDg7tFbwlpLbub5KLTqXw/fDly+Xcw7g8WqcF7wAioZtpuZm1NW1ddX3DB/81NPwa8zi8WRykSL41s5yHqBIvR+Xf9UPqy9Hr+V6bT9mBC/Obv/mO6onm7MY6Qf1GOOmDSgjxMltm0veIR4yaSjiA8m6y6eSMy5fNjNLqQTxDfEgy2tZ4ifiUKbN19u4WCixrxnk9H05YzlN2k8dQBoRRLGAKXo0wT/ZaDObwBY4dmFiEzrysKEiTg5HATnieRhgmECIOIxJ6qi88e/btbxiGYjtAZ5Ay8vS7tVhWvOu5QVPgAEduJrlmqn9XFRxvNZGJOxybwXoPhbibQXwjQKNRyHeK0I0zoGuGnDtfAJ7kGS1upxb9gAAAFZlWElmTU0AKgAAAAgAAYdpAAQAAAABAAAAGgAAAAAAA5KGAAcAAAASAAAARKACAAQAAAABAAAGmKADAAQAAAABAAABzgAAAABBU0NJSQAAAFNjcmVlbnNob3RFt9fkAAAB12lUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPHg6eG1wbWV0YSB4bWxuczp4PSJhZG9iZTpuczptZXRhLyIgeDp4bXB0az0iWE1QIENvcmUgNi4wLjAiPgogICA8cmRmOlJERiB4bWxuczpyZGY9Imh0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMiPgogICAgICA8cmRmOkRlc2NyaXB0aW9uIHJkZjphYm91dD0iIgogICAgICAgICAgICB4bWxuczpleGlmPSJodHRwOi8vbnMuYWRvYmUuY29tL2V4aWYvMS4wLyI+CiAgICAgICAgIDxleGlmOlBpeGVsWURpbWVuc2lvbj40NjI8L2V4aWY6UGl4ZWxZRGltZW5zaW9uPgogICAgICAgICA8ZXhpZjpQaXhlbFhEaW1lbnNpb24+MTY4ODwvZXhpZjpQaXhlbFhEaW1lbnNpb24+CiAgICAgICAgIDxleGlmOlVzZXJDb21tZW50PlNjcmVlbnNob3Q8L2V4aWY6VXNlckNvbW1lbnQ+CiAgICAgIDwvcmRmOkRlc2NyaXB0aW9uPgogICA8L3JkZjpSREY+CjwveDp4bXBtZXRhPgrHqgLbAABAAElEQVR4Aezd+bNuR1no8Tc5mSAjCUMShuzDIMgo86jGKKDAFXAuB6zy3ltWXf3JKn7xf7DKQktLLRyuioxeLzIP14AIMoPIGJAgyJiJzCHTXZ/eu0/ebHeS84Yk5wS/fc7a633X6tX99Le7n/U83b3We8SNS1gVIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEInCQBI48yHhFi0AEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiMAg0ARTDSECEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAk0w1QYiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQ2ItAE00a4ihyBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEItAEU20gAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCERgIwJNMG2Eq8gRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAJNMNUGIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAENiLQBNNGuIocgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCLQBFNtIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABCIQgQhEYCMCTTBthKvIEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACTTDVBiIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRCACEYhABDYi0ATTRriKHIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQi0ARTbSACEYhABCIQgQhEIAIRiEAEIhCBCEQgAhGIQAQiEIEIRGAjAk0wbYSryBGIQAQiEIEIRCACEYhABCIQgQhEIAIRiEAEIhCBCEQgAkeF4HuTwI033rg64ogjvjcLV6kiEIHDhkC65j9XBSbrIV28TuOO+bwJ4/W41cUdw79UIhCBCEQgAoczgcPRPj0cZTqc6/BwkW3djiRTtuThUjPJcXcnsIlOrB/e3Ws7+f8rEOgJprtBLVOmN9xww56SOnfdddcdOO/79ddfv7rxhhtXu5Xwngl8Dx88XBkcrnJ9DzeF2yza7EP6zJ3Rb6Qpj91hHt8rz9GPl+tuK7h2r+tv67rbOq+drvw/yPSV79prr71JF+3ooN3X02W2O0Pm2yrTXXF+r3KNPo/nEvY6f2fLJU/t6e4Y1tvL7BO3xdD59T69Xu7bunY9bp8jEIEIRCACEbh7E3DfP2BLrPnHjq/bRgfiXb/YqGvxNi29dNggMz2fZz7Ttt40zeLfMQQG/yWpdT9EPdluKex1bq9jt3R9xyMQgW0C+s13vvOdm8YK1vodHTn75eynrprH9mJ4OPVDMu+WVZkOlGtnHGCvchyKY9jZyKxOyHmwPGfcef2hkL88D18CPcF0+NbNAcl0fMbpscceu7riiitWV1555YGBXCtojj766NXJJ588zuvoOv2+I/etjlj+/VcKUynOVUW4HXHkQmFh5NyB/cJlUak3QzOvudnBO/jLlG/sZb9M794V+d7BxfjeSG7hf+11146+pE9dc801q6OOOmq1b9++Ub7ZVtTVkUceubrHPe6xOuGEE0ac2wNAOrNfyu+KK68YdS9N6dtmntqt7/r8ukzr+UrPZA5dcPnll69OPPHE1fHHH3+75JMWw+LSSy8d6U2jYbXMaZ90ykmrE084cXXMMcesjti33Y/W5fB5yj0nl+gjx759ybcH4+uu3Xayjzn2mKGj6DHpTda707s7f8dyhnXj+Kqrrlpdcsklq31H7Ru6WvnV8V4Bu9sKs/6le83V16xuuPGG0b5ct96e7nnPe66OO+64UR/qdbaz20r/UJ5Xtmnsfvvb315ddtll47u+oJ+eeuqp2/e7Y469uZgLtsFu2UtDuzvq6KP2LPN6PUnkYJjfPLO+RSACEYhABCJwOBJwj7exedg+7Fu2xDi2OF98Qy7yjMdWGjb+vu1hET7iviMWP3qxewXxdoe97Aa2C7vsoosuWn3zm98cNq9jAjv99NNPXx1z9DHDB/2v5qPv5ndXf591zbdR9/wnG/+HbSmwzbUF/hTbWRh1r/q3m8I4Nv/sbhd7tYkZt30E/isSoP/0C3rY+Add7Pu9733v0d8mkxnH2AD/zdjG5VdcPnw+/ZIPeEv9a3c/lOYtxZ353Rn766+7fnXJpZesrr766pE8uegW96Gjjzp6jNcaC3EvUB5hyk7eu1pm+bkvXnDBBaNu7n//+99MtiHgLfxRn+51U4cah6Y7lXU93NVlWs+7z4eOQBNMh479QeesE1NQOuknPvGJ1ac//elhuBrQNZj75Cc/efXIRz5yddppp41B26m0DjqD75GIbmIUtfLbm0DADCMKz7EbllVpc9Lpri42WchoMNjnnIu7ugZuyk89XHzRxatP/OsnVh/60IdGm5kTHtqJPmfTxxgC3/d937d6+tOfvjrppJP+083zplRv+ZP2x0DS/vThj338Y+P7M57xjNXDH/7wcaF8TSpxdo46cnuy68gjbn6jnjmQjfM60vrYx1bSecxjHjMMsRnnYPfa4re+9a3VP/zDP4z05oA+Hs961rNWz3zmM1dnnXXWrSYnDWH2M7K9//3vX33ta19bXXzxxaPdn3LKKSOdH/iBHxhO9uR9qwnfTU+qy/UJpvPPP3/1tre/bejnn/iJn1ideeaZY+Dh9jJQ/wzCz332c6vPfvazq6uvuXoYeXBxik2ImsjTbh/ykIcMQ54eFMg2w6y3+f1w2OubjNavfvWrq3e84x2jfL6Tn3PylKc8ZfXc5zx3tXXW1s3ENSDkn0EAupUx76ttHN+JfTiW+WYF6UsEIhCBCEQgArebADuH/c7uZjd89KMfXX3kIx8Z9rVEnWdrsMFMJli48oQnPGH1wAc+cEw0rQ+SrdtMtyWQNL/whS+s3vjGN67e8IY3jLRcY+BN+j//8z8/bLLskNsiecefVzdsZ7aktvHFL35x9Yl/+cTqvM+fN/wpORr0/v7v//4VP+WhD33oaB83Xr9YkEcsi1QZl2uhOlyD0ccI7EGA7jR+SQ8bC/jYMl7xz//8z6tHP/rRq6c+9amr+973vtt9bMcvFU+48MILx9jMP/7jP65+6qd+avWIRzxipCG9vfTx4dIXr7n2miH3v/7rv46JG3K5//DJjR+ZhDFWy4+1N15JL4k3y74Hxjv10Gc+85nV//k//2fUz//8n/9zjEkdzDgymb/0pS+tPvzhD4/xiKc97Wmrxz/+8Qcm5u9UoUv8sCfQBNNhX0WrYRD/27/92+r//b//NwZtKWlB52Ysve997xsKwUAwpWWFlDAVsXh3x0D+TWSfxh8mBiTf+973jmLv379/tbW1NXiMQcdDhEN5GLTnnXfeymA7I9bNpnDXEzDgbHWMwfm3v/3tB56sMbnjuMF5G8NIHZn4MYFjsun2BG1S2tL84Ic+uPr7v//70U8f+9jHHujH2rq+7Wavvz/3uc8dzs5RR/znVTvakgH4N7/5zSsGGOOFY8xJvr1hOtmuZ9x94AMfGM6xCTBpK8OtGR0mNqza5Mi/7nWvW/3Hf/zHAQefE6/89iY9fuzHfmxMjN/rXvfaqI/f3rId6us8vfTxj3988DBReb/73W9PI3kTOU0Kvvd9712d+w/njkkVbKe+nLpfu+Aky9N23/vc92YT7OIJ87rx5RD/mZOxjHEG+BlnnDH6hclKbZIR/tQnP3W1Ouvmgppk03e+8Y1vrM68/5mjvx5O5bq5tH2LQAQiEIEIRODOIODeb6GWwFY+99xzx6SPiQVPKrFn2fUG99hB9mxddveP/MiPjEmg2yMXO8xkEluc7cKGsSjUQJyJjWc/+9m3O+3bI0/X3ERAPfNDjA+89a1vXb3lLW9ZfepTnxp+HntTsMDO5CC7+XnPe97qxS9+8bA51+3rm1LsUwQicGsE6OHph339619fvec971m95jWvGWMpL33pS4euneMWdPCc2NcP9c3Xvva1Y3LpAQ94wOr4ex5vteB4+8+t5XmoztEvxo/+6Z/+aeiWyy+7fHXyKdtjfO43c6NLPClEvzznOc9ZPfjBDz5UIo98jSV88IMfHJNEFkCoh4MJ7p9zvMeCV765hx3mk58Hk0ZxvncJNMF0N6jbf//3f1/93d/93RigNCBr5p9yMlhtIPj8ZXU845Xyopw9zWACg5Kg2Cmzu1uYA5/28+Z0W2XwZJKnBkwKGKg3+E7hUeD7t/aP11ONOEuad3Q4GDnVh7qyKt8TIW6YuyeYZrnX5TvY8q9f0+dbJ6CfuPGb4NFv1IPJEZMADBuTkk984hOH4ynugx70oOGU3t66kMZ8gsRkjXzlaQJAnTsvbe3W6p43velNY4BcP57XrZdIXNdb1UNeaUrr9gYG3pOe9KThYM9Jti9/+csjb7KREae9JpjGU4GLPFddfdVYyWIljMkl/LRxZRAYIFZ2mgTw+Lg0f/RHf3SkeXu53t7y3lXXjdewLOpG+Wx00zTevpsyYyctjrLH7d0TsHZPMIBiY/yZ0MbcKwm8NvUnf/Inx2TULL90vhs5Zjp35J487lknn3Ty6mlPfdroK8pj0vUrX/nKyOr6G5b3dC9PggrzKT/t0wplk1BPeepTVo/8/keOV+SNSDt/xlNly0OBm5QZI2GTa3ayaxeBCEQgAhGIwF1MwILD+Xo7WXuND9uL7c1H9pmty46ywpztbbDM4i/HXvCCFxx4cn/abGzh2wriWpVvIklebDJvB7Cxnw8mjdvK45bOT1tlns9mmSS293hoB3ys17/+9SuvYH7cYx+3etj3PWzYz2JZyPTJT35y2JuvfOUrh1/1gz/4g2Ox381T+978NttQbed7s34PRalM9NPHfLRrv3Pt6uqrrh6+nDE6C+I9+cLn47PSyfSkz/qqcRlhjJF4renijq2/keL2lufO8n3JbUEpn/WsrbNWdIfFu44b97DROx4MeMVfv2Kw+MkX/uQhnWTS1+c4scmh+fm22BpfNZbpyVxj0fuXcbO9xqtuK53Of28SaILpMK1XilinN+Pv8UMGj0e3n/1jzx5Pvpx27+1HKxnGX/nyV8brl0xEiWuw2ZMWFCilLC0bZXBLgdKTnzj2BuLmq9ymQSw9g9kMaAqIIlmfqXZ8Pc+Rzs7gnHzJ4BrpTcPedzcT14ov3QP5LTI4Rg7pOkdJC+LMeOPA8kccm2Dg1Wu6rCTzGyXSsXEcXDcV6ORskNLna75zzZANBwP24knTtTO4cQgz/7l3vbi+28Szn2k4J383HwP6yiy4bqYxDuz6M8u0fnhdnvXjfb5lApPjbAv6iNUWJmu1E5N/bvxWc3jK5od/+IdHG1BP2jkjwbW761mO+o827fxs0+p9dz35zunUl8WbTxsymuZvQnF09WUrYeQl//X+MfsAJ9Yr7Ky029raOvB0lXLOtuWz+GTTHpWTwys4N/uB4zhMRtqo8oo726Y+Ms+PBHb+yOvIfUeuTEh5LZ4JDUaVlaBeBScdwSTHLJc4DCycGSVTTvEmN30FV+f0x5mOOFMORqvPJnLIMdNxnYCxa5XB+fUJMnHpOf+cm/nOY66Z3HymD9THjOez8/hiKy+8pj5z/ugjjz5gDDvuWmnZnCen67Uv1zu+V5jlned8d709XTJftageHZ/bfMrt8+d9fvXxf/n46pnPeuaY8Jv5zPapzNrbd679zuB1wvEnLDMq2z+sKs7c5D9lwUy56Vr5KYNNOcVxXj6unfKoi/Ugjri42Is79kvm0tHG/Y6SIK424PzYdJoljEm8ZU8WfedrX//amMx0zjU26ZLFZ+145qP+lNu19IH6m/UrzkxjyuZcIQIRiEAEIhCBw5jAcvtmH7qPz809/nGPe9zq+c9//rjPT9uNHcaXZp94ZbbV3J5msrBsXjtL6ho2jz2bgs3Dbhi2xY795gkpT8SwbV3PNvZqKHbEDPN65x2XFjvJnu1LHmHa7DOeOGxF+2GX7JSRnzwW22ybLaPsy58RxJ3l8JltNcs+bVzyW7SGgbjrQdyZv/hkEuTvO35so2n/rl8vzizrtPPk5RqyCMozFtstdugIO9mL5xp7YebHXvNZftO+H+ddv1w78xdnPZDDQrd3vvOdo074KZ5W8wSBhXCu4wPyCy0EFe/c5ck3fspc7EcW/GaYNrDjsxx72Ylkmb7F4LDIarzB9YJrlWfKPtOfe6zkIR1vYmH3zmPKNRabLXU3xy3mdfbqaLZZvPSD9bDOyeelxxzwW2a8W5Jrnm8fgVsiwMfeHSyWN67piSZPeepj00cT12fbuu4ZaSz926JW5wR9Qh+a+mTqy/U+qE1LR1zBuXn9bPvat/OO79XW5aFfjHQXGXynB3bHnfnqhw972MNWL3rRi4bfqq+6xt74ivuDxbjv/sd3rx78kAcfmGByfsqm3/JPySgvadIRo48u8k424jsmvnhkIJe01v1zOmbIS01akL9cM4M0jlp+f3DoqLXjzktH2oL0bb7TJRY5u9+5X1nkOmURd+or8eWr/O5t9q5VHvHFs4knL5wnV21nlmFycK2yuAaDIftyrTC/z+vHwZ0/ex1bP9/nO5bATXfJOzbdUvsuCOhENp3Qo/UMUwrjnHPOWZ199tkHVtIwKA1Un/Wgs4ahYuXV5z//+bHyhsE0jRUDu14b5HF9imAqwHURPQGlYxrQo+zdD3ReHddxKwnMUBsgpiAoAml51ZMBTkp9yiy+leb2gjTIMo1X8pg4o5DkZ2DbZJD8pHWf+9xnXCc9YaR73bVDoSnHTGsauSPS8oeMjEPbXOkuTXIb0L7u+u0VBFNmCoqMFNql3750ddHFF43VEtKRNjnIwxijCGcgA9kNdpKf0rKRU3oUrxuIvJXZOd8pSbI47jPm9q5hvLrhclYORgnK62DiTZnb30Rgtiv1j/twLJYbmslTde24vfp3bj24loOijrURm+/as3atrbtGv3S9H/M1aO+ceLPOnJMHI0N7+NY3v7W64MILxhMnDCXnPAU0+wwZxLVZYafN6MdkNaGsnbrpziBNhol2Z9Bd/vqtdMkmXZ/JLy65yC7oD2SgfyarYVgtT8DsDs7753q6wVMmZOK0PepRjzqgg1xHdhNqc5JJXzABsrW1NfKRL1nkrS4wJb+yzr6mnGTnSMt7TC6wGReDT3mlaXMdOegK/cp10qC3Zrji8iuG3rniyitG2fET5573WJgsutXKKXVGFsy8k518AhmdowvoC+1B36UPlJFu3CazPVFP9ygfxvbSnm3CtbPNkNW15BzlW7juFWZdiaOM8pyTecM4s9JrCYz2qRPJSi8qo7KqM2zJ8u1Lvj3a1ZVXbeu+M04/Y9TX8Sccf8BhHXW95Cdg4jptC2tl0J4ma3vtc1yzONLKKr6yub8oH5a2WU5x6FXXnXLyKeOJUwwFbVH9znbpmDbgSSYyk0F/uezyy8b15Pv8Fz4v2uCtzNqAOtp31L4hP9lne1GH6pjc6sJe3BmmnLjPPjzPtY9ABCIQgQhE4PAiMO/VbAyBTejezv6e9/d5zr2d3cAv44+yP9cDW4mNwmad/q302AvsL3YNO2PaZq6d+buWjSSw/9b34rAv2G1sNXYJW41tQjbp81lt0hdXsBBOXJMN97n3fVb3OH7xURezb+bps8FCdqq47DPlZl+xp5RRWdhl/FDH2JDyYQux16VlI7Pz4krP693Zar5Lm9xsOmz589PWGoIuf9bLTGZ5S4c9h5e8HvTAB43r2aYWrCk7bs67Hnt5uZa95jweykRmnJiLyswvOMBhCrHs1YE0DGyzmz05YZHeuu9EFvLjNH0QZSXDtMvlc+lllx6oK2WZ8kx+/BR5OC6QS93xB9myF3zzgtWFF1244n+QVb6u5UPwy5TbtfLVHrBWbm8iYB+ffubpgw8ebF82MPYWHA4WS57qFe9Zz+rQWMZsr8qp7PKZm3a4WlzBsR+S9ycC3x2B6QsPXbL4bPxhP+ehTVsUrx1qk/TH7Ldzr/3rNzZtdB732fU2/U87F3fqY31p6jDS0+36vjj03IknLIvwl99V812a+pt+rs/OCVzH5UNPGbfgc9I3J5180uq6a7fHYKY8k5B7grQcp5+2trYO6AFp0Sv0Gv2g7MZzjE/STfJWTvkpl36r7zumn9LLkxN97viUkW44f1kkzcfGUR6utUlPfnTL0O0nnrTat/xTD2SVjvgj7+VV8/ZktQn0u7EdMuCqjH5jCl860XH50lszyHOOxdBLxp/Iog58nnqITK7FRZD3GN9Z9KW34nzrgm8N9nQZeVznXuvJKczGPXCRR73I//rrloUX+7Y5766bKVv7u4bATaNtd01+5XKQBHRaBoNXHOmUOvWTn/zk1T3uedMqeoqBwaBzW3HzL//yL6MjUlbi6nDiMIatxrHKXTydeXfH8zQBRecH2s5eJrGGkt23vUqKHH6rxmPlFBjloKMz0Pfv3z9+28NAJmVDSVmtZWaeQpcPxUHpmyBznVcYeRWZuB6t/PEf//HVucsqIUrMd/kLc0UWY9GKhS9/5ctjtYNVZV4Jta7MxKe4rD77xCc+MZQO45Ai/Kf3/tPq/C+dP+TBw++/GCzGgTJTbtcpoxsVuQT88BLXhJ3HfA1Oei8s5apOvHJKXSnnrA83IiufTOiRk+LHTj5koqjVqfJiRgZPtfjBQ0pzKvUhxM6f3fW1fq7P3z0B7es712yvxNO+3czUy3pQL/qbtuvG6UavDXj1hX7qRqee3DDV+/itnfveb9TzJ/71E6MNcJL0VzdJKyQ9JcUIef8H3j/arbbDqBCs7OEIMYDE9xtQnAe/xab9aNs2MvkRTKtlpE1OstMDXhmmz+qLgrTIrJ/Z9GHXS0e4pXbGQNwriD8NAzd7HI89ZjHQlvScm7LMvbhWkGJMlmkA+uwaBh6WXlXiVXqzHqRFh3iN3/hxzFNPG+nLz3WMGZPrdItJed9n2gwq9eE6HGcZ6UX99Ev//qVxXD/eWoxBjDF597vfPfqqfsoRpQfEUYfyIiM9wFmc/Fwv7tDVO3lJi05RRkHbMYhBj5DBebqMDpUHnUP3iI8XvbI7kEOezklbeRm8foyYfzi2nYu0RyuLOKJkl67r7LUj5aT7yKUOtSEGnPakHPP1i7P9a1vapYUPHFvy2wRGr3L4PUB6erV0Ias0lVdbZOR6dczUe2SQn+vVGyb0sglKDJRffTlvP+vOPYEuvubKa8b9xu/t0avSYJhL52/+5m9GGaWvXrR3fU4Z1Zv6Iz8ZpC8vhrn6F5cuxhmr2X7tpww7eNtFIAIRiEAEInAYEnDPnmHaMPN+7/g8zxZm67Az2UXsgnmvZ1+xn/iW7G/2Eh+ZbcHm4R/+xE/8xLCZ2HKuW7cVZh5TDnvXO86esl159ZWrT3/q06t3vftd43d65ySKOFaJs4m8dlp+AhvHb30cd+xxw6d9/BMev7rhuhvGops5wUJu/gn/lv/gdfHsYb6ya9nLbCD5Y8KmlNcP/dAPDVubvSZY2f6Nr39j9b5/ft8YZ/ilX/qlYQNLly3MBjaw580qXntt0obNNe03nPDk23jLAXuNP8wWE4e9+QOP+4HVj5zzIzezN+Wt/PwCefm9WfmRlV2GszEI8rI5sTFoesQN20/Az/qTjkAGedrUs7xn3ZhQ4U94k4S2YIGcpw+MZ0hXWuIb/LzqyqtWH/3IR1f//P5/HrawcQP1yb9gNxpH4atg4DqTS8PmXgbXtSPjLTbjAeqInYk129P4hAm8aSNjq80ZjJaPPNjzXuGIg3pkw2svnsjiC/IplREr5/msGM6gPPxT9aTNyx8b7WDYvEsZCxG4owiMV+QtPpu2pQ/ZjH3wObVr42Ozz2iH+oq2zf8X9KHZ3322GdOgR/hxxgyMU9Izzuk/dAI/7sTjT1ztO3rfOP+ud71r+IcvfOELV8c/eHkbhnGNxV+mA/QzPiT9eOq9Th39zEQr/5qf/n//7/8d+lEfo4f1d7pgdyCnQH59co6D+i6fed+Yk/nGF/jl8neO/PxlfZrOc7009U06S9nIYByFvp46jR437kp+Ywj8YLr2/J0xW2nzf/V5YxQn3POEMeZ19DHbYz9kxoOMtqkPyO1e42dayEzPCr/zO78z/OU5DmE8jO6i98h70YUXDd2jbo2Tkk9dG+NSRmNAdLf7mrHPM884kwCjTNLH7gv/9oUxdq2O6S/p0m10lvutY3QcXWl8R/lMDroH3HDE9tOv0iocGgJNMB0a7reYKyWiY1PEFAtDkJJlgFEujAvKeQadW2fVwXRwnY8ypLAYLNJhlOjYOuVDH/zQ1cobqxaluh4MRhucY3hQIJQRJUP5MYQoV99nHtPgZrwYCNbZKS0DdPIUyCUeY52yczORLgOLclGeqRwZm4x3RtEcCJ6KjlKxysckmUk0LKRze4N0pWkj21//9V8Pgx47hhuWDGGK67zzzhsK8b/9t/82DG/cxDt/UdrKrrwU5Byox/7d73r36m1ve9vqp3/6p8dxNyj1OrdZLvt5bOwX43auvrq9Zeu620fg+htveq2YNmnbHdSXvqivuPH7brJG23ZT13YYCRwSbdnx5z/v+QcmdLVtg+dutNqduCYWx0TpcmOdbXK2C/nrQ3sFOoB+0A4ZRQweA/raoZsuA8X7w/U17VWfsffdQL9r6AhtdOob+c9AhgPb4hxpl/5Z9bJXYITIw8qe8z5/3jD89CMyrU8ES5MRYMWSNm/yYwZymLSgazDE08oX1+D1uc997sBEHuNU2vhIxytNXve61w0e6oLhggXdZzKBAUoXMSyx40iOel4cPt/F4+yZOCGbPBk40qdHlUU+jnHYp3NID5kIYdjSGVMfSs9EynRM6USbuvfDwlj7bhJamq5lUJJBPibj6XYTRt+tTtAe6HFl0gbkrb60EY6rdoI1ns5rl85hQR6DEoxa8iinMqojZZx1pCzuVfQl3urvf/2v/zVWiXHY6XdOLp0/BwJmvdsrszxxFYezoR7dJ6RNdvUlns13+zlx55xjtxVcIw8Ti2RS13MiSXkYv/S+fvWSl7xktOl5j9UW1vvIbeXV+QhEIAIRiEAEDg8CbD32KLuNLSMMO3DH3p+f2Qnu9WwK9332st9FNUDJPjKhxN7lD7Dp2D3s0//xP/7HsOnZfeth2ivSmnbK3JvYuPKKK1cf+OAHVn/8x388FqqxKfna5GWzn7sswJS+V/uZ9Jh2JbuW3XLqaaeuTDD5zalhLy5pKgOf+7Wvfe2wn9nE3qhw6SWXjrK89a1vHWVhg/Nj+Cd8G7ad8rCX+fU4GLC75NuXDBn4ts6x95wji3EJC+zYjPLEha3NvjXx5Hpps7ve+MY3DpvXAiZ1gSe/md34ufM+t/Ij8xaEsd/ZXvJ6xSteMfx/eRjkZKsqH/Z8MWlLh63KhsXU4qbd7go5lZdcbG7yiG+AU16enDpm37JIb/F3TlsWsRkwZZ/z29QFu5k9zU952cteNuqGf4AfH4HPx78yAUk2E3Gn3eu0McCtPZCTn4Kh9uc65WHnksc4jTr95V/+5TEAr42s27ZYsFvlzyfyXf3jPWVkLyv/eZ87b/XyP335qBP5KLPyz4XL7G3y8FGf8uSnjPJrA9poIQJ3JIEjj9oeW6AvtC9+m3Zv7Ewbn5PHJieGv7f0P21YnxP0gTmO5npjF36/yYTKHH/Z2toafYqupi/t6c4XPP8Fq2Nu3F54yy/lh8r7IQ95yGjz0qfL+IV/8Rd/MV7tT49IT170jLFRvrJjxjkFuuJggjTcb/Q9ZfVdP6MfyK5sztucJ7dymZihb+ht8urn9IrxVtcaG3EPElxnPMgEPD3GR8dR2vQCn5pvS++cv/i2wvj966Nvem2/+Hjbps6hl5T9d3/3d4eONnnNL6dvxBewo5PcB4wT8N0FT3iamHrDG94wdCiO0jVmSl76jjzKq5w/93M/N87LX1wT+K/729eNeqFj6W06dt6n1JV2oNzuF+4ngrZ2xI3psAHjEP9pgukQV8Du7KcCpoQMCtoECkIH1rnmgJfO6rPOSHmNAd6lAzK0dDzHpaPzOmY/VzbtzldcadsoDgOw8raailFNITC2rE7X2aU7lbKBUQO8jGI3CIrvxS9+8VBU04hjsFJUzrupMJ6Vx8b4oRycpzwZmk94/BNWy/1lBDK5UTBelVc+rtsdKCCDlwbt3Ugob1zGjP3yY/Fep8QgoyAZttKcxjTFaLUDx4HBKR55GKBkMhApffVghZeV/a73Q6FWCygzQ5Sy/NCHPzTiWo2ElY1jQCnPiQnxn/uc524b9ssj89Ifg9g3LrPuu63i3QXt+x1OYNxYj9q+uc6b7O5MtPnhbC3GESdK/1PHVpJpU8652bmp/u3f/u1YWaMtuuFabaKda1scu7nizA1cG33KU5+yeuSjHjkGt9/5jneOm7lJFG1rOsKzv8yVawwGaXFIyCx//VS/NImhD1iFp60yUhgwnCMTte977/uGEbK1GEza/cEEae8VcHFOm1Zejot+wyGexpH2re/od/q6Puwa/dl+me5dffijHx6GIUNKn/XE5b1O2X7VGmNFevrbu85910gHS+kqk0kf5WZo4KZepEsHWMXDqGR0qQv9mPP6gAc+YPW85z1v1KM+zmA1uaBOpEOXqFcy6sP0J0OKEcfRVVbGpnrFnhz0oPOvfvWrh4zO69dWgLreqh6v4Hvik7YnBBl/0pcvY4vhaLUOfcQhXKZTFjW4/W+dPWNbevQ2fa08fqvIAMP4t9SJoC0ynLUFbQl78U0cqaO3v/3t49jP/uzPjgUI2ojyc/gNBJBFXWFGZwvuB5xb7cbvbM2BAPoNY3VBV0uDPqW/3X/wUf9kH3W+rP6aP8KtDcmX3lUufUvQ9mf7co3y2jsvHey2ljasbbnXMGYtQtDuOenzGn1MW5E2J57uFufss88eZVN/9DfH3QCBembMK6M8ChGIQAQiEIEI3H0JTD+Xf8s2sGLaxu5gX7Lb2dPs1v1b+8cTQRY3GrBk17Gd2BUmJNgU7BADdmwiA5BWeTvO3meTy4P9sh4cs7FfnGMbffRjHx0TFj6zqZ71zGdt28iLcOwSA47sWDYqO8zqfE8kGXgktwVtbLL1AUf2Fl+abevJeL67QdHPfPozY4JJ/nzx8aaFxYYlE7vQwB0GBjj5DtJkA7HdlInt5O0K/BDXDjv1uhtWl15+6bDPyUkeDMgqz8u/fflYdIYju91EnIU97F92H7+Z7YYhJjYLTZ1jp3pSgQwmvPgG7FHyKjNfSt3M1fvscoOYxjp2B2mQyeCqiTf1ZmyCT4+liSv2rjLbsxnJO2Vi44r/p3/6p4O7MRG/iz0Wu+3kxwbmY9lc+6sv+dUxwcRn9MSY8pDdgCo/g+3KLuU3scf5Kq95zWuG6PwHNjl5jZ2YEMKI7OpWG7D4lb/DPyQvf+Szn/vs6k1vftNIy4I+k5Js8ekDKsOrXvWqYQubSOML4aJ9s4ULEbgzCMxxiukTaq/0BH2jj+u7+j29oM9pi67RLmfb5HvTMd5QIViMaazA+INr9DN9z1ib8T0+pAkjell/NDbqeotr6QM6fCwM3xnHpFv4hvdb3j7Dt3XeWIvxUAsq+ebyEfTj3cE9xvmpM/VL5XDM5l7jnqGPG2N0r7H4Xxz6jo788Ic+PGQ1lkHf4UH38E2d59fTefQCXUdGssibjqDrMaEzyCxf9wE+PT2DtXNkc25c6554w/a9aZRrKdq/f+nfV3/5l385dJ77kvsOPSm/3/7t3x76xJiHn2dxX5C/+8rcu3cZl3Ffca+h7+57n23d/fVvbN9r3L/oTMxtywDG8MPf+KY3Dl8ebxNbnnByD7r6mu3XFRrXMM6A2bi37egtsmszhUNPoAmmQ18HN5NAx9R5KVMdh+FB8VB0+45YDNblnaEHwvJxKgRGnM5HiVKgrhOm8hgKYxxw0IebB+fFnYqQHH4ThgKkWBmajElGlzzEo2goPsrDqhgDfJSnAVVKk2LX0Sl1ZVIG6VAyBmyVURCHEUQRys8AoJuO68nhhkEJycfNZxq8Ny/B9o+hyoPCFSh4BpUbhtUKnsAgn0DxSZNyZOgaTKbIGHtTLp8pSzcjBhnFrCzSVw6Kk8HPMGbA+c44VG+MPnnig9VJyztPreIygIyfNPywn986MfGFgTo4UE9Dyv7ckQS0b2Gd8Tymne0Ozs3z85y2Ia760hfcpLVVdSownLRBg9MmDdS3m5+bqjZw7HHHjkkLbVufsEmLU2DTdvzujXanf3EKpL3eP/Vz38mhfQlTTm1Nm2c4mEzRX9y05wSY/OgGRohJBzIqwwwznfn9YPbSlC9H5elPe/qQhSHEENSfGY/Okxcb8njiilOkjwpWR378Xz4+DD16gNE4HSLX4sEYpdsYj186/0vbKzeXY1hIl9OvLugXOoJcjEROu76rzCavsFBOjPRnnznjdJI8TMJwnh/20IeN8/IXh86gB+g5cejDxz12u+6dVz517B3pb3nrW8bk1tSHykgedfmEJz5hlF/7ITcG9AEZ6TrGLjm1B+nOTRozSGsGTjbHlFOvXdmURVvihOIvL1zkLz3lEF/bs8pSOaauMvhy+n1PH686/Mu/+stxvbZy+v1OHwsPfNaGOKTatqDsOCkLvSmOvBwT5Cn4rr5Ge965ETmnX5FlxnN+xt99bFy7XDPTMWGnHrV7eU+e2o80sZrpqQ96WnsyMGJgQR2QyzGfscJUP5vyk2XmN+UZAvYnAhGIQAQiEIHDngCb1OQIO9193BM987Xz7CH+INuRbfeQhz5kLPD54vlfHANdbBSvJjLQZjBz2hbsSefOXwYN+ZTsTLYHm47NwK5gH00bZO7ZImwVtoYBP/n/2q/92nhl/CMevm2XzOvkRWYLYExSkIOc/Oa5mIctz4Zjw5g0u+TiS8aAJPvXQhm22rCzjto3fG32t3JKw3V4POD+Dxj2J7+Wv+1aNjE7yHmyk4nc/AYbm5eNxb+1Zx8bbCQPm9y1mPCjLfiy2PLsZWGPPKUjTTzZ484bS1BPjttjwz7no7t2a2trXIfJfCrHZwu0DLw6b2Ga63fbar6zjQ2UsucNQltMpN7Zq9OOFMekkYk57MjGriYPH98YhfECA7wGgOfiUHyVi32sngxMG3cQDPDKx0Ax/8KAq4Fldium8mPz8wHmOIgyS5Ns7G9lkre9+n/G05+xesYznzFs8clSubQTG1v2F3/xF4dfpD3iRDbcLIb0VJ7fKlVX8nZe2sJuduNgfyJwOwjMNkU/2KYusdePTDDrv8bS6FP+tZ/ImLpGvOmL6R/6ET+OPvCmEGkcf0+vZlqN33Lz2bV0vT6uj+rD2r1+on85L+gPc0Gh7//xlf8YupweMT5H7+q7ZCCb/s231m/3Csoqrn7I/zZBow9TA+O17su1/GMTRHxoupD/bdzA5An9bbGxe4hzxieVXbp8UvqR/06/GIfk8wrypKPcV+h0Os5YyzxPF2BGN7vX0GXKgoPNhDw2Uwf6SRIT8PQIPW5yf/rLZDHWQl9IUx72U47xYUcmustY1hz7lb6nWu//gPuPew0diRO9+sjvf+TqqmuW148uCy7IiLH7rfvUySdtP9jgjSjqRbrG2bDUpshk7OKWHqKYMrW/6wg0wXTXsT7onHT20VmW/ew4VsAzVIYRsWOwUFqUyjBgFuVFCU0DYSjP5Zh0DibMePN6aRrktDnH4KKQGJxT0UuX8eycgXQDo5Q/Y0ucIZtOv5RDuowpCo9SdU7ZKG9xnTMhw0CnWExqUVriMFgpIHmJw1DaK0jTNsq+RHDt/DxWM1HwixziUP7TEGZ4i8ugts1AWVPormGAuQnuXybOKD1Kn9Jj3FuRRCZ8MKCErTxgAKqjwVY17IwJy8uxIa/30h5ZN5zMD9VefWgr9nPbSxb1KZ62oR1z0tzo1KWgbah37eT8xeFkDOgzjPfxw5FLvNl/pHOgfS7HR3tY9tq5bcab+ymP9iiI7/rZnsgtrraobTonXzIzKhhFNjJJX98zYbPkPIyfmb50DjZMVmRRdobhvU691+gf+oL0bRxHMtj0I0YFB2s6b37IUXz9Ek+yMaKUZ50LA095v/b1r4205iSV8ppIdl58/ZihdcXlV6wuvGD5Id3FQVVuzp3zk6k9Thjhypmmo8ak2645R+9d59wJDFr9nLE0V8Pi+MAHPHB19mIUQjqdR/EZy/LFhvHH4NKGZl2qs7liiow4YDvPS2N3mGWgdzy1w9BSjtl+cBeHzjShx1lWVvcQBvXU1ZjR37uD49qsdqwuHvuYx44nscjKmGR0M+DHE1pLvljY1IWVaLsd1t3pTwfCE5vrdUJGm2A/y+m7eDOu/YG2ujRZ8XxX/nF855jj0tFu8OFoKC+dbpWu+9ccQCA/w5nTIYgvrZnnONifCEQgAhGIQATuVgTY4nw2/qV7+rSVpl3sKW++m4F9A3LsBrYPe4FtwCdlb7El2ROuF4e9yg6ymMdCIXHYSQL7Ydgju0ixS2x87OnfsgvJ4lVxFo+yLZeH+0c8g4HzqST2NPuMDcqetKDLoCm71AClyR4TVwZXxbO4iL8imMzxmxhbywCqNDGRHrvzsksvW137ne3fYmIDTTvIdcppY+ezKfk47KYx/rDYu46TxyAu23AOOLqWrcU+xQ9bdvYM6oHPZECUHNj5Lm/fMcVEueyVc9py5GHnKyM2fsPKQCaOfgt2rzDltwiU72/yiz2sTtnE5JangVWDnuxoNq32oK5M88KQgQAAQABJREFUYolncon/csq9tgd4vYVBwNUTE/wRTNWxsmAyJ3K84YV/MCYDl2vY+dqLJ5bOXZ5Q8KSBwVPll86B31Zd4s464KdYRPigBz5o+BszH/Jrs8qkntQRfwv/2RbFZfcKjotvMSJ7d/iD48xNf9RRIQK3l4A2qw3Z27T36VtZUMo/NfZHj3kqT18Qf/q/s93q9/oeHeA8fUIn6Fejj6wJSF/Ty/q3PqEv6Ev0tPauL5NBvzcWaM9Hlpe+Qw/RZdLXd8l0n3svb15Z9DK9z3/fHVw7y6rvy9tbZugcr0G9/IrLh04QT//3lNHZy3gB2WZ59Wl6lKz0INnIQIeQSbo+zzEdaa0HY5Z0g3uBPj7P07kmsZXfPUo6sy5cL3/3Dedwpvve80/vGXrPa0uxce1Mjw4R7NWT653z3TbLQ/8YF3K/II+0jcc67n4rTeM17lfkufiii4f+NQGOA52onqXnWhNxfiOL7pWuusVjjMMsdSNvYwuCawqHjkAj24eO/Z456xBjEklHWV4hpMPqVJ5y0SnNgFOa4nklksCwYRTadNCp+ChCc1HScNw2lcOeme86SJEzPilexhalPAyQtU4rTQPD4lDYNkpsd3AdA1fnp5ztBZ8NKFMgZuwp1fN3BjQZf+JRsG4glBMlRTF9N2FdGZLf4CwjkrG9HnBULnk7T7kzZtWHc2Qxu/6Hf/iH43FzsrppMKANrs6yStPn9XqQxu66UKcpxPUa+N7/POt77r+bEmtPNk4Lg4Vzoj/OiR03bJv2/NnPfPbAxJgbNj0xdMX4sIcUN7dhDkQgt7atPevjntDiwHLw6CkTJZx5fYc8jAiPU3vNg2P6HONS/xKXvuHcWcmkbwozD/uZHh3geoaF/mxSwLlpqMhHvvJkMNFd4u7V7+RB5zovMAbppd0Tv1ddvUwwfejD4333DM/hoO1hvyj7z/zMz4y6YOwJ6mXwWdLGy+epE5TL06nK4dh6cG6vsH49Q5oxadKb7PSx1VE4Giw555xzhvOI2WwjDFaftQevhZHe7rww+erXtp9KNcDCOGakWj1LJ6tDRiidxxCmw+m9ra2toctdjyPm6tLn+X3K4ZwmZ29Vk/2Is3wWxvllPxjt8PF5bnjNFUvzWnkxNg9M/C3xxRtxl88M5fO/uP1aG68AdL9Sn8pmEEF5tpYyjPrduX/Kb3fdDAH7E4EIRCACEYjAYU+AfeReb8KF7cmOMQkzn3zxu5EWx4jnfs8WMQDPLmWjvucf3zMG/hV02lBsA4N1Bj1nPJMdM7CDpu0h7gzsNjYLG4yvzf6zYnwOnjrHlpm2IxuWzes6Ni3bkg9qgkkZDIJKiz9t78krE1d8VDYNOQQ+ts/sZWmy7eRvoI79PL8bvFsP0wbCRnp8YLLNMs39vMb3eUz6At+AzHsFMnkTCM7SZo+z4cnJnj93mXixOA2X6cNLx3d+DjZsXza/a3Eg315BXsYbbPwU+ZBRPtLBxRMCNvKwb3HHVZsh03zd9l7pY8euFJ9/pT0YtPZ9a7Ettb+9ZHPMeXXLfrfY1kK8heSBbDBVB+z9E0868cCTY47NMQtluPyy7Tc3+I3pWQ/DNl7alLjGNvDESdmNbfAdtdW9ZDsgQB8isCEB7W63/6RNOqatm0g4e5loMRZn0Z/xC3pan9MuBfHpXP179iVPRZrEoQ92t1k6Ur+Whvh0z/RR6Vh5yVt/Pn8Zc9R3TPxaNOk6mzT1XTrRk1JnbZ01Xvm574ZbfgXb1Nn6kkkpE2Z8b7rFAlWybC19/L//9/8+/HITLIK8jj/m+DFpQufok8pnvIbeIAcdrc/SP/qtBw+ME2PjerpMnnzZOXk9Et/5g9PUnVNO3/n/rqc3vGoUC/pHHK/yNBFGZ9yeIH3jAtKc9zT1Lk9pknPqLr78hRddOMrr2JxkE9+9eLSZfdv6Sd1ZWCBdct4s+Epl3qQ2b3a6L3cNgSaY7hrOB52LDmRbhsdWJ5x4wlBMOg8DinKdSpoyEOzF955oTwFQRBQq41nH/m4CRU7RUVpztpuC2B0os7mairKkCCkHss2NLJTs0fsWI3dn0M4xyoH80+BjmJnMYtgxROXnBkDZG4hm2E7D0XW3N2AqbTL4rJz4rgcKzXmGIkXnqQZlcGzeGA1IkodhTmEaXN5Lsa+n2+fvLQLa4XpbXP+8SUlv73UzD+3XxiDzCLmVM1au6TvSZngYNB96ZLlJu/kO/bFvMU5uuMkRm3127seTJos+2ivoO/SDNj91k3jyowMc02c4Q9ITGBt/8Ad/MCYn/JDj4x/3+GHYMG4YTnTILMs0SOaeUUEP6GdzEle63sPut38YY9Igl/LSS+SbBox0ndsdyCsPYRhbC48bj1x065qF4rrLLr9sPIXEaWTY7RUYfUcfsz1hJV0bDtL3mTx0i2PSpPssfrRgYB7bK931Y1NvSYcOshrTQAM+DFmrwv7kT/5kpCd95Rfkj8/Ud87R83sxkcdwYpf644jPsvz4j//4uMfgbWDGps1ZoYXdGWeeMVYXeTUHx1we2se8fu7Vm/JCbJHEPD73U965n8fHNQ4uYR7b/nbT9zHppF3vtLkZl3we9XfP4khwMjjxyuC+hQPHxisVvKPbU2WjPSzpqL9RVzOz9hGIQAQiEIEI3C0IsJX87uZLXvKSYZOwffxOx+/93u+Nez+bkQ3FDpg2p0kjdpZJC4N+13znmnHOeTYSG4P9Y/DSoki2Ep9QYJ/cWpgDifxrebCB7dmIc2CVPNJhhxis5COzq9h08uETs5ktGjvvc+eNYwZEDWYqn9dIsWmkS1Z58Q2U22ClskpHWZSfHSTu7iCeTTx+BFuILbZuYzm//n2mwe5WBuMS/AGf94qnTGx25/ktGOCMvTEQ6ZNtDlZOLvYWDuFjcFoetxRm3vYCrqecfMrq1FNOHTa0Y2RjG/7+7//+WEiFpXEAcYU5wLuXPShdG6bT7vVkgGvUq2uUY+Y/Etz5I19sMdbWlNmxdT/Edc6rcxycx0Rd2KZ/4bjPBqXV6bzOcYEc2io/ShuSpjDPjy/Ln73knOfaR2ATAutta+oS7c7icz6XCXZ9zZMt9N5uPaqd0wk219NvdMteQbs1kUtfauPiG8fzne9q8mYsNlwml85fNmMKnuw0YUUP63/6jTEFOsiTka7l9xvHVJa9+obyOKcfW2z+0pe+dOgBT1i9+jWvXr3yVa8c/VYZ9UFloVfmRImJsVe+8pXjzUgmzJ0zpqsM9CM9sjtMOfCZ94W9dBPZpCe+Mok/x0Sck/b0501Ab21tDWbrPvfuvG/ru7zo46k7xZeX4/Zzm/cS8sx7KyZ7BXHpVpvrC4cngSaYDs96GYYCI5Li1eGtomJsGPAyeEaB6YgML4qYoWoiRhxGLiPt2Hsce2B1tw45O/XBFlm6ZDDzb1CRMSK/9ZuEtCg0gfHI6KFIKK71QLlTpAZSDb5Ohehaitc/j59aic8Y9hoDCp7C8wSGdN0s5g1nPe35eci12E63NPA749lTYDaK26PhVuVzPtaDpwrmk2NYzLLN69WJpzHUgwFespposrLfAK8bwlDyi0wG8JV51oO68Llw6AhoL7MdHgopZnu4o/LWl/RP+uKv/uqvhk5gEO3fv3+0bYPqDCwOx+te97qxCnLqBJNI+uARN958sum2ZJs3ev3bu9Llra/48Vv9aaavr+kndAM94tV2+ounYo486sjhnDMWXGO1jHeUX3/t9nvdyYaVvmRPxn1Hb/+2GwfKUzRW6Sm/vsxZoovoEX2WwfjOd7xz9enPfPo/6a5ZPm2BrALdNSYo5uQSP3TZ6BX6QpnJgdcN1y2yzXjj6u3X4d143XJ2SVNQdnyUfTry4/rlvOOCNOc2j40Tt/JHfGnaY+k66apnk+Em3egodcLppsfFwVk5DIiYQDIRJI3dgfzqTH2Kay+I6/F07YqOtiJLu7O4wcCG1WGeoKIDrZ4lo3Smzpt5jbpc5B1p7lvKb1vSHvGWz+P4ziSeOpHH3JTD5vtsY9Jzvb0w05/1MA4uf8T3xJt2yJEgP37uTwZc3HvIrz397M/+7Nh/59rvjHrcy2if6baPQAQiEIEIRODwIeD+v24DTBuDXcZOfPwPPH687uwVr3jF6s///M9Xv/IrvzJ8aLYFW5Ptw14ywcDPm7Yr+0Ba0pE+28Amvt8l8gQ1m4btsp7/OhkDb3NSi79o4svrjaQ7bTppsr2kw+Zh0863gbBZXOc3MkwYffJTnxy/7cumOX8ZNGXnkNf17DBjBF7p/sd//MfD1rbYhm3Iz2cXstks7vSbnruDck77y6Tbun2lfGSbLHZfq5zisBf5H+zOvcJMX1xpuY7NzI/xBIFyst/kxfbHyXkDkWxM8fF3btiRa/ag/MjtVVye2seFr8GONSYhYCxfAWd14Qfo55NN8uGjaDcGn+WjHcxA7unr8w8mD7JoQ66fcou7O2BqYNd1018b9uxa1MlGvJkWDthZ3IYH+YwBPeaxjxm/6+W3xlzn90uw87ulynrlVVcOubQh18x05EnmQgTuDALa4tSL2rDv9KA3f5hU8ao8/Zl/Rm/Rc+JpoyZu9EHxPXE0X902++2UVxvWnl1PX+qn+iC/zzFPKXqF/gXfumD0N5PT0qYPTHR5gofON55Hf+pP+hZ33/jAXmH01eWEPqZ8Np/J4V7w2Mc9drz+1G+fvexlL1v9+q//+tCFzisfvf3yl798PJFKJ59zzjlDBuWe44meVn31q1899M/oz8ZudnSJcllAMBcs+L4e5DP7Nbkwm3pSPEzdg9wHjKu8733vG2NJys7npxM3DWRTl/KT1+78MSKHPR1Gh8/7nfsF3et+oSyTKT2nDow5SNe5MWazLCpVPx7QMC7jX+HQEbh56zt0cpTzDgGdkYFCGensFBxFY+LIwJcfOvNYtDjizhleStkmUA4MXJ1RoFTEZXDq6D4LlKGO7fhcxT4U4RJfoFRmR6ecDVA6L+jU0pEGg83AIgVocouhOpX73LvO8Zn+kGERw3eGmHD8CdtGvDS8usjAKIOQknGDmK8uGJGXP7Mcu79TNBSVMJXnlHUookVmNwo3E8fJIH2sZyC3hza+ecE3x1MgGDHExRPm466etvKovPcxcwgM6hpgtQrD66nUIR3HUZH3lFm9TcN05LWT8Ty/87XdHUxg8rWf3O21E/WjLQhzP7MXX39i6Ghb2v8tBXHXz8/v115/7ZhMkd88NtPYLcu8EU85xJ96gRyOT7nFHeeXOCaarYDhSHKcn/1jzx4/VsywOu7Y7UeRlWHmr8zCmMRZ2uiQY+mOzgvrXJRpyunc1AEzDTrKqiDp00EcPedcQ16O8pTbMeccUx4Go/jzaSv9TNzJUXwycao++a+fHH1nPK6+xGGMMsxMZpjYshJJ/1Jm6dMfdIy05Gk/06X/1o0s5XWNeAcmqpf+K+/j7nHceBe7J178ACbjV/+e8dQPh82E1nvf997hFHvaaupQzKSjLJPJLNfck2uyF/+WwtT/rhO0Sa+Yo0qVHT+v1njta1879DOZcFFWZcPbHk/H6aLJW/7O2XOup5GvrI6ZfKE71TGdz/hznAzSc+5//+//vaIz1S29TyZc55NTZJ1twbV+3NRCAvpeO5j1Q5ePgZqlnqWjfuZ+1pU9HtKXpvQcw2bykR5ZbF4bQx7lNnCB1TxvEYP7nsEar5zRHk87dfu39KRdiEAEIhCBCETg8CfAFhCmbeD+z06xsREMVO1/8P5hK73lLW8ZTzV7XROf2wA/O4VfaIDPACB7gn8qHTYSu8HmnAFLNsOY0FkG8L0ueoRFBLaDTZ58z5HW4hj6zt5hc7hWmuwSx8SRD1tFvuxOv8tDLnaXa6XJL+eDvv71rx9++HgF9hKPP3r22WePtKUrLTY6v5qd5nc1/LYQ+4dM8mC7ebKbHcZGlDfbavmZzGFXKSum67bQZOx6+cxzjjtmr3zsNgswP/XJT60ecP8HjNf9bwPaXoQlT6/GJqeJMfWgrFiwQQ087t+/f9j1k7v0xZeu8REL6PxQvAmUnWGFkf/MhyxsOjYs3uoZb+UUMBWky1Y2gKlMBlbF0Y5mfK/ywn5ra2tcM/+Q7VOf/tSoL/l5ggxf4wfks+jL+IbyOS7ODAaG1TEWbFFPOQyeSxQ+DLnISA7X+jyPLS1saVHbC8ymzcoXI+Mc69DuhXsct7x15RtfX33pY18adreyYSHIT5rC3I8v/YnA7SSgjeungvalb+kn64GfbBLDk0KeHvzQBz80JkS19anrtFNtmV6g52Z/tJh06KZFV/EZ5UcfmyShd8S35+f6TB/phyZrpEO/06GCPmf8zhjK/B02ulC+8hth6R631Dem/iOPMs8+t3TNcS+xCJYPaqLfpJZxCjpYXyXLXKTrN+n8lhu/e/Z3PrJ8pY2XoKw2TAXnbkk258SbG662eT1GxizoKnpOcF+0IFn5Tbj7bTvpCCZyZl1Kg84dr6Zf6kGccWyJR4dOuR2besuxqW98Vs7T73f6+C1rjIxpY2KsYbYB+Vrwqf7oSmMKrrXNMPOd39sfGgKNmBwa7rec69JBZ+enTBlUBsEoqnOXdxAzXBiHvs9V1fN9wSY9GBNm9CkfhqG0dEwdnNK2QluHdNxkE4VFCRuEnUqDcDo9BcOQpfhca0bfNbMzeyWSDk4BGYikDChTCkb6PjOSfXbNTF8a11y9/RikvNwQbGSUH+NS+aT5kQ9/ZKRB4VEyFNDM37XrgXHlHNmnAqNopqIjx9zcUKTHqCI349QkGXkFsn/zW98chqAVDAbO/QCqYOUSHo65YXm6y03pcY993DAK5cH4VB8Hbi7LdeQiv/OYTjY+25S5cOcT0Ca0E3t1oD/Yj7a7c3P2XZ2oa8Y+w198dacetVWbz3sFfc/Ew3r7k6cw2+/cr18/4zs3ZdJfOIu+z5u3eHObbV064pCXnPe+z72H87y1tTUMKqv0vvmNbw7j5htf/8a2DlnirwdP7F1z7TWjvNLVd8a29OnBYCmXfiasy48DI4kTqB/pu/QFWXC1kYnDxrn6ype/MnQUfSXohw/e/+BxzHXicARnn1Au/ZRj+IY3vmH1sY9/bHXJxZeMdDmfWDAc9Wl6y2fy64Mm3KyalP/UQWT3XR2TEWPnvPrOddLzOrzxBONOvZkAZ/Q5z/Ako7YzXiG4rCIyufRvX/y31T+c+w9jgsIEi/SlK73ZVnyXt+CYc/Pz/O78PD5O7vojjdEWlmu1Q/cK8fFyjv5/xtOfsXrYQx82dDt9ZRJuhrlwgZGG6de/9vXxG34zf/cYRvbb3va2sbcAATPpz+Mm9tQnA3ka7RxohqhABqwYrY5xiMnAYHS/GbIu7Uo9MyYnr6kjpTHqbGeFls/ks5FFeW3a4zi2s/cZAwF/dTt5akdeH/mOd7xj3PfUPfkZ8ZwO8hvQwNQ147rFap6MR6L9iUAEIhCBCETgsCfAVli3G6ZtMI4tCznd7w3me4sFu4ddx2Zkh/jNYxNKVr07Z0W3iQnXTvuDbc4Pfu1rXjt8YTaVV6KxNaZvMe3YeR1o06Znc/B5nWM3sy3lxbckg3ymn20CxkST9JSLXcK2mnavCSSvf2KjGQj0hA67y8CfjR3O5mEvspO3ztpanXnGmSMNacnbxk6TBxnZmQJbThx727TBpOuzzXEyi6c8M2wtPog3C7AX3/2P7x6+Ad+KbWZvgsjEljcRWKRpXIKM/An8ya3cNjIdfdT202Lsu898+jOrN77xjau/+7u/G4upHGPHk2d3kCZfgyz8FJz4/uxR9YU1mchjoai61j7Uv+vYiI9+1KPHOAvO/HxxyYcTW9ZTZG9+85vHb5lIX5CvCSVlUUeeoDIWI758bXwUT07gzyY1jmM8RD1oT2O/fCYjvoIyslFdzy0zjmJyyXiJQXGvSMeVjMolvuv9nIK27Ek19rDzYyJxSZPfOn28kUl/IvBdEtDubFOHSM7nuZ+f9bGzl0lx/fPiSy4e7Vf7dn5eK443Henn+ooJGf1XH9TG6Rj6UtumE/i+zs1AX3qdPD34rne/a/js+ooF7IK8jWVI09OcxhD0J9cpw20Fem/qPn3N/cZeOfRreZtk0vf53sY7nLOYgS7Ul8UzNrJ///6hp8knvrLYlBEP5XKt9G2CvKee3i2ruPSIIL401mX1mf42oY7x2UtdmASjI7yhxqSbMPWIspFhpkkHzSCtWWfzmDwnD2mIM/N3nNzy9qSXhavuA3x192S6TF0YJ5g/AYGddiCtUTcLAnkop/QKh5ZATzAdWv7/KfdFTYxBTYOWOjrD0cy6jm0QzmAdxcc41JF0bIYCQ0Z8KwAYq+OR76Wzi2MyxQw/Zcx4M1DMcKHIDNrquI7plFNhScs1Zqzlp4NLi9FjMFHnNSipo8v74Y94+AEjlSJkZHtXNWUwDTCfKX6Gs8FHZaC01wNjTh4GQBmT5PCdsnOdfMl5QKGsX8zA2lFaysywpogpITchrJRPuRiKbiR4WcVgEomBZ6KITIxYBqCyMQhN8t3r1HsNBeiJLcqWE+Idq0972tMGYwbaIx7+iNXW1tYwWt0IGMhYT4NX2sqAuYk9NzJ5uaF4/SG2foulcOcQGDehnaTdmDhS6hl/bdYNjJHis/rR5twktRcrE+cNcba/eXPcLa2+op7n5rub58zfd9v8Pq/3fToPHBzp+y4dfeMh+x+y/Vs9O5NX2rT+IIg7B/P1Lw6UyWdBXiZilJXTpIwcQ/3//KVfKqe+weji3OoL0+n5wue/MJwPEygmgU497dTRLyeDWQ4OlP6gv+g3Bu31p/lYNVmdM2nBeNSvXaN8J55w4vjuvFfZeYLEZA7DiqNMrs9+7rPDIKNf1AWZrUDVj/V3dcZZ1J/kpX49uWNCY04Q0gXiY+UYvUpHaAcXXXjR0L2Y6Lt46fcGADiI9Al9yOijAziYyk6PSc8kDB1rw18+rlnnNNuD/eQ2Kmj5M9uCc+rC9xGH1bb8Xw/uE2NiaycdelIc5T7ihiOGvA980ANXT3zSE1cXvPWCoUvJ4zUj2jPjTVm0h1e96lWrb53zrWH4qjN5008MdG3Du7GVg1zSV1/6hvJOfUrPaVPaHB2vzt2HtEeySZdjgA2HVx2d9aCzxjnOrvS0OWXGUpuWnrqwFx9zdab+yaUcZKJD6Vjlx11+7jnauXYjeMe+tkS3klnfmudwkZZAp7tXSGP//v2jXc1zI0J/IhCBCEQgAhE47AlMm8o9f9hGi30hsGHYdDOw770Ol73IfrF4ho3JZmEHeNLHYBe71nUWFdqzP/gMH/zAB1dvf8fbh83DFmI7s0fZE2whdjh7yTX8eHbd1VctT5c84P7DNvqhH/yh4WPzp6VpYmouLGWnnLssLuVzkputYy9IR2D/miRjR/Gb2U8GMdnXbBm+KTtGPGXymS3GH/U0ET4XXXzRWFXPFhaUy1MEJhzYkgKe0raNvLezH+fmn8l8fhcPQyvj2dvKyGYjL/tw2nkGEtmPfAZ+sMDG91o89YIbOdmCVrmzf41BvP8D7x+LPeWjbPe45/JU0BGLhbyU+Yjl33rAn733ohe9aPzOCV7SJJuxFvmx+9mXxjywMMCKo7T5IsZjPFnAPrZhYeLIa+i++rWvjvEEYwram3KzSdm0W1tbIx/lUFY2sXENZSW7tuIpNO2Mza3c0iAPv4ifggOGBqK1U76J9jUZaxsWwj3q0Y9anf+l84ev9Td/8zfDpzNJRpbZNk2CaZOeGBlpLLzgkpb9bnbrHPscgU0ITH1FN9Bv+sMMPjumHzlvXIAe4A/SofqAoF3a9EP9g46m6+gyYxYmZKSjvzhOV9MV+gT/deapv8nDE0QmkJx37f3PvP/iVd84xuz49HzEqXP0b3r9YMMsj/3oT8uFs3z8UH2bDjDOQFcY7/R2KnqRfPq6cQv50xOupY+UiW7ynX7m49Lp4giO24TdetixKZc4Pq8HctINWDrv3kD3vfhFLx6yGCOVDw7ul1O/SkcdzfRMcg/9sdSlusHdWIzzZBpvfJH10gTkOa+fMnl7l3yNQfDj3fcE90P6a44v083Sdg/RvkZ5d+5H0p3cx8X9OSQEGsk+JNhvPdN1xaBDM350JKterJihWHVwSpMyoFApLUawQVkKZ3ZmHY9BRSEbrPPjnp68YVBIU17nnHPO6KSMDYqAwaXTikMR6qh+a8i18icThTLjm5FncBlQFD7z2c+s/uIv/mLcIMhnMzBoYJFRREkw0rz/mRJbD/I1+EkmvyNDRhNCjgkUIEWkXIzFvYIyYeMmYfLNACrZJ5Nf/uVfHq9EwNAPvoovntUOlL4bmPJRYBiQ1eumcJQGBm4M5PLOWHlJw81JPZi0wlieJi4MumOGo/OOMWwZlK5VJoam1+wNY+/ozd9zuheHju1NYNTVUhfarxUkHv9Vr26Y377026O9MuBNVjiu7qwE1F60Oefc5Bgvs01Jcz148sUTMPrSPKdvafuOSUPb3h3cvLUzk0l+6FF8bV2b4eT85m/+5uqUY0/ZfupvyVMa+hYnzeY6/dBqHO2UEaKdcjIZLdJiXMmHsTJv3tofx4VRg4ugfeq7bvTaKeeLY61vcmyE4bgetT04T+/QVeTxjmGrCjlgZGdo4EVG/YDzK61HfN8jDnB49GMePSZ4vFeYbPKXp/h4cbSVzyQJGRhlmJ69rLLhwDMqyT8dcNfRL8pqMkWf9co4TOhJ6Zns8l2ZbXgyaskuGHT44R/64e0J/aV/awvkph8Yd/Ilo3pyPXnI9Qu/8AvjFZnO0VfaAC42daEdyYuMc08PaBvT0HLO79OtlmYy29AQavmDp2OuUQ7G+IO3Hrw68p7LO/+v3/7hTrqUoUZORuy5yyAFp54jrS1r0+RhOL7mNa8ZrJRjyuq+gpPXDtK/ZPPjpl79aQDERIwVp66xkcU15KbzbGRYsA2dqg4Y7Yx6gwzqxXXikwlbbcQmLfWib+qH6h9b5bR3f8FW/ZLxhS984UgPe+2NPleP2pugfbvfWAygvUhDuuoPC3WCBZbKr6/R+Yx99VOIQAQiEIEIRODuRYB9waZgQ7AH2DGOsbOmbcZmYOfwB/i67Fd2s9/4YGewecQ1AfD3r//7sbiIbcBOYSNLc//+/cPuM0Fy/jIIyKZiR7JZ2S18BnbfXHDJVmG3POfZz1k96pGPWv3Gb/zG6s/+7M+GrWYw0XXkdK29VfRet8bnJNMsB/uELcW2Y+fx8/m+bB52leDpGnYNW2f6pyYY2GLKIZCPf8pGYkdbjPqy33vZKPuv/uqvDpuX3cePmPxM8hhMZOPNgDMm4gnylQe7Cy/jGH/+Z38+XvXP7lIn4ioHf5x/zv6Sh3LyFdhlWPILsOHHsC3lJU0Ds+zyHz3nRwc3PoT6OnbfsVOssXdMHbAZ+ff8fRz4KexP8rAN5Scepmx5g5tkEc4484zVb/3Wb414ePMr1JXz2pk81C370W92SVOQvvEUnI1JsGHVmzEG/oR6Vi528/xtGXLwg4yFyIePocwmofwOi7fHSF/b+LVf+7XBGRdtUf64eirqD//wD0de/DjtxnHynv3DZ6+e/7znDz9BPQ1/dhkg9rkQgTuKgPakP+sf2p7P+v0cP9H3xdGXtV86iv/Gx6Sbxddu9a05Jue3g/mHdJh+qC/xi2c/4rN6bf5P//RPjzSmDPqhfm3hvPTomq2trfE6Pr+7zid1rX5FBrrLBJS+Qw7h1vqHNJVj+vu+r1/HZzU+Q1/pl8YkyKQ8JrKc02ff/KY3jwl+C9v1eTrCPcl4pLEWepCeVT73J+dnnlhhuzuQ23Hx7Kdc81p1Mo9hed/73Hf1rGc+a/Xhj3x46G16S/381E/91GBt/MfTpNKd99bJRzo40GFTHmneeORNkz9kEAcjQTx6lz51P8bcuKzFEHOBhfrHASf3K+Mb9KbN73kLPt9aHY1I/bnTCTTBdKcj3iwDnYJis9d5bRSnQWODuowMRpaJGkrCqhQGic42H4vWsSkMStumE5q80DEpYkaK4LvJIecEA8wGASkv1+uklCGDUxoUuScAnKOEGXU6OgOQQSM9xhl5GWSMXAqDPEOxLHvnKSjXTsNrZL72R1znTfTYGOzSEhhF85F96e6lRByjmBhY5GZIUmDKI0hbOvIn/wte8IJhiBv8FJfCo+AYibi6GWE/FSiZDHK7MSm331cii5n30+592jAiGYDylM6oyx1Z5cdJYPgz1tUPJSudOdA5hOzPnUpAnWgD2oL69X2srFjs6nlO/Wm/4qkv7dvNj5PAIBirxRbjZ3fQvvUr7VifnG1APQva1XySZPe12paJYnE5otqi/kYGfV27dk5700/1V21IPvqkNm2Cyg8Va7MG6Z13nb6grBxPN31tzs1Z2WyzP07nQ79zrbzI4Dx9QBbHyEY/HXfkcaOti2s15LN/9NmjjJ6OMTklPQEP19MN5GDE6TPCDddtPx6Nm3KIQzbXqgeyM/QYnuLgj4O4ymtFovJwxhhj+rq6NZmGt3S2FiPy/MXxZyxKj55yDZmUR1AGQZ6COlaf8jJxowxkkC8DzwQFlq5jHCqTOiEjXvjQV9KhZzGTr6Cd2Wbe5DvnR84Z38klryHP0iZ3B+mecfoZw1FmBCurp1bFX14mMKJLV5omVugd9wvlIgM+uHDo8VAO7Q07bVz+rjXhrR2NdBd59AflNDCirAYitA26URvTjrRT1ym/PuU1M3i7T2DNWPzqf3x1rECd/Ug9mZzSXrAlE+byds+wYSUeLsrgu3TFVUdkdF4ZTTAJk62yylvZtH/x50AQXU1+/Vs8mzrUBsUnh7yEuR9f+hOBCEQgAhGIwGFHwL2f7WUShJ3gFXhbi03DvmabsIHc28UbdtZSAgP7BjTZF9PGZGux4S1qYseZeGFnsqemPcsWspjn8Y97/Ih74YkXDjuCzSMdcdlJ8pE3e4M9y75ht51w0gmrJz3pSeOcxTee1mYPkZHs5JYW+4ZNMsO0b/gC/HR2Ljn4EeKz16QxVpUvFymHxabSUA4+P5nYPnwItiL7zfgCm5L/wA4iq3RcO21g17gWw/XAtseJrOvn2GkGVaVrHIPdSr6ZP7nVkXjyUDZ7+fK55MfmJpsnepQZF+zZ5Gw2dp03p7iOn7I7sN/w52ura2UmC78AO3mST515gs0iLPYgm3SWRdqu//Vf//WRp0FwdaUsjis/+5cPoC3JU92zS3Ex6cQet1DYYLhBd3EMHCuDdqBMgrKTh60qX3Hx0j6l7Zh0tZE5bnPdtYufcuS+MT4hP/VHxjlRqSyuJR8592/tP4CJHLNNOeh7IQLfLQH+PR1E39FLfl7joQ956PgtMH1D0Nb1Wb6e8T4TDNq38U5jbdq3oH3yNZ2n5+gE45ImbvVB14pvzJSvKu5sx/a+6/cmdKRl/FS/83nf0YvPvfxz3fSb+ev0C/1zMOHkE08ekzLkkC6dqxw2ZSWjsvCh9Wff9VOyycMEuzENfrJJMHLRAWQiB4bKa3KZTvJdmaTxCz//C6srrrxi6A96YnfYWvQlbsrPV5/3Et8tXDAGQO9Jz31P3ieefOLql37pl4bOMGapjg6kvagHT7e6N87xUPrVfderOuc9iP7GUXpjMYJbxiIeveWeQn/ScdqAa92XvNmEHnzpS186dP4ce6Y7xVWWP/qjPxr8cDggk6SXcYdZ57sZ9P2uI3DEUuHbI2t3XZ7ldJAEdBqdxJ5ByqigkAyeWXlvpZLJkWmUUVo6t8DoWO9wFBsFTGFR4KpdXAYyBcX4YARLw/fZYeUvLedcS8HMc65nrFDyDLupQMk4J1hm8yKLz+TwWT6MOPvdwfVm8F/+8pcPI4jio1gFacw0b02BkFF5yUv2KbM0lE+5yStQ8H7PxWoAypmCUzaKlIxjYPLY7YFG6Siba9wMnKcwpwFPNvVEUeMmjk165HUeR7KJNwOObkR4rNfbPL/X/tbKv1f8jt2cAP7qnLMyWdrjP+tKffusXtzYnBN/DsRr+/MmPVPXxqWr3alX14qjPbiBuplql9LVtmwziKN/iqMf+K7NzD7DaJmyzXhkYYDIS5sWn76YEwbSdtymvWqP0p39Qzs/4fgTVldfc/XIk/yzb7gWg9l/XD+dTflM2ezJZePg6EcmXmyznSsDDsrL2KAz5jWMmdVirMiH7PhgqJ/NPMTHezpWZJOmwDnUr0ye44az/qSv469MzpEHJ2XAwDXkI4dgP8tlz2Gc/dd5+YmDuTo60I8X8ZXthBNPGOWTBxkE6ZBJfOVjVE19OSLs/FEGm4CzOMKUbXzZ+SNNr1i59LJt/aas6/Uv2mSjzOTEUnmkSzbpOoa1jYy+u46BqY7IQXcJ4pNf2xBX/ZAXR5vrMJjXyWMYeotjQV514LpZR46JLy/5qAfnpTPrR1+b+lv+s0zyE7Rd7Ul858ivrOqVrDPIQxx1KR1pzvYpz3X5tRdp4imQU3CdrRCBCEQgAhGIwOFNgF1pgoldwO5gM7MD3N/dy9kI7u/T3mXXmVRhD0xbd7nrLzf/bZ/68ssuH79jyu7xlAyb47hjjxt23yn3OmW86pndc/kV20+Vs0XYONKTz7QhfHdcHrZp60mXnK5jB0vfNWwqti/7ZdqVfrND+Y7Yt20XKSM/XhnYbNJ13cxz1pS82XvKKa4gPnlM7rB72EbOs5Ow4l+QhQ1rw9BGlmFnLSaShUTT7yCL69ibs9zycVze0pCHa6U7WUjTZzK7bl5L5nWbWz3Km9zT3rSfYXeZ53F7acqTLHhPO5b96Zw02ZXSI7/P0pthfr7qyqvG7zQrC3nIOLm7zvXysc2ySEOZ1a96xpddLEw7mC0/85QmOdUFWV072cw2+//ZexM4SY67zvdfd/Xd0z2XjpnR6JZsyWJlWz4wmMVrs++xBhuwuZbd5QE2YGNzXwvYXMv92Lew4GU5dgHj/TwWWANmbQy+8CFLsi3LkkayRtJodMzZM9N33fv7RWZUZWVlV1f3VHdXd/9ipjozIyP+EfHNrKiI+Ef8g7LJjP0KpknlknPhO8s2tO+PMqznxufj3z1fJsZjGH/tj4FA/RWB9RFw7xQqUY4xsG/Gd5J1Dd9Bvo+8z+8JHd95OvbLGI7hqfTw/X4fjmH4PeL3j98N1j38fvC74N9txmF4/11hHDp+1zmpkumyXuX3gPG8bD+2QvnMH/Pqv5OM3+17QZlUkPC7ze8jv5eUS39+fHzmgUp2lpfpMxzlsj5gPe77yQzPVUITkxPNsVbWhZwMz/L63zPKPnf2nJsI68c94vn0dSjZUonF3x2GoT9Zs9xRf6cMQj1CtiwTj6yfOBbmnZfJuCwHP5TJsKx3WI5rrrnG8fN8/ZgpGdEcIus41plkwHvv/0BgaYv8OHmYz4byWUbWk3yulP+Od7zDmfmn0owrOCmDzo0n8aRVbfOq63NzAfSnrwSkYOorzv4K85URj6yEWPGwoqRmm8vvuWTQa9/5peOXi7OP/OwXhucXvfml7m/2+iItXgFSKBugtBvMDU+59JMrfnyFxgrVu6S4/p4/kl1P4aitd7Up66R4rdS9YkqS75+dz0c0jL8X9fPhePT3o35J5yvFTworv91FwL9D/hgtffS9iZ5Hw6zl3Hd61hJnI8IyH1RusbHCcrFByE6vL6Nn4a97zUO3eLznPjBl55TM8aoD+fCumxwfJunYS369bH9MkuP9epHnw+rYTkDs2nnoSgREQAREQAQGlQDbROv93fZxeXRtKwx+NQevogVGM89PMoymFT2PBu/HuesLIz8ujVYzsx+ie5bhmTCCL388smcY90+6dvKSbvTRrx/PxJfbjRrg3aCLlpNpDPK4Sy84+8Gpl3QURgTWSyBaX0TPvTz/Dvuj91/v0afRL3mr5YPpuU9sfMGn749Jcnxek+6t5rfeuD4/643PfDEuzZbS/CEV69x+hdZfjh4N9rPzirkPw+Q/zYxSKfVN3/RNzmwflYFehjuJ/fH5i3nrcoMIBE9jg4RL7OUR8F8GHvnhF48fapC5bJsmpLhskBp+Omr5qZGmgonhOMOJJqi2U0OHWmqaH6AtbGrCubzbabVRPpbJO8/GX6907Dkc7Q7HFUsrCe3Bv1u63e71IFpBRGCgCAzK+8x6jjNdONuHX2Xf4Y3WGwTH67XkuaewPXTwe5IzUE9WmREBERABERABERCB7UngctpdiXF7aOttNKlmG3aL80I+VLJ0c4kMu0XYBvdcmdjH6OOYwSAVeyc+s0Hiq7xsTwKuvouMQ25aKVjPh3X9IH8342Mta+aD9QPcv/zo0aPOehXHgrkXOce4aXqUY8JcMcWxb1rx4iommkKkeb7VlEtrzosiXDYBKZguG+HmCeDgKR2/fK997WvdXhJ+2SJnM3EZp1/pw9VL/MJtJ+USy8bllHfffbf70EYqV2X55a2+8hrkCpZlkBMBEdhcAtG6wW/06GZ4JmQj2kjsV13ilVkJyclLBERABERABERABERgOxOgUgFKFbkWAfFosdCZCIjAziawFfUdxxe2It3NfpJu7AY7h3B/OFqgoSk8WrPiSiWWn350XMVE83ncR+8Nb3iDGwunvx8H4rnc1hOQibytfwbrygFN5nmzeQ0sn+SGaXRUKNFcHr9o2+HLFq80aRuUdkkffPBBt9kl7aN6m8h+wDgeJyj51v3td356fW79TnfrCCrlfhPw75A/RuVH35voeTTMWs6T0lhL/H6FZX3I8lAhzTyxEcLzuJI9Wubo+XrywXS6lf9y5TNPvcjwefDHbmXpRV63+Lv5ntjt5qevsouACIiACOw2Aqu186I8om2E6Hk0zOWe99LOu9w0tiL+ZpRro57JVvDaqDTFaKPISm4/CUTri+i5T8O/x/7o/bfLkWXy5UoqQ5KfL5uP56/XcrycuGtJJx6WYzVMm5asuEiCK5S4iIKLDo4fP+725eI+hLTgRSUUVzX5vbn8Cqa4zOh1N17RcDrvDwEpmPrDccukRCsCnvMTH1DdssytI2EqkVipcPM3brbuK41BLpMqrXU8aEXZFALR+iGa4E58Z70C2tcV/tqXO1rm6Lm/v56j5+uP/ZIbzUuvMn0eonF13l8CvT6L/qYqaSIgAiIgAiIgAltFgO2rbm2saNsger5V+d2O6Xbjux3Ls13zrPd3uz653ZnvleqN7f4e+3LxmFSWJL9+vAE+3X7IWo8Mjt34PHCi8KVLl5xyiZOIOSY8PDzsPlQueQb+2C29XsJ0i697ayMgBdPaeA10aP+FHOhM7sDMqdLagQ91hxQpqU7Yqe+rL2u0fN4v+jij96P+6z1nGvz0W67PT69yk8rqZejYHwK9Pov+pCYpIiACIiACIiACW03At6/8MZ4f3zbwx/h9Xa9OYCW2q8dUiH4S0DvcT5qStRkEkuqOnfAes1z8JJUlya8frJNY9kPuVsvYKF5bXa5BTV97MA3qk1G+REAEREAEeiagxkPPqBRQBERABERABERABERABERABERABERABERABPpCQAqmvmCUEBEQAREQgd1KQMqt3frkVW4REAEREAEREIHdQEBtvd3wlFVGERABERgMAvHfnPj1YORSuRCBdgLp9ktdiYAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiEB3AtqDqTsf3RUBERABERABERABERABERABERABERABERABERABERABERABEYgR0AqmGBBdioAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIdCcgBVN3ProrAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIQIyAFUwyILkVABERABERABERABERABERABERABERABERABERABERABERABLoTkIKpOx/dFQEREAEREAEREAEREAEREAEREAEREAEREAEREAEREAEREAERiBGQgikGRJciIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIALdCUjB1J2P7oqACIiACIiACIiACIiACIiACIiACIiACIiACIiACIiACIiACMQISMEUA6JLERABERABERABERABERABERABERABERABERABERABERABERCB7gSkYOrOR3dFQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQARiBKRgigHRpQiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIQHcCUjB156O7IiACIiACIiACIiACIiACIiACIiACIiACIiACIiACIiACIiACMQJSMMWA6FIEREAEREAEREAEREAEREAEREAEREAEREAEREAEREAEREAERKA7ASmYuvPZmrsNJMuPnAiIwMYQ0PdrY7hKqgiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIwK4hIAXTrnnUKqgIiIAj4JVL/igsIiACIiACIiACIiACIiACIiACIiACIiACIiACIiACayaQXXMMRRABERABERABERABERABERABERABERCBASLQaDSMn1Qq5XLljwOURWVFBERABERABERABHYcASmYdtwjVYFEQAREQAREQAREQAREYPAJ1LtkkcPDwRBxl0C6NZAE9FwH8rHsikzV63VbXl62oaGhZnm3lZLJW1jwlZ+/Zmm8X7NkOhEBERABERABERCBwSAgE3mD8RyUCxEQAREQAREQAREQAREQAREQAREQgXUSoDIpk8m4FUzpdDDUwRVNciIgAiIgAiIgAiIgAhtHQAqmjWMrySIgAiIgAiIgAtuYAIek/GcbF0NZFwEREAEREIEdSSCuPCqVSnby5EmrVCrND1c1DbLzZv1g2w+NjvDjWx8pXPuP93PHQS6R8iYCIiACIiACIrDbCEjBNGhPnCNZMUevlT6xoLoUgU0n0PbK+hd103Ox/gR9lpOO65eqmCIgAoNPwH/rk3Pq7/pjcij5ioAIiIAIiIAIDAqBmZkZe//7328LCwtuFdP2MI8X2L5je6PlfOsj6dgKpTMREAEREAEREAERGAQC2oNpy59Ce1PSZYdeoY1lbFHaNkcJ25Y2rzmZKdAQRg0yx+RFb61W1ljUxOC9yutFFhJolSYxtdCz10QZvJeEe5XXiyym2U95vcra/LLyfSPeFMvLbKaDd9NT8veZs56RuMAb/cfnEOlETplq5/cr8A3ey5SlfXnp3XQRIVv1uJiXSDaaWYuf9DN//ZTFfO4UeZv9HDaAXW/18FoSHhQo8ZeM33jv6vgKhflsoFbjx20IjhD4j0t/10doXrdkNG/pRAQ2kUAv3681ZIcve99cl7xFkomG8ueR26vnxkdaPeTGhXAZXlOuV8lLnwvV1+fKrHfJX4ghHoLXayIUF7AKMXe778+hl0QVZlAJcKXS2bNnnYLp9a9/vU1OTmJBEH/78aKs5/1aZ0F7SartuxFG6PBznatoJtpCRG/oXAREQAREQAREQAS2lIAUTFuKn4nHmqC+3Ygj73AAjI1i/uPi/hqu642au4nhb/xjBB8piAGPiKNfD2YBGlRVeTmR6B2nlBXLc0cYZr6XxXEsXbUjdqcHZPUiL8W89VLWDML1UNYUOPdUVspbzYGZk7dauD6X1ZC3XgYZVisrZDCIU7jgPA3b5g0MxlI0iZOmu+cv+Ir0gHg1Gpd/nxmJvK/MU3jJvAcDzPwmBcoyDjnX8K/eqGPAOeP8g2L4wsTeL1dOvierOSbWw3eCZjHce7yaPL4nPk/dwjK/kfKvFBRlXdXtmLLyOfSL3aA/197KGtTDq70nLGsP7wnft62o61ze4s81/p2rW8YVE9/0dN19z1mB4esO/7Rl0tizAR+nPGdxwy+Fl+qv6e39wiA6iMCmEQjMQUXfxstLOtXLb1PPSTBfK+QN3v5nqx75fnnRHEdN+l7FpXWMt3oBm31EYXx5+pM0Sxov7folb9pzjeTatThiD3HDnyuRsd6W2/UEWDfSLN7S0pJVq0H/slYL+szsMSfXMBuDrZdq1TX5w698ML8FXx73/Qk9GcD1ssJr3kzpXd+YJyapIiACIiACIiACl0tACqbLJdjX+Gg4srfabGyyqwbHa7SP2eZMpdBERhgsHsEGpvSJOhci6hGexwfZEoK4lm2Cf4dXPM2OAIFHz/J6yJuT2Eu6vcqiwH7K60UWH2KvnYJe5G1BWZGk032wKHi+HDRwfR9qllynp0esCLZljj0+n92wZ+eUTDUwh79T5aLzlsFgdRbfr87XOIl7L88LJe4UtgKGpDTiQZFmT8n2FGgNeeu3PJW1/clu1XPNtWdjxatenj+/YANW1zFLzkGB5I5pVw24n1B6hNkNvqLBM+CbGf4Cuxj6IwIiIAIiIAIiMPgEuBo5m82i2Z1CXzn8gWf/eoX5YP1UWrvmBpsRkQaEU0SzrRF17HM02ybhDX/tGiPhBQ/xuFE5OhcBERABERABERCBASEgBdOWP4hIq9G1QMMMhQ3KFAfBOfhdCRqiGTcey2FwXJfxJ9KAXbkokTRWDtTjnX7KQpLRMveYg5WD9TlvfW3RD3LeSDQhf3EvvpN83/gOcjwatUca5zQt5Z2fsRcM1HrfrTy28taWC//94jAz84+Jjh+pHHODzq588G52/BhWTgR2MoGd+o7Hy8XqwFcJuPfK9M3BU6Uf6zWOQ/HDa9x39Vg0Dry986K9OO+vowiIgAiIgAiIwOYRcCbwoh0P/EDXq+iw8IfaL5fEj/WKi3/8D3ofspzYJkjwdNmN9uEjeXCT99AQcWZ7MbHULbNudkr6kEmJEAEREAEREAEREIENICAF0wZAXZvIhFYnBTQbxbhfwuUcjBgt1G1m8ZLNLy5YrVKzLE0CRQb3g0jx1CMt1vitjusV8tIWrld5PchyLehe5PUgq5nHfsrrRRYT7jV/vcjrVRbT7ae8JFnw89lxt9OWzxRsdHLYhvcOWX4Pqo88goRR+SryQzNzXCfgozKnW+tiOQnz++HqMXvn0l85jB+uHdvaLCp1ERCBLSHwkoWjdtfCEcvkMvbT9a+xYdRvuRFo0PGf4zquImMV4qsRHFmF8OO9cConAiIgAiIgAiIwAARo5rpcrli5VLFSiZ1o/3uNvknYB2A2w+mawQ96P/MdthPaRMb8XD5cYyIwtZnPw1g3tU5h/pwCirP2uBTKNUZ4VKujjakuREAEREAEREAEBoqAFEyD9DjYrsTmpPykU3g0WLlUW6pb6VzZFp5dtKULFTu3dN4uLsxZrVqzfIrLSKKNTddSbS9R06ZZu3fHlVt6EpXVESLw6EkeC8KRudUcjJNxP6lVHfPVm7xgic1qAv2o4WrhOLUs0hNJDN7vvPVb3mWUtdn7YcHZKctYPl20odGiTV01btPXTNjI3pFgNROyzZzXoTSsA1mw0i4R2BZ7Bt+Rd0Cx9M7S/2rm5c7MUXd+Z/ZI008nIiACO5vAfdUT9qmRJ9yHJS2fLNuPn/6/bWR61IrTw5YfwYBPjpUbfqvwSYUVm5/XwdqE9Z6cCKyHAGfd85PGUmA/A5/7hfBDP5p2cgOO6xE+4HFc8yL88vB7JLczCETrww15rvzOOFRB7evSc4PyuNbg+854ifpQigY6IpVy2UpLJVtcXLQ6+9X44U7XsbcqVzQ1HVVM0evmjcs+ce9pRDSv3Sf0Y36CtNHXh1+9mEW934rA1zlX9NP16B/e03t+2c9GAkRABERABERABDaGgBRMG8N1nVLZcapZtVayXH7EGosNW3x22c48dsFOnThrlVrVhqdyNrlnxNKYbZ3u2NSWHSzXpI2k34uShMEvQxERSS04ZSN4dYUQS9uzgqlHhVWb0euOfIUeW6RM6ylv7EAMTFmj7xK6QeiYleYr9tyZ5+z8hTO2PHeFXXPLEcsfwDKmAtjysaOXlGG7fVkAAEAASURBVELHzk22W4n/Fvp/uPqwvXPxr+zD1UeMSqU3FV5pd2av2cIcKWkREIEtI8B6C+5dpQ/Zfyl92H7r0Mfst+xj9ubHXm4/efbrbfromBWn0EzCPnOc+JEqYqYxTehhYIi1oxvucX8oRU4E1kaASiWvTOI5N6WfnZ21CxcuOEHFYnEFBRPbdau48Oe7t9dz9fbaKqlFbjPhMPGIL0+dbyxD9PPjvdzOMXY7JiG87DVccuy++Qbl6Se7Hp4rc99z+UmzJ6I9MGFpXYk7wjZ9I0nRzz9Xdkt6ooRwERGd6eA7gk4D/nOdPMJioB0qA7Q3U5YvFG14ZMTtu0PlLPffkdu9BNi/rEJRX6lUbGl50U3KzKAPk2/k0Ufp6W3sCzw/GSUqrBYmHyiYcIEOE7/55WXYwodz31r8YT9qz95Ry2R9fuHJ74BXMHX7sjhJ+iMCIiACIiACIiACm0tALfDN5b1qauwY5fO0O2Z26elZO/HAs7ZwoWT7rzxgB6/cZ7kJbFg6ji4VFo5wD5wOt90anOwl9uq6hV1PuVeStx5ZLEM/5a0ki+msJ38ryVuLLMhozJstnS3byceetjNPn3OzAm8oXG+ZKYy60qQUOj9pdoDWlUkWbmPdV8z+skvgu6BYelPhKzY2MUkXARHYFgRYF/DjFU2/e/3H7egH9tjrSy+3K2+dhknQgqWyqCzTfgCYAz5rqTy3BQZlcpMJcIDcb0BPRdMzzzxj999/v33iE5+we+65x/2+UvHET7vjddyvPQSvOuYbdQYJffwA5ooB1nCje96SBlyjiohevlW9l2sN2V5XUJq26iXHvQrvzs5L6b38O++5coWHW9WH0fdAwQTlUj5nN91yq73mNa+x6667zqamptzHhfPQdNz1BFKYvEflUrqnSXz9weVbDFFpGe8ZmezIbyrrwWYNgC851rVaAzonBnN7R/FmX+ubaK50LgIiIAIiIAIiIAKXTyBJRXH5UiXhMglgsL6csjr2XMJONxjcGraD12KQ60DOOPGKlvFqaHjWSphRzQanc81mqfdYy+hCGCds3bYktJ+1Emv3X+kqaSTBh3VJ0Zyab2n7G8lHzlPsurIHpvs4Y61X110eJLmyrkFepKPQkQfIQkk7vFfy6J43xNqUsraXHfRdpywL040cbD2cudqGhot2+sxZm3lyziZtzHKTWFXHbcE4GMbojDRA7h2Lf+lyI+XSAD0UZUUEBoiAVzpzNdN7/tn99jWPvhxmalGZ0ZKrG6t1tTMXMA1a9TZAFJWVtRDgpCK6T37yk/bRj37EZmbO24GDB+3Nb/4um56eDldixH9M+QO7ugskrxYOsru11aLRe2oDds9bUkuI5qHomN9ekuitXE7khv7BWm00deLPJinJ7kxaMXoL13P5ex1I7wW6y+TK+Ut6rn7AvNfnSpKr0aTSKJfLuZV/5RJMoMEMGk2gffZz99v7/vZ9duTIEfvSV3yp3XXXXS2sOhOByKu7WQomvv9clRlJOmg7NP38Gx+89fwaBmeMgX4jDosw8TecK1iW5nl503/5g4B6riIgAiIgAiIgAiIwUASkYBqoxxG0Hp2plFnsu3RhwTBkb2PYD2Jof86WM8vYiBzL+9HQZMOTHTrf1mwWo6PRyYZqrw5hO+LTgx/KWYssxHGd1g6BYWaodOldpkvZ7f8URu849C6LUfsrj7NYu6Xf7V5HQfqct/WU1dFpy5grAfhTuUmzDiMTRauWJmHOZ9GefeK0DY3nLTc8bFbEY1/pkbdJ3NwLKpfeiX2XpFzaXO5KTQS2GwEqmbg30317n7D/OPd++9lLr7eRPXmYpQ1/bfnThn+sEwewqttuuHd1fjlYvry8bE888YRbtVQqLdttt99md9xxh1115dUYSM82TX6tBxTfz1XfUfdz39GSTEgOAXtWRCREh5drR8Ru0c+vYOrVRF5P5YqlsxGXQXl6YZekfll/jnoqPzPnnv5qbwACbtRzDbPQz+caXc3n5jLhD5VMVx06bPfdd589ffJp+9SnPmVDQ0N22223Baud1o9aMbchAf4+s5/iHRVKfG/ox/Ngmoi/23l0vdOE70QK8VeL66W5r1944XOS5BfUigjhZPMQhuIB5sbL5ZLlK1lnJs+ZHqcwL9AnpqMIiIAIiIAIiIAIDAgBKZgG5EE0s8FGMWZLL8+WbH5+0Qq5Igbvhy2FvSKqVQzwo4uecooWNJYzOI+2WNnqbPPopRXaJgDZiF83c9blJCmdJL+oCDTTkVRvsz8Zb3V5Uendz1eT1Ut60RRWk8f7/PTKthd50fRXO1+rvOTwrgR4aDW8h+lcyorjBdszPWmPfPZRO3Ttfry3w8EiM5owWS1Lm3yfyiU6v0Jhk5NXciIgAtuIAPdm+67FJ+x3jn7YvvLJW+xrKi8yVGtwg1azbSOoymobAW46z0HP+fl5mMP7tJ07dxaKpRfYy17+Mjt06JDVa3UMnC+7fTg6J22s/h4yRFKotiYic4RA3dthvt2CgE2B3q+tSJGLZsCIXxA9SdUSfLeC+8kx28S4bPQSrj3WRlwxF91y4jlFw3i/lfITDZscJinV5OfaTfnl8wFpzSS9X3K6kYBtARidMaOxnchQLg/haVu8+EUv4aiU5V5lKYy2Z7NcNZ+1IpRJL7zzTmcW76Mf/ag9/vjjdvfdd9s111xjI9iXyZvK86sF4+nqevsSCCYq8t0L/rEkwXsUKIP4N429l6jFTtezmJTJ70SXtxFfJPcud3yhwmjso6/TddbjXhBrReTROaQe5oEpVSplq1Ywga+QiezFFAbVQQREQAREQAREQAQGjIAUTIP0QMIeWqOKGXlLNSvBJv/QSM7yQ9joGbuCFvJFtJHR+OVm42gCB43oWAGiPTx3q1tjOBbYNajDTETjxoLFUsRltzQ6Q7f5XEZjvU2Ou7iMfHQKg88gy9uavFUbMM6I9yGbyVh2JGtDY3lbWJqzWpk2pNDJQ43CGXi+q+Q8t/hP1DTeFmdFyYuACGwDAndmr7E7M0ftvtoTVoMZV/7udi4X3gYFURYHlgAVTGWsvJiZmbGHHnrQ9h/YZ7c+71Y7cOCgm7VeWl7CgGIW+zDRwG7w+9oqDH//29sAXCXSi8O8pA5Xd1PjO7ydRzjcGruZpCbyQTrz5u9Ej2z6JWQlGsSd86vXXtKOIFvmwVZ4V+Vc4qr7tbHrtfz9ea58Iis9lR6fKyX08MB6LddKD7eBerlSAcsKNqnByH0mm7ejR4/a0tKS+3BV4MmTJ52SiSb1qGRK8z3vIW8rpSn/wSIQqJSCv3V81wLlUSuPXHFE5VIGnzT60JkGjrTj3eUdcKspV/i9Z9/HdYBaSXSeRV7+pGSS/JwQpgnn6hQGwjX/OQVTrYLFTDnLtL2/DL+iNIqSEwEREAEREAEREIFNJ9BtetumZ0YJggDbjK7/iY4rOkQ1NDLZcA7cKjOvwlDrOrjGrWs9IzrT4ye8Zvr+sy7hirSzCKDb495LvJtpDHy595PvCh27RBiQde9P4KO/IiACIrDdCHAVE92nRo67oxvL0XhOwEJ/L5tAFsoj7h3z+OPH7czZM27vmIMHrrBcNodBxSoUS77dd9lJSYAI7GgCXAlIxRK/MxMTEza1ZwrfoYo9+eSTzt8VHk1UrgqU29kEnP2EiJKHpU1TsdRtn9wEJK4ng74N+9/88NopfdI47/JxpjkS5K3uFbybVFmnoTxNpWro/yMttDka7sgctPpZq8tTCBEQAREQAREQARHYfAJawbT5zJWiCOxMAm7W3eAW7YVYkSAnAiIgAmsh8MmRJ9wgT1TBNOBV3VqKp7BbRICD4jWsUq9Wa5bBrHqa8spin6+GVZEjzMZPc7WFH1DkGxd19Pf3vP9GzxeLp+nT7yVvu/0bE2PH5+rw9cJuo58r35+o4gUZY7Zig/RBhl2m/QuH42A8V+Yiw71psdqPCtp8Ie/M6F28eLGZV37f5HYXAWceD++oe523RdGj30OfYb63enc9DR1FQAREQAREQAQGm8Bm9FwGm8C2yh0bn/yosbmtHttOz6zv/7jXMjrLbjAK7vdfotkrOREQARHohYDqi14oKcx6CdBkFz8c+Oa/YOY9fkRxDe9QuTSobT3ki0oS5lNubQSc0nAtz3UtYdeWlSB0VD6fafR6PfI2Pw51R1TU0hWKBSsUCu67VSwWoXjKOEVurV6zVEYv7OY/nc1PkXVpCiuWXBXllgBtfh76kyK/i9vv+9ifskuKCIiACIiACIjAdiSgFUwD/dSiDcuwodnWOV1HZ8lF4R/Io0icuoEO7LLMpfmBC/WOnBFYw9AHvNM014fZtm5AwQdzgd2wSBhvbQdnuz6pCG3y1yZToddJIOk5JInis3G9Njx3F4dvTeuB8V3pmPiaJEd+IiACIrANCDgTeVxU4uq+bZBhZXHbEXBKJvx4dq6y4I9s549zpw/bcQja+il2DJLCdcBBxKRWnBfVahdGEgh+/BPz1iG/i0cv+UsqVxeRm3vLFaBbKSLMmLNmUJywYB5yl1y79nksXFNMl3hMbEueK4vWNV/hTYaLlauXaIlhwgRT6MdQocTVf/47xfD0oyNLud1BIHj3/Xdg7c896buz+eT69QXZ/JwrRREQAREQga0nwF+Rtf8Cbn2+lYPtTUAKpoF+fqwWwgbmOmuH+GA/O1i0U85+VhoKJBh7dsoj2JZwCiR3D6lyf4BMNuM2N6eSicm7zhkFxvJyOQ3xpOZzTPxAP6Gdkrn4e7JSudyzoTIyfEju6F/TpIe5kiD5i4AIiIAIiIAIJBDADyx/lBPaWy4wfnjDn+BmXG5oH/dE867TxSMiUlyai9YM50/o688pNjyPenWmFvowUHvA9qsVIwY31hR4FVl9vY2M+cbQinLjmY9cR05Xih7M52kP2NtzJfH2eEyj3vTyJzvjuWZoUpLlQ/+GH06I8/sy5XI5d09/dheB4A1P+haszIHfN9cfwh//DVk59A674yr+WJl2HYRY+XUpAiIgAgNBIN5W6y1Tvlp3VXnbRW/xFUoE1kNACqb1UNuUOL4W4NGfX37CnNVXKpWgQILN/7Ehq2Oz0spixebn5mx27pItLy1C4ZSx8fEJGxsdtcLQkFMs1aoVZ9uce+RylYqcCDgCeBeCmaIhD17TjKM6JXpBREAEREAEREAEREAENpFAdPXSJiarpHYAAaqV0vW06xs3+rbEbsDARPvw6qsN2MNRdkRABEQgToDbo3i3zko7Wu97UTqKwAYRkIJpg8AOilhXDWGGJTtc3g1BaZTJpqFMWrYnnnzSPvOZz9jjjz9m586ds9LysmVSaRuFcumqq6+222+/3W699Vbbv38/mt3YlLocreS8RB1FQAREQAREQAREQAREQAREQAREQAS2lECr29+eDe/PAQKer3W8Mho/lMyRAbeG0N+j/1rlhrLcwcu5HBlReToXAREQgS0h4MdNWZmtp0JjfFaI/HgZ4VYm8FndXW76q6egECIQJyAFU5zIIF+72VTRSqZ7ZoOqKKjM0lAatczjpez0c6ftM5/7jH3iE/9kDx172M6fP2fLy0vOtASrrWwma8MjI/aFLzxgL3rhi+ylL32p3XTTjajfaMscc7zCOrJeDzek7p4V3RUBERABERABERABERABERABERCBgSPAPWVp2WOrVy/R5CkM1Vu5wR550qBkkl8MJwcBkpwfb+Q9iuk2VhmV4YcffLxgkIFXkBMGdAcIpdzQy91f659o3B6KulbxCi8CIiACm0UgWp35NF21lnTDB/BHFzCotBvOfmv4i+D1Tj5c9Mg44ceP1zKqr6ajQXUuAhtBQAqmjaDaN5nxmofXcb8gsURfV7kECiBufstKJoN9l5555hn7+Cc+YR/8hw/a8ccetYuXLtnExIQdPHCF0VZ5vV6FsmnZLly4ZF948CE7P3PBzmJ10+uyr7NDVx+xQrHoEnWroiATFs9RjzGxtbnEPEPESv5rk67Ql0sg/hzW/oQvNweKLwIiIAIDQICVYfhxjfQByJKysJ0IYNgysorc55zmZJ1JWXhwYNON9HEyEP+hweY+rm3V/uubuAePFxo5ur5o/Ic8cj84ZYDoiCMu25LzAqKe3o8Sov68TnK9hEmKN9h+rlTYv7Q35xl4dv66e+yktnVvz5VyY3lrSzIpH96PcdsC02NwHbIdzbk3kee/czw6jtuoSIMLe3vnjDVuV+dH4PzRB+aXzn3xvMcGHpFOup7BYGDaMrVscN72fYy8yN2Kw+9FFd9kBsfc0Bps3FeXK5Abxscxk8k4iyZOfD7cw4zfFwThPedq+ItPo4r4pZpVG5ABM/upTM5S2PssncVezrlqsGUg8u0ih0nUESdVwwU2f/PKuxTY4mfOfSdR0lZ4xsGnVm5YebmG/FZtZLyAdJgLX1AEYLgOl+jZEUoeIiACIrCZBFhz8eNbY2yPVDDOWl5YtnTFrJAuWKGAyhf/UelZZRmVNurbTD5j6SEM06PB30gxNutQyKmi3sZ9/kTVFxu2PFeB9aky6smM5YsZKwxjagKsVLmJA4ieKqYgrorY6Fu4RQKR2lTVJojKbQQBKZg2gmpfZPoqyR9XFurbitEQjBW48Ayd4AZajPV6zR489qB96CP/aPd95l6ncJqenrYX3vkiu/boURseHnKNuouXLtr993/ennjiSXscZvRmLly0ickp+1df/dW2/8B+S6NR2RovQRrrraSSMr9eWb7IOvaFQPzRxPtbfUlEQkRABERgwAm4wf/wp9jXi/qZGvCHNkDZCwa6W62yZtbQiGqwbcbGFJtRVCqxE8jRveB/cB5vYDFsU8jKJy7FXgI2B+9WlhXc8cKcZHjx2vutFncn3qfiohcXDeXZMV7Uvxc5QZiNe66UT+nM1/ryRglb4sIOSfBdi+UARWIdnqSsi4XU5Q4nsO6VSXiHmoqZDWTkvnlQLDEtvstZKJgyobKp4/11FUEkM7yO+lFYDVMYYGmk1qhZqVy20kLJyaYst0oqlcWezFBiZVOW25PDOAG+KQiPzZiDKoDjmmUMZC5jv+YlDIrCtP5yfdlSBY5c5jGQmbP8cNoKozWMJyAOV1y53zWOlSJNpJeuYKAU/nXIrqVrSKNq+Xza7eucSudaaSGpKpRgpcW6Lc1j0LSyBEsqeaevQmZxlw5H/j52uCS/jkDyEAEREIFNJcCaK/qpo35cKlXs1DOnzeZrtnd4yvZfsRfVKRRBSxWbfe4i6tqKDU2M2MThKdSPrISDFoxbwQTlUn3RbHF20ZbPlW3+7JLNzy06pX9uJG3F0YyNjBRtBPGH9mJBQNhpdXlg9ckTVNNNp6qziUIn/SMgBVP/WPZZEmsAOlclBKdr+BsdBGNjscZpTFAKXbx4yR7CqqTHHjturOQO7N1rd975QvuqV7/Gbrj+BqdgQjAXbu/0PrfS6QGYyTt1+pS9973vtTte8AIbGx+3YrHgcpNJ6xVaw2NRUBEQAREQAREQAREQAREQAREQARFoEqDSxyt/2P3nelasEYKCZpVRwPiQAYNzEBGrfzhYuTCPwUhYJuEkU7pUnTIRAEMD0D1ZFgqjPcVJy41AoRV074PhB8ywr0PhU56FZZOlspXrFaukoXGq4VhbcquYhusYxISCqlAoQBlG2YgDRdEylEsXL15EFjCzPpuHEgtKJkyoKFeXbGgYK5OGoNRCulzNxLI2IHZhroR8Yr49Vj41V5utUnRXIP0RAREQgYElwEosWIHklESllD375GkoiOatcUUN+9zvRV2NlZtLJTv91Cm7dH7Opq7aaxNH9gQVqisXfgvwO4AFpLZ0qWInHnrO5s8vuBVPdSjzcdMWTi/aYmnBJsdH7bobrrFr9h1BqhCNsVoM+QZ1uupTR1N/NpaAtAMby3fLpbNCYcVTq9dteLRop06dsjNnzrj9lkaxx9Jtz7/dvuEbvsFuuPF6GykOIzAiQL09OjZuX/3V/wom8/K2sLBgS4uLduzRh+3EU0/Z9TfcAO34iGuochlmDQ1BOREQAREQAREQAREQAREQAREQAREQgU0iwEFDDiBSWRM6roAqQSk0NzuHPjyUQVj5MzQ05O7C+J5TMlUWy7aI/v3i8qKb7Z7GfSqEnKO8ecyUP79sZZjGa2D2aQ6TS2FJHyaXKlAIVaxaL9kSTDpVzpsNFWs2OjqClUkZrHjCYOmlqi2cX7LxvaNWHCtYsVCEeScMgs6lka+S5aCNytHiPpODoou6r4tnLmDlUs3GpyZsemoKs/JZMI0xAIKcCIjANiTgFPmoxlid0qHmtREr2vLZks2cvmB7J6BEyjEATOdVGjY/s2SL+ExMU2nE2i9YKe/kwNwoJww8ffxZewaKqGJuyA5esc/2HZiwockhe/rcaXvo2MN2EmO9dYS98qrDlj8STFpwOaB9bWbEmdzjkT8YrGPlRKC/BKRg6i/PgZPG2UL80HEl03PPPef2U+LS9QMHD9odd9wBzfl+NPRyaECWrYIGYxqNPTZCxyfH7JqjR+zqq662Y8eOYcV82k6ceNJmZ2dt7z4s50SDUE4EREAEREAEREAEREAEREAEREAERGALCPhxwnAkk6bxZs6fh4Jp3u2vPLFnEoqbMZcxF5T7Ii0NWfFCwU6fOmNLMH+Xr8LsXS6DVU0Nqy7W3EDn+bMzWHE0apP7scJpHNHzRezHVLMxyC+Xqra4sGgLs8u2CNP6OZjMy41jbyYMmGYwZlCHsqhGzRHM56UhFzNToZ1KW3mxatUCTcRi8BOZYV7PQ7lUwR5RY5PDNrV/xLLYO4T7NcmJgAiIwLYkwOoL1R8dLYg6dQ5XjUJxPpoet3J22Qp5rOaE0t6WsAq0MWRD2TErTozZwamroHhnvYnNmSgH9TUV8eWFsn3x0cchK2NX3rLXrj6KMdw8V56m7dD0fhvfN2IP3veIncIKqYcfetSet+86S2H9ACcYpJ15UQhrDuBSu8+P+0XAUU4E+kNACqb+cBxYKTSDV6OCCfUJG3nnZ9DYnJuDtbyU7ZmchHb7ShsbHUPFgz2VoNHOwBYz9wTgqqQsKqzJiUnbCzN6w8PDzk4zTeyVStiYjlpv1pSu1sNfLpWKOLeHQOQ66ZQxOlb9s95LCiw/ERABERABERABEdjxBNgKYgPLdUcHsLRqpa3/oXh2/rh+SRsTc1DztTGllVQRSCJAE3ID71b5qjrdDAcVYWkkP5S34hBXH4XDPq4DjhKmMrB2V8dE9rQtLSzZSGnY8liiVIffMjahP336NIYfs1YcwV5L2NsjgwVQNLOXLmLQk7IzZatBKbQE03kNmNyrljDmAPN46QxWO0EOFU6VKlY6waSe26geY5kcH6DOiTPsqWCqVqs2e2HeKavGYYJ/bA/ygM3q3bgn58eyINHBAn8u5dPAv6LKoAjsagKsZ/FTUppBHXmxhLoS46z1vFUraVueQT25jH3vZhp26bFLTg+1DEX9AsKlse/epVMLtoD97iYPTtrwJMyPFlBXluq2eBH1abWMfZv2Qek/ir2aCqhzsa8Txm0LxZzt3b/HxidG7UT5abegoJ46CgumXDcFB0U+duXDCTPGv6xbsULKKZ6cl/6IQF8ISMHUF4wDLAQ1ShoNRzYeUa9YuYLGYK0abOKJbGewXIkNToZx7TgWBRpyVlYpLGWnHWUursxkUDlBKcXVUK5CQsOOSiX/WS+BoIprxXZ1XNyzdVtnIiACIiACIiACIrCzCfhBtIEs5SojmwOZ50HJ1CCzG+S8DcrzUz52PIGBrnt7oM+vcdiPHh6Fybtc2rLs50PR5GfTOylhmAY2ka9gbCCLQU0Xj/4cEMCHyp/xyXEbHi9ahvvFw8RSYxlH7NOUwggSVynlYQEll8LKJ0YpQ8EEc3pZjBlwRJMTVfMY9MxQsYVr9vEzGcy2RxyOP3D/p2VYTuEm9cNDIzY2NmKF0XBoqjUO6rLbUjKxgN5pwMCT0FEERGCACPiqCceFC0t27vEZWzi7hD2UYDGqnLVLZxage4Jy/dScPVF50kqpGvbKW7JZ7L9UwEZ4y+WSVU5W7brnXWu5G6ZRh1KpD2t6Qzm79obDtgeKp5GJIdTJKDOrRIzb8hy6fSwCoFk9ThwI6ly3KIALAfhxA62MBBf+1nEsV0qmAIn+9oeAFEz94ThQUnydxkyxzuGqJM4kqqECGR0dtXwh7xRNFy9csLPYj6lcLsMPrUVE5MwkV9EgJhuW57G8/vSZ07CxvGSl5bLbe4nxUY1BCRWGleZ7oJ6/MiMCIiACIiACIiACIiACIiACu4cAe8DRXvB2Kjl61uhPJy3MYZ97rY5d86GRYSsMF4J+PWw0NSjc99mdEgn7fmAPJe7DNH3VlOUKWSxqClY9FTAusGdyD0zmFy2dh7BskIcaVh5ZGYOYFIURzSyURdlM1Y0RcOVTnauYsL9SuV7GrPuMjY+MOzP8JZjhb2AGfhVm8orYyykP5VOtXLPl+arbD2pscsTywxiW4sgUk+KHgxhyIiACIrDdCLDuYh0GJXq1XkV9WLLF8rwtzUGRdCkN06LYZ2kC+9XlazZTvmBLGIu9eGHOaksN2zMG/2zNSvzXwMIAKIuoC8oOpW3yqhGb3HeLU/LXUSfzk8ECgGHUp3SlWWx3gr338vm07Z2eRN3KlaKs7LlcAEIoyGmlGJqZlBOB/hOQgqn/TDdA4soVQHSilWuPxYJSWe2Wq2OGUhYbbx6++hDM3u3BcvW6Wzp5/+c/b7ff8QIsfy9aEY3QQh1L47FyibaYa5WKPXXypB0//pjNwjTeudPn3H5ME+MTbmYTFVFOOZXPS/O9AU9dIkVABERABERABERABERABERABFYiwAE079gb9stf/NH7hTO3fdABOnIlEQck3b5EbQN/8OSYIP65AcJe8ozwKaxYwlohmKvjBh+IDzMmdSh3aI2EjrKoXFq+VIJZvLyNYPVQNo+wbikS89GwfLZgi0uLloFiKFcfBtW0zc1j8DKdw5gBJq8iDa5IKuCzXEM4G8J4AMzucRP5YsOKWPa0ML9oi6cWMVF1ERNda9hzpGgH91/lzOctL2IwdLbqJrlmR5AjTNJ3j46PzTuvEHPX7YMcfKr8eMe77SH8HR1FQAREYJMJ4OeGC0Onj0zZPijwWS2WF+p28u5l+/jdn7Brn3fEbnv5dZadSjvF0hcfPGEnjz1jVx7YZ8+78wZrjKegYoKjIglVM3+9aF4U1SzMlKLuQ2XH+o/7O7Hia8yYPfPIabt49pJNT4/b0WuvwthvCvUuTFPxtwC/CG4CQ7TSxJ3EWQ30lxOBdRKQgmmd4DY+mm8ihbVGJMFmvYBbSTOdIkFdY5JL36kIGsFMpuuuu95uvulmO3HiSTt39qx9+CMfcpXSv/yqr7Jbbr3VrXCqYLn6008/Y/fde4995KMfsRMnn7ISZNx0y02Ie5ONDo+5hiptLMuJgAiIgAiIgAiIgAiIgAiIgAiIwNYQoFaCfWb2kn1POXq+Nblaa6pUJLmVRsg6jdLDVoj7t1Y5DE/z9m7gkbNNOWYA5ZLbXyrEMrcwb+cunbepg1NYPZSDMor8ODEVK4sw0XR+fs7OnT9j0yns1Tw0jVn4DbsIhVSmnrW9hpVJYxzpxIonmNnnPs/caD6PWfZ1KIUa2SEbxTjBwvll7OdUdoonTmTdv2+/DcESyhL8FueW3ez7qT1jGPxEXjl4Gn1kVC5xFJXZ4of36OiNg790fvojAiIgAgNEwJueo+LcK8/r5bpdmJ9x+ySNXTFimTFUZtwHD3XvYnnJmTKlCVFUwTCLB5N4GKlvoI5lXYe1AQgXTDRg1VivIpBTxmetAfOk5564ZA9+5mFMCpizG26/1kYPjUE5BROoCJdmPc06lI5xNITrUOjPxhCQgmljuPZBKmsB/4mJg7drVPGPryxwmtTQyqBxl4EtTzYy2b7kkveboCQ6CaXRfUv32czMjH0USqSLFy7akcNHnBKqilrt/Llz9vDDD0PR9LRL/NZbbrFXfvkr7TDC0NxenRs64T/ly20/AnHFZHQlnC9NPIz311EEREAEREAEREAEREAEREAEBoeA7wnzGP9EOsyDk+G2nDjFElYysYvNAcB6GuolXqNDFuyrsUIZfLHbpOGC/hhM5Ool/uNeHByYXF6AoujCrM3NzlluPG/D01h5BDN4VBJxZRP340hjj6RMOmtjI2M2MgTz+rkClFM1mF4qYTCTI5QQDlnsP1IJBktMbpyBnoiGvZk4xJS2IezflB3GbiONcSc7my7AnB6MPmFS/dBY0XJFpAeTTUvnsOcI9h2pMg+Mj9VUxWHsIVVEnjEL3zkOZAT/nRKOZWJ64d0gjP6KgAiIwFYTYNXEuqoME6CoS90yI15jj7pqdc5gJRR1H+o2KJYaSzCjN9ew5XPLNp4fhem80cAsKapZmiKlq7u6D3U49tRzDrqlbAXnqEfLUNaffvS0PXLf49jwqWHX3XStXfv8o6g7kS60Sjl8UCu7epJje80xP1Scru7kj4KcCPSRAH/95QaWwOpf+LDeWbEEbmNPVF5l7J/0xBNYtQTF0XJp2Y4ePWolrGp69NFH7ezZ0/apT33S7v30Pa4ydMJQZ1VgIq9YLNr1WPX0khffZa/5qtfY1BSWeKIi4jJ7Bk5DcaWN4VbEP5A3elUcrf72DWTxlCkREAEREAEREAEREAEREIFdRwA9Y3Zg2jox7C2v1mMeAFDooLlcYjCRiiVnNs/5BP7hXRStrXCdGffFxdGNS1L7A8etN5YXqnbxfMnm5kqWhdJoYg/2QxrFVPnoiBAVTJygioHRYSiXCvlh7LOEyar5BszsQ5lU40olRIA8ztKvwvSdGyjl+ADSzODIfUGwlbNlizClR2UTslCFYmpxvoQ8lKyQLbqVTJyRP39hweoVjDuUqm6/EiqYGjABlU7lYH4v52Qxregj9EVkuVahwSByIiACIrCpBFJOk4PauoItRWaXrLJYsdJMFXXvDFYoVay6ULHl87AyhX3oZp9btEvPzdrE6BjqyRr2alq2FPa7K05iGxIq4Vs6/aAe5Aqnatoql8p2/sQ5e+TeY7ZwYdkO33DErrn1iE0ewHYmrJ8xqYArTN3YH2WwsgwrTHdQ5bmp78RuSSzanNgtZd5V5cxg36Xl5SV7/Pjj9qEPfdgef+I4VimN2pEjh+1lL30ZNoCbtoceesieffYZu3BuBkvWsTwTjcrxPeN2xRVX2DXXHLUX3P4Cu+uuu+yaI0edMombxbFByRqKs6HkREAEREAEREAEREAEREAEREAERGDLCLgBM69+wNGNrPF6GzkqmjCiyBVNzdnmoXYl6H2vMiroix8WmQOdDSiZyhi4vHBmASuXSlgdlLfJ6WEbmYA5JmwkH6xa4ix3rGQK7C4FeYAM7gvVgHaKs+dHx0eDGfm0twSlUL0KE0w1xMd+TM6UUyRr0DM1BzNp+aRcwZ5PS0vO7H4B+zczbgkDqVxJNT4yboURKLsatCcFM1CQX4bJ/kwZE1mxgikdFRbVNG2jx6qsioAI7CICqP9SmIi/dHHBZp4+Y/NnZ6FkStnZc2ewIrRoF0/NWbqSsUWMvV46hzDnLlkN5vLyz+RtGas5cxN5uyK3D2GxdxLqQzdZAHbynJlTKO+rUExdPHnRnn74pM2cP2833HqTXXfHERs/NB5MGED6rd+PkHukft5FT0JF3WQCUjBtMvC1JxdpnbnIvA4ayknN5Xi94VYuHX/C/u5//5197GP/BJN3J6FgGrFXfeWr7Gte+yK75Zab7YYbrrfjX3zMrW7iqiYqjYaHh+2qq6+yW2+51ZnU239gv1MqUbkUODRBXWMvvNRBBERABERABERABERABERABERABDadAHvG4VRv9pW3o3KpL8z8CAH66jhl172yXLfZSxW7OAPzTLDPNLWnaGOYHc+VS9lqAZaWqq6fH/TtQwUXVlG5qfNOXDAekeKmIJxbikuauavWGk7BRCtQiXNOw4GJGpVJpZItLS1iwDQPk/0wm7e8bBfPXeRSJRuaKFhumAOpkI3Z+bVS3c5fvIhVU1l8oNzi/lChLC7Iao1GBMA03bUvL46EiIAI9IMA6yrWm6iozp45byefxET+0xettFCzmdlZTPAv2pmzF+zszAWnSM9ZHor3KpTwdTtzfsZOz52zsb0wT7p/0ibHMtieBAomTDrgNia5OrYqgUm9uSdn7emHnrbTp8/adc+7zm75iputuBdLP7EgNe6YFQ7buqo8flPXItBnAlIw9Rlo/8SxZvKfqFTWDvRvd50+wf177rkHyqX32b333mMXsM9SGRrxsTFszIl9lMbGx+zINYftpltvtHOnzkJzjhVMmFnEpZTjE+P4TNg4wg4NDblGJzf8zGJFFO83W3nt2dCVCIiACIiACIiACIiACIiACIiACIjAZhOgVomfequ/vji/ZKefPY/9PSZs38FhrBbCEBC1NMv4YEwyi42TKtUK9lKiUgmm7jJ1q3EDEDcUwZVNkIVb9SWax4cndFN1aK6q0DLxk4PSiLPs40MXfi4qTeuXMYl1ubRk0wenrDBUsPn5BVuAwml6/7RVszXL5rFaiTLKVIpBcYXw+Uoe5xgx5cgo8yInAiIgAtuEAOvIA1cctOmxfVAwXbLjjzyFOq9mz7v9Nrv60JTlsQ/T7KWSnXjsFBTtObsak/v3HZy03GjasiNQvGMSQCbcg4774nG/OltK2blHzthj9x+HudFlu+H6G+zoi66x1Cjq7EwJ1TcU9Zg54OZXgBNXPvnzbYJN2dzmBKRgGugHyJaU/+DUK5ZcAwvLx2N5b6DxRj9ujFmBFvzee++1v/7r99qn77kbZvKwKSfuTe/da6942cvtZS95ie2Z2IOJSYhRbWAm07RNjE1ibyVMG2K1hBlKWSzrzODjNgdF7ZTNorJyLcV4yrGMbKNLjzQpy1tdGXfLGx/mznkKSfTlJwIiIAIiIAIiIAIiIAIiIAK9EGDPqKVUCfrNg9dbSsc6ePW+dzhZZnQUgeIi9jeavbCI/Y2wf9L0HpueGrLCKCaLUklEx5EgdP3rGAtgn9+ZYoJXcahoE1MYy5xftOUKBi0vpm1obAhKIPKFQ5zS4rJduDhj80vzNjU0ZbkC9l7i7PlQNFcjVbFyiWMQVCYtLi7ayNio5cfCQFRk4d/swiWbzI/BFJ6T7PLEfUfyMKPHsQg39jB4jzHMrA4iIAIi0CLA8VbnUK/TfGgW9V2+mHMmQofGhi0/krO91w7Z+MEhS2OfpQYm7g9h+5JF1JOTWNk0fSX8R1HhoZpMQZFPnRKGeGFOD8dZ1JdfvGQnHjrh9mA6gj2XrrjtCstPFqyRQ6XMxN3EguCU1aarOvHHHYOcBX87PKI3dS4C6yfgf8rXL0ExN5gAv/2+BvBH1h48b1ZhrTxAEVRCBXXs2DH7+7//gD3wwAM2OzdvOSiHaPburhffZV/+5V/mTN+NwlQeZwdxRlEWK5ryBdRicD4VntFmcioVKJ2ClUutpLb7GeklEGwWq8Wh6bWpJ4Oct00FocREQAREQAREQAREQAREQAREoBuBZudpq3tx3TLJHnYrf1woVMcoIgzTdYnE8NFY3YJyvw7sYVQq26W5Wbs0u4AEYGoum7elZawiQreea5KgusFE04ZVK2Wr5+owUzdk+aGcUzJlixg3wDWVVPNQMl24MIu42BMJQwUZDIg2oGGiZZNKtWTDo0UbnxpB3NDEXZg1zkmtYc+QS5cuQca8DRWH3AqqbI4pQ4k1VrCx6VErQ8bc/KzNl7gZPdZKcfIrBl5zMI/HT1MZ5uUiDGV7WpQlJwIiIAKDQoB1E2phKNy54hPnWJlZy1RsoTQH/U/ZciOoR4cRAkqjSr1ip2dO28LigqVyB3EPNdowBKDurWGkHlWh8TeiAbOh888u2COfecQex/Yne8b32NDisJ155rTVTteslscHK0/rMG3awKqnAiYJHD58GIp6LhBwGRoUPMrHDicgBdOgP2DfenL5DKorVlmtZlWrAGzw1WGb8zxsd/79Bz9on/40zOLBtidnAHHfpVtvucVe8+pX223Pvw0zmDAtCQ20ChqVQa3TcEvdKTmQH3q7tFpp6Gy3E2h7If2rstuhqPwiIAIiIAIiIAIiIAIiIAIisCYCTm2EPvlqdox6VC+FaUPBVK5arVrF4CbN3lVhnm4B1xV0+2GlBKOX+UbGsthDif6NsTqUR1jZhL0+0rm0W82UHcrYUGoIA6AwhTe3bAsLJateKmPjelg1waApB06HsappdHzExvaMQCJGRGPdxFqt6vZe4o3xyTHs/1R0ez2nELeIfZf2pCexyf2CW+FUa3BCKwdTYR4KeZwam3Zm89I0mxdxXPDlfIgM/u13IwF1KgIiIAJbQoD72EHBxLn7qANZvdfTNex0t2xFrE5ydRrqQJqvq2Ii/1Jt3rLYly6NlZtuDyVWpbhPZVHNr3DFGO/S3JKdvXDWqljOVIGiambmvM3MnXfX5Rzq50wN/lAwYaXp2NS4HTx4JZT04SrQLeGgRHcjASmYBvmpszaKNptcBbNyMyqdgYIJJu4uXJixT37qk9g87gw2g6tgttC43XjjjfbGN77RvuSOO2xsdBR1Xd2tXqJ8msPLYB17YP6uBSSVuFtn6363swZrzFWcC+FU6qsE1O0BIsCnFs6xw6vIK/eW+teSfv58gHKtrIiACIiACIiACIiACIiACOx0Ar4j4o87vbwJ5UP/OpctYILpsDMzx2451yyxk5ZO5SyDFU3Y3QMfMMpUoe2Bgik0i8/JqgyagRYpi1VJezITsIIyanNQMi0tzsPs0zJWKxVh7g7m9obyNoQVTK5DCD1W1AVd/IaNjMK0Xm7UJqfGrLSEwVYojDjmkC5krJgpWm44a42zmOiKdLkHFE0GZqCByhcKls5ipBX/ndvFjzPKVeciIAKDT4CrPBsNbFGCfZjSWJJUHCnYlYf3W6mMenMY9u8wCs86chiK9utvOWKFfMHG948FZktRvAaWLTUwMYBy6gjYyELOZMquuvVqO9S42nKwR1rFBIKF8iLqeCjlofGvQblUxQSABiYK0HJVoJxXxTn4b8vOyqEUTDvoebKSykFZND4+YXsmJ+2RR4+50l177bX2+te9zl7+ipfCXjJN4lWC2UOIwPDcgM5pCfAnrmTaQXhUlI0moN+vjSYs+SIgAiIgAiIgAiIgAiIgAt0I7NDZbtyzyK0U6lZ23OOc1GIBJub2TgX7K2OwMoM+P52br0q7S1wwhHAjqclg1jyUSjVMVOXkVCp7OHGVxvA4q34Is+CHhoYxZjCMfUFgPp97OLn/YecPE1cxuAA/fDj7kA6KoeHxovvwkmMMRZjgC8YcMDu/jhVWmNNfHC7YwSsPuHwFg6oQQR0XVjJRnAsfJuNEswCuELwKbzABOREQAREYAAKcaF9rVKGML2FFJsZboTgfwwrOW+68GXvbow6Hcp31I+u3oT0FO3rLISvANJ7bkwm3XB2Lup5m9rhTHVevZrDCaerKCZu+YtpSNKFXRmRUu40s60NcUxGPI1dOBXUxDlA68Zark90JL+REYGMJSMG0sXw3XDptcnrHSiqN2UZTe6bsdV/7OiyLvMLGxkbsxS9+kb3orhdDu511tjkbWA7PBp2bzYRIQUO1N+VSsDIpkqhPvO3Ym6y2KOu4cG3LNcTzfQ3m3p/76K6t6i+6HNeaZhdRbbd8fhLzhpC9pttLOJ9WWwYSLhjOP2n+JkVlu98o3OQPHn/I3I9Zggx5iYAIiIAIiIAIiIAIiIAIiMDGE2DnxfVSWknRC1fet0GTcRvguH9QPG0OLrZSDhL1+YhnIYXN2YM+FUwcYW8kmozjP/a92Qdz91ASzGd3/S/fL+uYHOoLiwHHDJQ+adpaQqIuXOReEwgVQ+HgJPdbzqWhBMJNjg84RRLiOKMmebcGKhi8ZOa9LB6pcPLOn/o0vT+PTCd0mTT3bIJZPgoPR6R8WVyW44/JyUNkjF0wCZ+Ml6ejCIiACGw1AdZLaVdHYY1odiKoqxqo69w/1MVcHuorLxxZ3RbHUdvylq9TGRb1ItaQ4gz1MG6lsJozNcZzXNGD4el4ThfW4e7a+wV39FcENpWAFEybirs/iTUblDFx1UoNJpaxSefQkL3kpXfZoUOHYHczB0XTAZsYG7cKNuesIQwdlVF03ASUzl+7i65/WPOt5pq142oBt+b+Dq10/XvRL6jRJ908x4tCxWTTRc+bnjoRAREQAREQAREQAREQAREQgc0gwM6d//j0cI1+LueAd3T9+t1pYpJhn9qn7o8dafsbCUeGpYk4morzCiUG8zKCblegcGr5xgQxMAOGkbzSxoXygiKKHh+O94Owwc02apQXjeOE4Y9Pp/eBBB8zHIQNMxST7Xz9gGszBk58mcKko7d0LgIiIAKDQIB1Z4obzflKs1v9iLoPev125+o5SqGSKbyJQ7MuT6qPw7rR15HtAnUlAptHQAqmzWO9jpRWril4x9/1grmvEmc55aFUmtwzaZMTe9wtVlq1KjZ9q0DBVMOyTGf72NdkrKHW4uKpriWuwm5nAuw3udl5rjfh3z+8P65D5d8LduPkREAEREAEREAEREAEREAERGAzCMR7H/4aR68E2Yxs9CuNjVCARfMWwRP15nmbYsnfZPikIQMvx4fzx5XC+/vhcaXozWA9ymmG14kIiIAIDAQBVl6r1nBdg3TUxV6cPw5EOZUJEWgnIAVTO48Bu2Lt4RVBPmu+lcx77S09r9Wuw7bn8vIybC8PYWkmrSfXnYKJEnwYGDVLbkD6ZFY4+vgr3Jb3riEQKJJS3L+L7yhfxfDDuYK9/J7uGlQqqAiIgAiIgAiIgAiIgAiIwCYQaI2+uZ5y63IT0l5fEhxIZN+8w7WZjei423ePjgHNaApr5bjW8NG0dC4CIiACIiACIrDtCEjBNLCPjK2ycMQ+zGODg/lYbkmbnG4QP9YOzWCpEjfkpFKpXMYGnQifgg1nOtpU5uqTdHNGFJqxm9xoDYuhww4g4DogfLVoMo+vavhxB/jTnLecCIiACIiACIiACIiACIiACGwmAXZROBWOfRTXXdnMxNeRFvdqqru8MrftLlHx1B5EVyIgAiIgAiIgAiKw5QSkYNryR9CZgRRG5wv5AszZYWtPfNoclEKpND4cwEdLtKkvwqUb04dCqV6uWTGXDz3YsG4pk7gCKVAsIW43e6Btie7cC9/p8B2QaEmBeUtdM2/IhVPiRHLD5+6ed8Tvck6Tyk95UQRMrwqlZSGbdfZgGwCUz+SwOWHGanhn3VompwDtZ84up1SKKwIiIAKXTyDjdreGHCzWjK8pvnzpkiACIiACIiACIrB+AtFfZvZBotfsy1B5w37v+lPoZ8zA3Hh7ZhrYcynu6jBv7/rsuBXOMY0HWfm6XfzK4fpxZ6PTSpDPiY78JycCIiACIiACIjA4BNpbYIOTr92bk7CtlMYqpAwG8qlgqlQrlspBocBBLqcgYkMTATn6T4UTDs0mFvy4MInKIzW8enuNokqU3mJsXqjNyltSOnE/dnJKlZJVa1VrQO9ZrzSsXKpatV4xyyA0Xk+umuM+X5E3cvNgKSUREAER2AACqQx+YdVa2gCyEikCIiACIiAC/SLQ1iNuExrv07TdHNCLLMYBsuksrELAekl7b39Ac6xsiYAIiIAIiIAI7GYCGjIZxKefoe6oBrN2mHGFwfpKBQP4OM9mMzhgTyUsN6ESicft7LSCqrenNzCdIiybSkGRVIXCs1atWnmpbDPnL9rwaMGyIzDBCCVoHS9m1a26297vZm9PRqFEQAR2A4E612eqStsNj1plFAEREAER2OYEnOUO/GbX0W9xXeWO3294ONMNkeMGldklg169/8dkgu47e3fRT3sG2EcuFAqWh0WSTAYDA2751Rb1CDv4RfLa7V4kmE5FQAREQAREQAR2PgGZyBu0Zxy2ddOYMZ0v5Ky8WLXFpUWbLBUxiJ/ByiUM8rNlyiP+UeHk2qcrlQNt0bgi53L3XorLWynp1fypJOtw8OtJ6xnG7bVdy+A+LLelYjs9FOGywHv+vs9Tohk6xvUB+nR0eXN/Wl0NivbGEtaSN8brlr9oWgzbdGH6zWuc+PSbfnjXhmC6sV6pWXW5ZrMXF+zs2fN25aGDNrSnYCnUJjThQL5yIiACIrBTCNAcqPvB6Fa57pTCqhwiIAIiIAIisE0JNJxSqY6fbCqXYDbenfm+UdjZcRqe2A+6M1HX/w5MkA/AZKcSLt1gv515c5etP7gO8s5w+OA6P1SwHMYCuLcyrzmJr2snryWt/2fx/PY/BUkUAREQAREQARHY5gSkYBqkB8jGZQ2NzmrDhicLtufAhD174rSdO3fWRiazNr5/zK1iciP/NQSmGbygvZpYimbjlY3CaMMQSoBE5U6ilHZPN4Gq3Wvlq3i60ZDIN/MQV2KwPNGsRqMknXcrfzR8kwU8icxf10NtVjqeEYRZaQ+mXtOMpt/t3OfFh6H1Qzp3xLljwkxHXDdO3fIXT8uLpH8siWb6PgyP2XTOGoW6zc0s2/lnZ2Eyr2K33XizDU0PWQO1SQqZTqd7UhFGxepcBERABAaWQKGI5ZncDlFV28A+I2VMBERABERg9xGImoOnMifam3HXrlNUDyYWutmFK/yQu45xvCe0Vp6I70VEO7PIg99jqZ6qoa/EPEQDIBo7ogzHf1B2cfUVJ5pm8li9RMsmzB9lt0dbawYVXgREQAREQAREQAQ2jIAUTBuGdp2C2XCkZmPUbN/RCavUlu3E8afs3o8/a4euPmxHrz5kxbGia2x2aGdiSXZTBrn2dix8T5dJDdukxnQPwnxRO4J6ef5GUpr+Xjys948eET9JBKOizd50SWGaN6MnvaQZDb/Kefw5+a5PNJme88a0ohFjacfTit1uu+wQA4/ygtm583P2zDPPWqm8bDfcfKONXjWC2XVYXYf76Uza8mtJpC1FXYiACIjA4BFo5IPBHRgCHbzMKUciIAIiIAIisMsIULHEf1TIZBrYowh9D57TURlTqZWthj1iqayhCW+rhybnETbR9ePnHZPsfJ6iM0CZB65Oajp/yjRD/1QN+eK57wRiLCBfyLt+FeN56yGZlWYKNoXrRAREQAREQAREQAS2hoAUTFvDvWuq2MsTey9hD6Zi2vYdmrJcLmPnnj1vpYWyPfT5L7rB/BT3Z0ID2rdREwWyoYpPvC1KM2bdI8altVrdQQO3dR0PGVyHuQrT92Gc9QF/4Y8uaETeSgWKBPFR3XGl8G2BcLFS/Hi4Xq57TbMXWQzTz7xRXrf8XUZaDZh1qFe4F1jOsjCXN7Z30qb2TVg6nF1Ha40sS/x9Y5bkREAERGC7EnB1m19aul0LoXyLgAiIgAiIwA4j0FTohOViF4j9kDT2Lcqg/5zJY2oIFT9cIAQlVKqpYLqMDtEKDJkX79hD90qlYPVSe+eMK5SiHbYUrT+E0RmPYwGpnPdgyPb4Ph0dRUAEREAEREAERGBQCEjBNCBPImg4Bo1RmmeuVqpuU8/8ZM6mi1NWHC3YxVOzNn8BS0hgHi9NBZNrnHYpAO67f632rgvcXGbfJWrrFiO3BLQUTC2/VlieRRrMkcazkxJrG3PFi1v2wula4T0nNRbOJx/3bk9XVxtJIHjaKcsM5Wx0csQKozl88jCNl3OdID4jp1jS6qWNfAySLQIisBUEUL9xsEdOBERABERABERgUAlwFRMc+si5fN6tACoUsUcszXezowIz9LBFF2aePRt+Ns4FiiV2cdm/b7fFzj68V0Al5iADJRPK0TVMYkR5ioAIiIAIiIAIiMDWEJCCaWu4J6bKBmgwPo8z2GLmNkuGJ5TFDKzxPPZfwuD+5JUTZhU0iRlsKDxLAABAAElEQVTQt5ETpcEzVPCwsd2hnHHanZUiRv1jDXDO/lqlQc5yBC5IOSahJRy3A2VXUBCG6+a81G5hdK//BPxz4TELe+BULGUKGG2F+QbX8cngDv4HT5unPkb/8yKJIiACIrDZBFi3SXe+2dSVngiIgAiIgAislQB6IVh2nMvnAgVTAQom/Iin4ed6J00zC7za2P6KVyqxrxTvwzbcRr8t32DSZVhWr3za2OytFazCi4AIiIAIiIAIiEBXAlIwdcWzuTcD1Q0am2hQ5qFUqqI5Wq9hxhO8soWsjR4cDpRKUDC5/Zc4o7rVNu3M7EptZ8bpFq9T0vp8khrG8XTj1z4l758kw4fRcXMJ8FlgLxLn+FpW0WGqYLNaN/LKm9EOlB5cSEoHERCB7U6Av0eq0rb7U1T+RUAEREAEdgEB9kuymWxoIg/7M9E8Hk3QrTYxs89sqOhqOrQhfNeWfq5JEXo0dV4uMDyjAZsCdCICIiACIiACIiACg01ACqYBej4cnodKCTniX6xm4r9M2pnKc9n0DU5uVkrHa+/nPGJ/2HpNGhRbLV5MTNtlL3GT0mwTErmItL0jvu3lWou8NiG66CsBPocaJeIlwOy6FGqPFDpwfMcCEw54X3mLQfTMSEFOBERgJxBQfbYTnqLKIAIiIAIisKMJsJNax8S3BvZgQlcEiiaalDdv4tb1YSIAGHwjHNsM7N9CvjdxR4sdUdP2aWiV+C/IMfv+ERe2OTJNc36RezoVAREQAREQAREQgQElIAXTgDyYoC3JhmagcUk7FROX8/NO2AL2NnrChqf37loEHzYa2IkLZSZGZqRmxLYQbUv42+4kXFDb0HRQSEQvnT/S8GVqhsNJPFxyVqIxdL7ZBNwzwR9Ou+N/d73ZmVB6IiACIrDxBFS/bTxjpTAIBOKNr0HIk/KwfQioIbh9ntUuymn0tXRm6UIPVnfRe/1CApnOngOOnCzKzq+7Dv8yGfbtsS0U/gZ3+pW05IiACIiACIiACIjAVhKQgmkr6TNt3593DU38YWvX+QUzmzqyxyDeRc+9X+zoxTu54T2/2iQWtKfLMGs9hXVpeq0SIrYP0gWZb/eD2I5wQVLt5gN6TF7B+k+g+UIFqk++ru7TOvQ/TUkUAREQAREQAREQAREQAREQgfUQQH8l6MKEHZke+tDrSYbSm6uWYPbBp+lVSew9eb+gAxVkxCuhgt5V4OdXPLErHfa61pMlxREBERABERABERCBTSEgBdOmYO4lkaAx2Wrvts56iZ0UJmjA+gZ1KwSN7/l7Ld/ezhIVPV5YtyxDk5R0u8NCXlIgZM0n0VsuFWrDCLjnEzxLt5oNCUUfWfR8w/KwFsF6cdZCS2FFQAREQAREQAREQAREYEcQ8N2AoOcbXHm/jSgg+8lemRQ/ttJr5SO5dxyE9PG7hWnJ1JkIiIAIiIAIiIAIbC0BKZi2lv/KqSe1ftcxep8kZuVEV7+TmIVETy+LN1u56Bo0jNIK7WXoOGgE3DPCw+zleQ5a3pUfERABERABERABERABERCB3UQg6GEmTpbsY48mnIYHsEEvaWUFUasXxTBBuJZf88nQS53jJg6diIAIiIAIiIAIDCYBKZi2+rkktCNXbOMmhV1H/immT6J6TL2VWseKpR4lKJgIrItA69VbV3RFEgEREAEREAEREAEREAER2N4EUqFd9kxiMfrfQ62vsbfdUjIlZFD9mQQo8hIBERABERABERgkAlIwDdLTiOalDw1JiuiDmGiudC4CIiACIiACIiACIiACIiACIiACIrACgbQlK63qVu+I0VW51BFaHiIgAiIgAiIgAiIweASkYBq8Z6IciYAIiIAIiIAIiIAIiIAIiIAIiIAIXDaBwZlyGZjCay9Qkl97CF2JgAiIgAiIgAiIwGATkIJpsJ+PcicCIiACIiACIiACIiACIiACIiACIrBuAoOhZJIyad0PUBFFQAREQAREQAQGmEDy2u0BzrCyJgIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIisLUEpGDaWv5KXQREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAS2HQEpmLbdI1OGRUAEREAEREAEREAEREAEREAEREAEREAEREAEREAEREAERGBrCUjBtLX8lboIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIiIAIbDsCUjBtu0emDIuACIiACIiACIiACIiACIiACIiACIiACIiACIiACIiACIjA1hKQgmlr+St1ERABERABERABERABERABERABERABERABERABERABERABEdh2BKRg2naPTBkWAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQga0lIAXT1vJX6iIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiIgAiKw7Qhkt12OlWEREAEREAEREAEREAEREAEREAEREAERiBFIG+bQNsxS+LclDmmv6LYoSyvmRzdEQAREQAREQAREoA8EpGDqA0SJEAEREAEREAEREAEREIFBJvDY8eP2P//iL+0LDz7oBl/b89ptRLQVMpvN2Wte/Sr7+q97vWWzu6Mb0Wg07Kd+5p124sQJB+Jtb32LvfCFd7ag6EwEREAEREAEREAEREAEREAEdjGB3dEz3MUPWEUXAREQAREQAREQARHYvQTuve8++53ffZfd//kH+gLhgS98wf74T99t3/+277NXfeU/74vMQRby2GPH7X+//wPNLL73b/5WCqYmDZ2IwAAT8HrzzVw15NNcCQvvN/PjAzc9VoolfxEQAREQAREQAREYaAJSMA3041HmREAEREAEREAEBoqAHw9ipjQmNFCPRplpJ8CVN+//wAfsZ3/+F61cLrffvMyr06fP2M+88+fsiisO2vNuvfUypQ129GqtNtgZVO5EQASSCUR/r5ND9M83nlb8OtpecPfwJ+UDRW/2L0uSJAIiIAIiIAIiIAKbRQAGiuVEQAREQAREQAREQAREQAR2EoF77r3X/v1Pv6PvyiXPqFQq2Zu/5622tLTsvXQUAREQgcEjQD2O1+VsRu6S0kry24y8KA0REAEREAEREAER2AQCUjBtAuS1JNFA67ce/uO5nAhsHwJ8X+v46L3dPs9MORUBEViNQK35q8z6TU4EtgeB2dlZe+vbvn/DM7u0tGTPPffchqejBERABERgzQS2skvCJkP8w8WQWFnqPmsujCKIgAiIgAiIgAiIwOASkIm8AX02KdjdSTViy+VjlxrHH9CHt5Oz1TTl4HtseCmj76l7R3kv/rLuZCgqmwiIwM4m0KrTOPGDv89yIjDoBP72fX9ntU0y7fbIo4/atdceHXQkyp8IiMAOJ8Df53Qj7T7NovouS9OjjydR2Sudx5NrNSnid3QtAiIgAiIgAiIgAtuWgBRMA/jo2O5McwALJ41a0FpN5VoDWvU6pj9hUD+dwgK04HZ/StFKYmV5/UyPqWxFmluVbr/LutnywvRqtbKloGhKp7kAkh9UI35if3RNZC/547OQEwEREIFtQCC6qlhKpm3wwHZ5Fv/hHz+0aQQWFhY2LS0lJAIiIALR32Oe+2vX9UBftV5Hx8SvHiIu3shsILeV+sf054fpt/WLgghBztmiaAlQ+2IDn5NEi4AIiIAIiIAIbBgBKZg2DG0fBLOticZxo46TOpqbaJg2MLBfKVfhl7J8Ouf8Lzul5qqUHiVFV6z0GCUx2FakuxVpsvD9THetstijafVbOh9FL/JcxwjmGxs1a6SrlmYnDbVHAy9lynfgGIbnUSVTZ2ry6TOBY194zBYWFu3Ou27vs2SJEwERIAE/cEUDtsH0D1Z2ciIwuAS4cukLDz64aRm8+eab1p1WA+aijh9/3B5Afh944AvO3B4HhycnJ+3mm26y257/PHs+PsVicc1p0Hzfgw8+ZPd//gF7+Ngxm5ubQ1s6bVdffZXddtvz7dZbbrYbrr9+zXKjEcrlsn0e8j9973322GP8PV6w0dFRu/766+yuF73IvuRL7kCaa6szlpeX7cGHkO/7H7Djjz9u586ds2w2awcOHLDbb7/N5fv6664LJ/pEc9M6fxZmC48deyQYaG95uzNOELrxxhvs6quuat45deqU3ffZz9ndd3/azpw5i3Ze2r7xG77evuzLXtEMEz85d/684/sZxHvyyRPGfPM5HT58yL7kjhfYLTffbFdccUU8mq5FoC8EAuUMfpnREcGaJfR2/PcMfq7vjN9v/Gx7Xybqf8/XloGohPaYvgvV0c2Ch7vHG/xQBPtHUVGo+3irjoC+69QqA27IiYAIiIAIiIAIiMA2IiAF04A9LDYs3QSrsDHqVi4tpax2PhjFL1vFFrGZcr1qUDAVLQ1F0/odE4FzInjuP843/MOb8TRwHUZthYyHad0JzmIRXPCYXzxK2/V60owKCNNqpsvrePq86QJEIq4n3ZjcZpoRsV1Pk9KMROi7vFh+XVJ839odB1fL5UUbmRqz/EjOGlhVlypCyemjcwDF95Dao+pqgwhcvHDJ3vmjv27LqBN+909/xQ5csW+DUpJYEdi9BDgEVINyPR0dJPb1HrG4Onn38lHJB4/A4uKiVatoKG6Cy2YyTvGxnqROnT5tP/pjPwFlysOJ0f0qLCpXfuWXftFe/rKXWgbpreYqKDsVJT/0Iz+WyOHe++6zv/pf73ViboGS6Zd/8RfsyivXrgih4udf/9tvt7Nnz3Vk6SMf/Zj9/h/8kU1PT9mf/Lc/sn379naEiXtQMch47/jZn7PFxaX4bXf93r/+G3ek0u2XfvHn7SCUTnFHpd33vOVt9vTTT8dvNa+poHrPu//YmVH8s/f8D/uP/+m3sS1MtGJDUFwnKZiW0Ob4r3/wh/Ynf/ruRDOMH/+EGWXSfe1rX2tvf9tbndLNeeiPCGwkAU6CxGvMd5mK6gY/fK1h/KNegr+bFbfGDLiJlQk/9JDbVDCh/xP99jA0P+k0LI44xVIYPx5ojVlRcBEQAREQAREQAREYVAJSMA3kk0EjlO1Qjg0so0E807Clp8r23NPP2XPnn7H50iIGu3Avxce33hF9CvCtXD+9K+qH284xI2GjOPSheb4OPxcmFs6Hd+n4tLwnrn2r3Ht1O7roSWVN8osLipZrO5fVl2ut7JKel5fFo2cS84te8hzJ1ss1u+LgFfjst+kDEzZyEO/gNOTjAJPnbkUTg/byVBhO7vII/No7f8ceffhxJ+Tnf+I37T/94S9cnkDFFgER6CBAU7W1RtUy2QLqtpV+5zqiyUMEtoxAPp/ftLR/8Ae/3/btXV15Es/Q//zLv7Jf/bXfSFQAxcNSWfaDP/yj9mWv+FL79V/95fjttmsqab7ne7/PPvu5z7X5r3Tx8MPH7Ove8Eb7we9/u339171+pWAd/o8/8YR987d8m1VX2efq/PkZe93Xv8H+7E/+ux06dHWHHO/BAfHvfNN32wNfeLBT0eMDRY5fQLjXfd0bkO+3deSbA+tcWdXN+fs//pM/ZR/68Ed6SpPyuDLqW7/t39ns7Gw38c17f/Xe99o//OM/2u+963fdqq7mDZ2IwAYRYB0wNzdrcxfnbTg7C0sL7KAYLIBU1pxir/M4Q71W2LPmiiT2Pc1GR4ZsaLhomRwU4/RiE4KdpFhTgpcxL/jIiYAIiIAIiIAIiMD2ISAF04A9K3YK2ZHO5XKWqqRs8cySzTx50S48c9EKuaJde/h6yw1jiCtLa81oivajNboWRQ95OQVTH8BtRbpbkSZR9TPdfspaQ96YbL3UsIvn5u3SqXmrLlRtb7VhYyMjlhoJjDqw7wR1lXst+/FqMntynQQ4EPXHv/fn9nfv/VDz5ic+cq/951//I3vT2/91TzO8mxF1IgIi0JVAFpM5uOdhoukaVXRd2enm1hAoFAowA3d11xUsl5szriR6y/d8t33DGpQyPs3//8//wn75V3/NXzaPU1NTzrQaZdPk2qNf/GLzHn/3uLrn7T/wQ/abv9EZlwE5sPzt3/EmZ16uGTE8ocm666691mg273Ofu99mYS7Pu0qlivxgNTBMvH3rt3yz917x+Cmsjvr7D/5DU7k0Pj7uTPkNDw87k3aPP/5EW1zK/Zl3/qz9/u+9K9FcHu9/x3d9tx175JG2eJT7f/3Lr7Jrj16DfC/bZz77Wfvox/6pqQyqVCr2q7/+/2KV1LR9xSu/vBmX/N4I83bvfs97AlNhYHfh4sXmfZ7QXOCHP/JRx5RskxxNFEYdzfV971vf3qFc4mqor/zKf+4UjWfPnnUyo2WZm5+3737LW+2//+Hvy2ReFKjO+06Av9MpznijaXn3wW83j+i7ZjAbLt1LHxa/6+zL0GXC74aLFvu957cmKq51zR461z7XrQRFLxc/FxoFy+Yx7BKT4RLRHxEQAREQAREQARHYAQSkYBqwh8hOXr0WrCi59MyczZy4YAtzi5Y/kLWJ6VGbGJ+0fAENZCy5p13pvjRUm41d1zSOEeHNZoDgXnI/NBavh8uY2FVj9CPdZprbvKzNcqxKLZgx1y1Yh6wkNhBA71rKhvYO2cLZJVu+tGzPPXXG6mP7bPTIsGWHMDsPCtIUX8yMqpZuyC/33iMPHbd3/eYfd4ih0unFL/sSe+FLX9BxTx4iIALrI5CuYoAq36oo17qfyvpSVSwRuDwCr8TeOX/y7j+7PCErxH4ZTNV9x7/7t24/oBWCrOjN/Xp+9dd/o+0+9wP6vrd8r73krhe3+T/11En7gz/6b/a37/u7plLl45/4pP0FVj+9/nVf2xaWF//+p9/RoVx63dd+jX3bt35L2+ohtrU/Djtuv/0777IvYt8kOvr9f7/1n+2GG26wu178Iue30p+ZmRl3awj7Df3Yj/6wvfpfvMpNDPPhue/Tf/jlX4HC6FHvZZ/H/lJ3f/qejjIywLt+7/fblEvDQ0P25jd9p33jG9/Qts/St3zzN9pTJ0/az/3Cf7DPgiMdlWo//KM/bn/x5//DDh865Pz4599827e6j/d47rlT9o3f8q1uz0b6UeH0IzBPyIltnNT2mlf/C7dC7Mjhw1hxMeTSPbB/v4/u+DBdmgX07irs4fRDP/B2e8WXvhxerTryO/6fb7dPfepu+xmY+vOsLly4YD/wQz9i78ZKLtWhnqCOG0GA71cmnbFMKuPeSu5lHCiYMuEq5Na7mpQ+dUoti7iBqol+7AY1HUS469CTiibukxz8o3z25VOuT19eLsG0fc1SOewUhb3NiqMwcY9+PB3/UikWOH8ML3UQAREQAREQAREQgW1EQKPAA/aw2ChOo1FcW8JKkWcu2aVzc5afyNrUjXtseApL7LnKH51BWuRoRKdNrascYau42Z5lI7qt+Yxr3uRa/oiLB3G3mkIiAaOnCZFWixKNzvMOEWsREEZuRtluZY3AaJYh4tfttINbLHCHPEYIOlQ+ZDMI3rnx0WEbGR+y809dtJMnnrXGk2aH91yJmXlQMLllTuhEYfZs8O54CTr2i8AXjz1hb/k3P4GZ1jAmH3NlmP/4wTe/E6byft5u/2e3xu7qUgREYD0EGrCqE1UwORnNSnE9EhVHBDaewGte82r70z97T1Mxc7kp0uze8593q73le7/Hbr/t+esSRyXOu37vvzqlhhdw04032n/53d+2EayGjrvDhw/Zz/zUTzrvv/nb97kjZfzSr/yavQorZrjCx7vnTp2yD/7DP/pLpyD5zu/4dvtOKDvijm3t/8PeVQBYVWb/M93BBB0zoICkKKAiit0txrrqmquuG3atubrr31q71lq7dbETUEEUREKlpLumu/+/33nve3Pfm1cz8wYG/A7cufd+fc+973zfd3I8hCIjR46Qc867UFatXq1FKGy5FxZBb77+im+VFveJEC599MEkSUtLa5E3FHh68P774BrvNMRSqvTkT//22xYCpl9/XaqxjEwhju2aq6+UY44+yiR5nSlEeuqJx2DxdLHMnTffk/f6G2/J1XBXGAi6d+8mXbp08QiYWI7PmwRh1n13/5+MDSFUexnCSrrlM0BrrYcf+LfwHfkCn2GfffaWd99+U447/kQpcbvTW7psGVwXzpM9Ru3uW8XeWwxECAPYw2AvQgEOv0PuHfmd09RIrZfC2Dt7T++8c22kXH9dw3Retxi4W9DELRGFR1QcranHmh1eK2nFFJ8UL9Gx3Ce5gD24hEzePZt8e7YYsBiwGLAYsBiwGLAY2BEw4CM52BGGvHOPMRqRQOMQzLimtE6qymskDpYgaV3SJDE7QQR72Lr4OqmJrpGamFqpi62T+tiGNh71qOc+YtAO2qvX9nh2Hu485pvD1PM6hxqHoz+t56dd077fM5/Vtw3eh+rX5Lf1Wf31a9oMdPYdZ6Se1ecZ/OLJ8Z5Mvr5X3zE57k05z5nP7PwG+K3hQH5NdBVCgyEWSXq0pPRMkkT4Ft+wbpNUb62RJsQLo+ZegwbQDbr12rl/xB34dNyk0g1eUWFJwF7Kyyrk4buflXq4/LFgMWAx0H4MNNVZetZ+LNoWtjUGdhs8WM4/95x2d0t3e4x9RGHKfyDYaKtwiQNh3KLZs3/0jIkM4Dv+catf4ZIpxDK33nyj0MrJABnGK+BCzwCFTmchLhDPBii48idcMvk8Uzj0PNy2OWNWrVi5Ut3HOcv5u6ZLOn/CJVOWbuv+fv215lbPS5cu87rnzdSvv/Ya9ykTTwooXHJWvunvN3hZAr3+xpthjdvZBq/vuevOkMIllvvfpPd58gBjYfkTLnkK4CIFQqhzz/mDJ4nv57IrrvQSMHoy7YXFQDsxoNZDkOo0cjdCIY+XvMbQBnMO3BlLNB9sNTSoMAkFVVjkPlOgRee6sFuCm90oiYVFVXxsnLrcdZd0NOw1WEe6vbQYsBiwGLAYsBiwGLAY2DEwYAVMne09YVHKDVhVZRX8NtdoUFBqdSbGJUkT4t3UNkK4hH9g/0u9LqKjsZBu44GYEo16QLuKZ20nBmfnYco4z+7ynvqsi7SA4/BT3lk3rGt/bQTr0zyPe2yePtzthP2szud2X2+XZ/UzDs8zdUCe1zfg/h6wMaprjJFqKALWxzdJQnaidOmRI0VFhVJeBgEUvs/6aHyjOLztnzrbj2zHHc/Lz74j06fODPkAc3/4RR6597mQ5WwBiwGLgdAYaKwHRbNELTSibIlOh4HzzztX9h03rk3jSk1Nldtvu0U+hmDp3/feLZkZGW1qx1npYbigc8KQIbtJfn6eMyng9e233uIl0ElIiPeULSoqFsb5MUBlrScee9jcBj2npqbILgP6e5U59Xe/97r3d0OhVyjIzcnxKuIUipmMV1593Vzq+fBDD/W6D3TTr19f2W2wd4ykKVO/ClQ8YHo41kSMDbtm7VpPGxSshStoPON3p0tmZqanLi26jNs8T6K9sBhoBwYaVbEteAPYXqsCnEvwBBEU6gQ72KbrwJ7Gfe0s71oUcGHgPNiLyxopuqnZQokSKhUyxUKJlDGWIWxyFXRbWbnu7F+LAYsBiwGLAYsBiwGLgR0aA9ZFXmd6fVyX8qA7Hmo9YYMcDVdj0fQhjdg31NiklX8D/DjTi3Qc/eW1GbC4ZV/U8EJbiIDKG3drzCDw3qRpAurQiL9lWoty7uKuE8q7+2pONm2YvppzWl6xrClvctlmc5rj0hRwnE059kWcMfgqwaTrjZ8/zPcpox35pHnVRJ6fZ9WnpHpbKHC3H6yH5jEFb0+bCjleDsj1/r2HF+DbwjcXCws71clD90lp0MTD15gAVxRwLS41SKuDlU0jvl0+Q/DnCIUMm+/EwNLFK+RRCI0aGKMtBJBWMB7TQUeMlxGjdgtR2mZbDFgMBMNAE40Bzc/ONYU0F7dErhkX9qrTYYDMzAf+fa+88dbb8vgTT0q5Qwjjb7AxWHPSNRsPChB4X1BQIE8986y6R6utq1OXamNG7ynHH3uM0E1aa4BxfwyQyWrc35m0YOcBEAJN+eJTv0U2b96ssYhMJi1r/LncM/m+57v/7045Bq7cDJSUlOqam+twf9C/f77ixl+eM61Hjx7OW6/4Rczg+/B9J6xDgVk4cMThh8uChYs8RVesWOm5Dufi6iuv8LLeClTn/Q8+9MIvhY0UFFVCGa4ZAhPDHj26S7Hj3RcUFEqOj/CtuR17ZTHQegyoahtoCq2XgkG4wijfNnQ75ZsY4j6qCfSjscFloYh9UnQUYyiT9RL4txKiSZttMWAxYDHgwQCV0j0Ca6Q6rbg9hXDhLONMt9cWAxYDFgORxoAVMEUao+1tj+tixFdqrMMSGUFJYxJiwdCHthMWqTFN8djw1usClYFLycKP8pYKtKF392Jc1+O+7gQwITncjZgFcRQX7571u1kkexICj6FFEVM3cJXAOS0aC1zUbw5wF7KJQOMLWdGBH3SuzeBPGNXMUNs+NtOCObuewbfrFk/m3jmF6jcWm7doLmZgpRSl/s3xzUC7P7oBPdQjrzFa4mPi7dbJoD+C59f+O0nqWun27sX/vCX3PH5TBEdhm7IY+O1hQDdsvkT0t4eG7fLEXAcpYNKiFYUqOBjt7+0yoh2vUzIWTjtlohxy0IHCOEZz5s5Va5Ti4hJlOqSnp0lev34yavfd5agjj5Ds7CzF9ewff5QPP/pEvpw8uUXMv6+//kZefOllueqKy+WgAw8IGylOwQmFN1mICRQJqHDEOWJ7A3dtdqcXTvtdu+Z6FWtAoNOysnLJyGiO8eQs0Kd3b+dtwOuUlOACOMYj8mUIHXXs8QHbC5VRWeUU+IQqLYhjdWDoQijx7YzvvMrRmunQI472SmvNTSEs3y3sHBjg98vfC4XR25uJSZVJbmdopeQFuulBGjJ9cryKBbvxbdKvwAmNa1fuhqgsCvMn/MaRwHF5dc6SXgnBurd5FgMWAxYDXhgg7eVBpVKup0iHVQ3cxJ9zlPZdZzBre9Nrx/DspT8MtHV6cE5C/tq1aRYDHYwBK2DqYAS3unkSExyNYNiTrxIVHSMxOKIgdIqOioOQCVMHJo4YuEejb+f20hAuxqNxuLtVgZJZmPsupvks7M9fOvPaBu15Ao7aDbj0u9g3+Y6zYo24daSFf+noM8xK3FCQH2bspkJVI37DG1uIUmjH4IQlzcj91QoXJ+rwoclt7UbFPGjmCSxqVMMfWv6u75OiTz6tv55CPb3N94eB5b+uko8nTfaXFTTtm8nfyU9zFspwa8UUFE8202IgLAyQiBpCGlYFWyhSGODGuQ7WM52BiRmpZ9qW7VA4FwPr44knnyx/OPusAF03QZBULZ9/8aU8BmunNWvWBijnSt68eYtc//ebhLF4xu8bnhu+2lpEuXcDmRuRYnDUOdpl8xREtgZ8LZXIjKmoCCxgao11VLBxrFu3Plh2q/P8MZGcjeQgLtTates0iYJFxokKB2pqmt9bOOVDleG62MKOjQF+azz4GyZ94W8oUr/n9mGGe1rzgeFKN1WuFBX4tK/xoLV112O6Rkl2zb28fu/YOvEc6jcatAObaTFgMWAx4MYA6a3SYRIZt7F1I3g0VPaNirE8GPuhWAxYDGwfDLRuB7Z9xvib6xXThDcwAXx8SJjUeolThudoUdi7arA7XW5j9atTkHseYhp9TCvAaspbSICyRmLhadhfmiezQy+cQ9HhAxfOtICdo5z7cT1FuAmIJDjH0Zqxsazv2Diu1o6P/Zt2wnq0VuBE23MPyCVIco2PSXroxo6lzAj4BBbaigEuHu+86eEWGuThtEeLp3/+/QF59cMnOsnGP5xR2zIWA50UA2QQgch5KJteeO466aB37GE1QImB2pm6iVYu3Y79PNt69MTbRx9/Iv93991SVVWt3Y8cMVwee+RhSUhI8BoO8fz7s86RVatXe6UHu6Hg74qrrpEPJr0rvlZA/up5/1pcTF9/5Vqd5t1wq5m4xJMT2Fx8fHOMJ2deJK/pwtAJiYmJcE043JkU9jUFRuf8IZDwECsyMKOe/s8TsmjRYqmuqZFhQ4eE3TaqegEtuHzd/3kVCHJD94Wj99wjSAmbtSNggEIl/v6NYMnQ6O0tZDK/ZBd10V2tCpy897PtxzD3O869nm+LzHcBxqDX+BGxjifd5NuzxYDFgMVA2zBAK38qX3FjQgUs0mRDi31b3N602Xc89j4EBrjuau184bNWC9GDzbYY6BAMWAFTh6C1/Y3Cor6ZppC44GjEqpSTAzd6ns1exFeqljK1/+3ZFiwGIocBWi/9umh5mxtcvWI9rJgWyYg9bCymNiPRVrQY8MKAnSe90NGBN4zbQquapKQk6eJ2p8bNs90oh0Y6GcB/u/wK+X7mLK/C8+b/JAcderg8/OADsseo3TWPZX935lmtEi6ZRimYehMxni7908UmKeA5DUKQLVu3an4jGCMeF4gBa4SXkZzk7Ypu/YYN4VV0lyJTxglRsMZISU11JnXI9dAh3vNyDQQ/jzx0vzLtO6RDNNq9e3dlSLXGyqtbt25ewxk0aKD837/u8EqzSkU+6NjJb6vgjrG0tFS/1dzcXJd7Jt2jbs/5EZtlKEk2RUMbBMMwHjk66lUYIZIRNJn7kP0RRdsZTZ4xbs9xeAZhLywGLAbagoGq6iphDErGw+QaOTYGrF37m24LKjtnnVa+S6NS4fswVLCItJKFbx/23mLAYMBtUGlu7bkzYkDlS1grR7sX7h1JIFzCq1ZSs86INDsmi4GdBANzfvhFykor2vw0dG3z48z5ba5vK1oMWAxYDGwvDHz33Xfy3HPPyWuvvQaXbWvUmskKl8J7GxT6+AqXTE0KMq665hrZ6hb20Kpl5cpVJrvV548++SSsOmkOoQ0FU19/801Y9ViI7vXeefd/8tLLr+B4VcrKyz11MzIyvISOfJ7WwNto1wnUBE6CNVFHAwU1TkEPhafOOFWR7v9vl18phxx+pBx5zHFy7PEnhd38ccce41V29uwfVaDglWhvflMYWLp0qbz99tvy+OOPC+l0RYX/darRpneef1OIavGwHb/HJq4tWAxYDOz8GFi3bp2uj6+44gqZMWOGFJcUQyEdTEMLOzQGKChq9POP6RYsBjo7BqwFU2d/Q+7xuSyXIA9U0yVMHCp1Ck1klBD5rGW5qY+Guz1CWVmpFBYXIuDzGikpKZH6hnptOjU1RXKzcyUHWmmZ2LgnJSVKPNyp1NfA9Ja+Xi3scBjw+Qx2uPH/Vgf8+QdfBX302NgYNYdvQCysQPAZ2jjn4tMCZXul02Lq53mLvNJ4c+jRE5QOtMgIkbD819Vob6FXKbpUOeTI/SUxydtFk1chexMQA++99WnAPGbQ2iN/l77SvWeupKalBC3LTAohP//wK2XeByucmZkhuw7pLzk5XSQu3tu1U7B6Ns9ioK0YGDhwoGzatEmWLFkiH3zwgRxyyCHSt29f/cZpdULXIHQrZqElBihgCgalpWUyHQyJ4489Vt6dNEnnkWDlg+UxHlM4MGbMaKEFlYGHHnlMjjryCPF1FWfynedbbrtd40OZtOHDhsrIkSP0tkeP7iqoUVcxSMnOzjLFQp45d77w4kte5U468QSv+4664dp+1112kYWLmufcyVOmyCkTTw6ry5mwTrv9X3dKZWWVzs+PPPSA5PXr57cumc5O94cbNm70W85fIt3ppUI4WO4W6pVDmEDhpK9lk7+6THvqmWflLQgj6usbJDc3R557+in9DQcqb9M7Pwby8vKkoKBApuB75UEryOFw78i4XvzWuNckGBd6zifyJwCJjOIAdjowJ4qCi3duZlu6dXeOInLXYVsumS65jeahe3om8qZ9QJw6mzV7Pi+GJAoonk0muvT3LnQkKOuVhzouXoSjsrs+6S4F5XzXFiwGLAa2HQboqnbChAmyatUq+eyzz9SqdPTo0R4Xtk2I6c7Y7bRqigyN3XbPtrP25EVX3Q9J3qrGzwINNfnRMX7oKehyA9ZRLOOaW33K8D27ebzaNCcFN3jNBUiz34PBjD1HGgNWwBRpjHZAe1zKcQ1KCya6ziMYIuG+dSX6+4sCpqzJjgItqm+s143BnLlzZPGvS+Tnn36SwqJCD7FJBIOyT6/esuvAXWXo0KEyePBgyYzreH/0Zoz2bDFgMSBSWV4F66NmZpw/nKRnpOnvtmBrkb9sTVuycLmUFJdJRmZawDImY9EvS+X5J9+Udas3uvw6uzPmzV4gN915uSkW1pkLoGcefUU+eW+KpzyF1f3695Z9J4yxAiYPVlp38fIz78qGdZukorzSU5FzAd06EYh3s0DdY+xwOe9Pv5ORew6R5JQkzff9U11VIy89/bYsX7paF64m35eZYBhGqanJcsVNF8uBh40Tfn8WLAY6CgMUJo0aNQrxg6qEGvOE/fbbTwYMGKCCJcvQ8o95CgBWw+IrFKxZ7Soz+8cfQxUNmu8bzylQ4TPP+J28+NIrEGrXaBEqNn3x5WQ58ojDA1XR9GXLlnsJl5hId3sGGC/peFjZvDvpPRk6ZIg8eP99SgPD2UDP/nE2hJibTVMae+nKy//mue/oi4kTT5Lb7/iXp5vHnviPHH7YoZKenu5J83dB95FXXXOdVOK3QSAuA1mR+Kvf2jTG7pr+7QytRobyHf+6S+6/724vCyx/bc6bN1+eevoZj8CBY2xwCx/8lbdpOwYGsrKyIOAdqZaF33//vcycOVN/cyNGjBDm8bdHJQB/v0F/aZF6avXyAaaay9sH/4bcKUeq6za2w/E5uIBBWnGt6/BEpgqqMY2a7nxOivToro8RlJ2PbfgALNNEZTT16edux4c/yXUe22RZgnlXvDfXTDduRaPJVPDgmzneYMqxrp2vvXFj7ywG2ouBtLQ0FeyffPLJQjr8E/h5/P2OHTtWhUzk90Xznz9hRXs7t/UjigFDXz1nNw3WTswUwbOSd/whHTfpZiQxoNPuMq75AiFW3OsttmvaNsXt2WKgIzBgBUwdgdVIt8k1HokJzwAuFN2XroRW/uVib9PmTcJN9UeffCTLli+T1StWS119nSQlJ6GfaKmtq5WFqQtk0ZLFshHawyRSI0aMlKR45mOBinsLFgMWAx2LgflzFnoWBoF6SkpOVO3vYAIm/l6/+PBrOfn3RwdqxpN++DEHyIRD9pE7b3xIPnYIhj7632S5+LKzJbdbtqdsqIuqymqZOX2OpxjdDt16z5Uy/sCxkpBorZc8iGnlxYv/e0jx+rcLbtaa3DjccPtfZMDAPL3n+17w0xIVGlFAOWfWzzJk+EC58c7LZOBu/Vv0RsHjs2/eL2+98oE8eOfTmh8DYdWt91wlvfr1cJUHyV+9Yq08fv8LsmnDFrn9uvvl5Wffkceev1NyuoZvLdCic5tgMRAEA7RO2nXXXbUE1y6LFy9WywfSkv79+1sriAC4awqTgc84SISsLlmydu26AK2FTu7Tu3foQihBK5hjjz5K3nrnXU/5m2/9h6bvN35fT5rzgq7wzr3gj84kFb70z8/3Srvu2qvlyisuU4EHY8Mcd8LJapX/yovPe+J3eVXAzQ+zZ8uf/uwtTNp995G+xTr0/mhYcN19z30eoVtZWZn8+a+Xy/PPPR2UGcAyRrjEAU7Yfz8VrgUaLOcFWpm0Fa68/DKZ8d33njXJDLhFe/KpZ+TSSy4K2CTfw5//+jdPHRa8+ca/S2pKaMvagI3ajE6DAcZe2m/8fsrkooBpzhzXeo9CppycnE6wVySzDUe7ds2dA938/fJohEVCTKzrmaj1TqvAusZaV+wVrAW5h/cHrrL1UlddK031LmFPVAxKUj7kMMFiHxQaxcXGSXQs20Nf7n2/ET7xTJelnIepXEDrpUCMSyoTsE22R0tVL+16fwO1aRYDFgNhY4C/raTEJDn44IP1t0h3pRQyUZg7btw4ScFcq4Jdt9Ah7IZtwQ7DAGkl6SfpKGmo0kUIhghU3uFeh+8slvQSZT3AUKFcwkFHgJb3TSinWgUsYIpF40WTu++m61S+8nieMpZsLE+w34QLD/ZvxDFgBUwRR2kHNWgIByYSDxFpVVfNVKSgoBBaDjPl/Q/ek9lzZmOhGiPdenZXBgM1JknUqBm5cdNGWbVyJVxvVEoNgmxzs9Cvd54uEjmhWdh5MGA+r53niXaOJ/l10fKQD0IBU2IYwpoFPy2WkyW0gIn0IAUWKj16u4J6x2DDyoVMLdyoffHxN/K7c8J3HTTls+lSWFDseQbSlr75vWG5ZF1aeZDShov4hHgZf9BenprDRw6WI0842Os7GLnnULyrE+W9Nz+T/zz0ovwyf7Gcccwlcs/jN8sBh47zXrSiJVo37b3vHvKgu9WjTjxYeDiBVlCHH3eg3HH9/fLhu1/KssUr5YbL7pSHn73DCgydiLLXEcUANTSHDRsmXbt2lQ8//FAtmUhLuCnLz8/Xc0Q73AkaI1OBQZ+5fgsG2TkuhYFTYEUzH0yJtsLVV10RdtU/XXKxfDN9usdqiOvJq6+9XobBWv7EE46TMaP3hLZtjAq83nv/fbVcqqqq9rRPF6D/e/sNz73zgt/E2rVr5ZzzLkQsghLNOumU02X8vuOEbu/69IEgDP39gBhCb0PINRfWNU7Iz8+TB+67x5nU4ddkzj784L/ljxdf6ulrwcKFcvSxJ8jpp50iJ598kqTgXRKKiorkjTff1rEX4toJV13hbWFMa6Mlv/7qYiKgIC3afF0Znn3OeXLAhP0xH7iY0mR28B3wN+cLffv2kT+cfaY8998XPFnP/fd5jftwzh/OloMPOsgzryxdtkyefe6/MmXqV16W0LvBG0IoazVP4/ai02OAzC9+K0ccfoQK+6dNnyZfffWVCksPOOAAZXh2+ofYgQZIRmFtDdzCRsWroIZrcwpwauqqFddxWBuqJbufTR1d4FeUVUh5abk0wN09f+tRYGo2NDXAOwo4lm6Ih7cSKptyDkmISvD8pskMrat1MT8pqK4GX4BrUQqYOB8Hgiq472Rd0u2YmFiJcbpvClTJplsMWAyEhQGKmikQ5m+SrvH4+6Wwf9asWbo2piWTxqi0v7uw8LktCpGOF4IXW1tbA35LGix+u7joLFirZaVl6vKYtLUL1uf0XkVyriS9rknqShqkEnG5KytAV2mNqtBM8BujIYGC/m5UAurh6AH+LumzGjvhGyD3NgbusLRGczV3O/ZkMRAZDFgBU2TwuE1aoaKpWrWH2Rs1kvQfgv3Rr2dMtOt1/zD7B/nk0080pgE3BqkgbvuM20cGDxykGwVOUrRamjVrpixfvhxEsECmgRmQjMXm2WecJT179FRTW/oA9ZKshzkuW6xzYYDKDhY6JwbWr90UcmAUMKUgZlooCKctZxtGiHzyGcfIGy++p1lTPp0WtoCpproGVlAPY2ETJb379YT1S9u1453jstctMUBmbCBafNwph0EYNVZOP/JioZXbTZffLc+/+6DH2qlla66UQBZm8Yi9dP0df5VvJn8vpSXlMu+HX2Tl8rUyaMiAQE3t8Ok675qFOM+WZm6zd2roELXwunfvLieddJK88847Mm/ePCksLJRjjz1WdkEMm0Df/zYbaCfriBtKWsa8+fY7AUdGnI3Zc0/N33fffdXlIJmGrYX8/DxYzuwWdrV0uLZ79OEH5bwLLtJ4AazIdedcvFMewYDKFHf849ag7uMKC4s8wiW2RYugjz/5VI9gbffr11fuuvOf6iIvWLmOyNsDbiBvvOE6uQuWTCaO1OYtW4Qxqnh06dJFu6WAyRcSweB96j+Pwx1Od09WWVm5XHn1tSEtlhYsXCQ8nPDc8y/I55986Nf13R8vOB+/uyKZ9N77niqLFi+R6264Uct3ycwUumekS0tfGIJv5JEHH7C/VV/E7MD31LTmbzcW+8vx48er94vJkyerIoDSlzFjlLkZTACxAz/+th06FiKNUFivw947HkJyinSasLevr22Q6rI6iUqJgRVTPPbnZB6aBYtriLxXN1mITdVYS4UxxEyC9RL5BDW1VTgbRqVIdUw1BEn1Eoe2EuLJqYSSPBiZDTX1qmhWXVGDd16n1pO0nEiNT5PY+FjlN1BgpbJqrpHc66SaKgil6hvRHtpK8h7XtkWg7c1iYOfDAC0CY6JjJBq/ba6T94SCDnkCU6dOlU8//VStYShkorWphW2PAURLAu1tpsiMiVVfWSsbV62T0opyeADpLqlJ6ZKQFCsNdY1SuKlUtm4pkNT0FMyd2RIVD0IKOk1DprrGOimCAcDyJculYHMBHgaKdqCr0U14/7gjFW+MbpCGqHqJiouSzJwM6ZrVVeKT4pAOiyclym4abPaxliRv+4/iN9BjYJWT38DD7yyPyAUitY98D5dwCXnQHKIblLjkWNlUsEmWrlgqq9eulmpIzsmwOeGE42UiAgofDmbE/tBkPPCgA+UkaEyeOvEUMB/GSJeMLtB6KpdPP/5EfoU2ZFV1lRI6Ery4OCwq2bdAA8p9NOHMNO8DowERC3ngpdABoB5+y7MdV9tuUooaSlJdtVx0WP2PYnj+z35efMhx+R1L4Ofx04X/sfgZo7+6rR2facOJA39pRrjkLGeuTXnn2cXsw6D5HwenKwVOUObQC2cte91WDJQUl4asSmsgxsQJBSUlZaGKeOVzA0o47Jj9pWv3HL3+ae4i2RCG0IuFZ3wzG0ymahm9z+6SmhZaAKYd2D8dgoGs7Ey5/6nb1DKN7+SSs66TwiAxu0INgnG0xh/osqCie5YfZgRnCodqr9Pnu8kcV/C6TeDKyUPvOv3od4oBcu6hxjUZ7XT7QaHSunXrZNKkSfLLL794GNrUDGzW6tspHr3ND3HWWWdKLizPAwGtTgYiziYhHcpG991zl1o9BSrvL531X3v5xVZbKuT16yfvvPma7LnHHkG135190oLm/vvuVVdwznTf6xGIFfTYIw9KuG77yAjfZ++95MnHHxVft3umbWqRtgV8BZ9cMweCE44/TgVcvf24G6RgyZ9wadiwofL6qy8LLYOcQMYz1/5tAQrkKDjwB7QQ+/v118olF/9RLRycZSho2LJ1q+e3aPIoXKDV0hOPPhJUMGjK2/OOgwG+W3WPBsFCHJRP9oTAmgHnaf3y0UcfybRp0zTeL38HziPST8h52fyjICUG/3R7gnmDCpZk6ulWMdIdh9MeGL+uBUPgwhw79+zcTfMcCIjvFKy/6FmA6xHuwxpqUAOGqjG1EC414Lm5OEE650werhYxf4IJmdiUJPGNSZKWmC6JEB4lQIiUmpAuafEZniM9IUPS49MlOQYu8bH5bKiGEKuyQapKa6RsS7nENcRJl6Qu0jWtq8TWx8rmtZulaEuRNEDQpQNyD74BgqyNK7dKTF28ZCRlSHJCsiqcBXo2m24xYDHQNgy4eDOu3zzpMWOUHnTQQcIYpnSZx9hMXC/TLRstHo17NvZm6IQ5t20EtpY3BkjDDTV3WQ3VwPqzpqpWIPtRWlyxtVwK1hZJRVGl3tP1HRUFCteVSvHacqnagvKguY11EBY1oZ7USFRsrUhKndTFVkstFAEoTOK8yhkvLjpRMtKypLaiUQpWlUrpykqJKowTqdCh6KyicwvmBPL5gkwz3o9i7ywG2oCBwDudNjRmq2wfDPhbjGoa1piN2CRyk+nScI+W1atXy6pVK6W0rAQbPVdgwP322192BbOGGwInxI4eA1P6CimCtuK8+fNkHfzzM8j2oEGD3EyIKPVvz42sE0i3uFj2Bleqd5qfO27iKU1RYB1f8JfWXMbUbE7pPFfba2zs1xdrbR+La8Pi9TZNY+bceVC+w4+kDguLUEALJmq6hAJqELYFKEw4/pTD5amHX1atx1uuulf+89o9IZuaNnWmLnyOm3iYvPLcuyHL+xbgYpfPT0tJXIKJAU0dMDB8GXa+9ULdq796LPTYfixUONvSprMN9Z8M7c22aujyGetxkGZTCy2uHW0Fe/ahIwfJPvvtqW4OC8AMeOmZt+Wv114QrErQvB49u3ryi4tCC0I9hXfAC+ccy+lJSZ2ld9vlTfL3lp+fr8ImuvSdO3euammSqUmhE62y6S6EQqbfelDjnj16yMsvvSDnnn8BNCK3QlO9VukU4yCd+fsz5FwImJyw19gx8tzT/5Frb/i7rF+/QZkQznxzzXeQCg3ZQw85WK675mqT3OpzJqxdnnz8EZk56wd54KGHZcOGDSqcIH0lkKbSYonv9MwzzpDTTp0YNp0dC8uJd956Xd5862156ZXX1O0zrbOM0IWCHmrfU5hzw3XXyODBg4KOn98c8elSsIoWWuOEAxz7aDDdly1fjuJNiD91dNBq++83Xni8+vob8tLLr0p5eZkqaphxE/eck7Ozs+Xyv/4FViP+41bRbVU/MJeKS5pd1Abt2J3Jtfv++48PKjDkezn/3HPknLPPkjvvulu+mfatVCBOFr8vzqsECqLoonFA/3y59eabpGfPnu4e7GlnwYB51861DxUA9oDQmLHzfvzxR/nhhx/0WxgFCz1q0LOsWjwFidnTFvyY6ZjfL9ltOktjyavxlzBp86xgCralkzbWYZeecWB0/oCjJjsyEBDXVBRt5O8LxRjflGl11Yi/VA0GJAQ9sfVxcH0EXXkU4a/QqycmNKCXOlg5NMVLYgpc38VhZaOcRq+SOn/GxsMaKhEsImQ11tFCqlqqKqolGu0npyTDUiIO7vGgToq8qpIqiY+NB+MTfSC2EzuvhQVUWWGF1EIwlZmZLMkUisUznhPKtBgc0ixYDFgMtAkDhg6bMxuhO8r8vHyNyzRlyhSNyUTLaFoy0dU01xNGiaS9e+o2Dfo3UYlUXfUA9OyyMQLxg8VZNHgPiQ2w6CwHfYVAiC7ryEqtKWuQ2iLwJqqSJTU6UxKaENuuHvNZDHgEMYy9FyNpXVKk/8B+0qNXT4mJikO4JfBFoDwQg4B6oMrqYq+isVKyU7Ikr1dv0N0Y5aWQmEchdp8CabAFi4EOxIAVMHUgcrdn065FI9ehNKuMUkZqIxjM3MAXwOUdIReTzNAhQ6V3r17qs5WMXCfk5ORCu3WgLF6yWBYtXqSbgjXwbU/txh5wk0euL83oueG1YDFgMRB5DFB4FAqS4SudRyhITMQGsBVQg5hLBvY9YKw8/+Qb2DTWybw5C2QVXKL169/bZLc4V5RXyuSPp8NyKVnGjttd3nr5A3cZrGq4QQ4CWzcXqkDq0/enysb1m71KclN7xPEHybEnHyp7jB3ulee8+XXxCpn0+idqWfPax09o1uRPpsnLz74jc+HSzQk9EWvqSLR58WVnh2RIv/fWZ/Lx/76UWbDYcS7myTTJIz5099zcelZWhjz5akthHAU8rz7/P5n66beyYtnq5gq4IkP14CP3kwmH7C0HHTFe6bdXgXbc/Pma82Tq5zOUln8/3RWMu63NrVqx1lN14JB8z/XOfmG+XvcyfWd/3E75fNw8Dx48WJViyKwkI5MMTa51uGYhY5sCJroO+a1vnrPA8H33rTc1FhNxRXxQ+EB8+YMBA/rL66+85CXo8S1Ht6dsg0ckYOyY0fLS88+pQItzjBGmcKwUBLGftqwzWf/UUybKySedqFq7dXh+E+yYFgAUgrDtcL4Rzj2T3n1L3de5xgXN0DDhicce9gjr2Gc48LvTToUXgYmucYMxZOYb4p5tmO89UFt8rtdeedHDRApUrmV6lDKPW6a3TOE7ufGG6xUnFC65lEFcFJKxVoizSH0jLXu3KZ0RA2SpkYHJmB8Ugr6PGGrz58/X73f33XfH3rFHBw6bvfOfE3BvhEvO5O1wrePQsThGGOaCQi1zwYGkkKkMrolNbL0mxORogDfKxKYUuElyPz3a1GW2oxsyF5vAVK7Hnp1CIrq+i0vF3t2QIzMO4oX1WRd52i8SaqrgFg+u7pKhWBCf5lL0ioHASoVGoElsMxr3ULIXOMSTCsRdKi4uk+TE2KqzTwAAQABJREFUZElIARM0iUxStGlkaG4ZILuzYDFgMdA2DJh1QYva+A1znczYpRQsff3112rpz73qwQcf7Fn3sH44658W7duEEBhwEV/K71WGD7oXS+tSsll51IEvWxUvMZWgpVUQvMNhDGREUlMCGl8eK2kxyZKbmg0LU7i2Q1Morf3h9YGmQsmoTwrajQOdRyZpKaChqkk2LdsijdBLzu2WKwMG9ZXuA3PVLWkDaL9rLK5xeSZJ962rBfvXYiByGLACpsjhslO2xMmE2ry0YGoA1amEb3RusuOxuMzMzFAhEzeJ1GRgsFAnJMQlYJOQCa2zrpKakqrMmpLiEmgpYgWJBSeZAFzsRsPPmp2gnJiz1xYDkcFAdo4r7kKw1uh+LinFP7PQWS87N3RbzvLO6yEjdhW6Wdu4YQuYSPUQsMwNKmB697WPhe796F4vOzdLtW7YHvewPALBgvlL5KwT/qLZDDKcP6CPnH7OCZKekSaT3vxUlixcJu/h/D4EPTf+629ywmlHtmjq9ecnyd23PeZJZ52PIBR66el3JBMCn/0Qj2j/g/eR76f/KLO/ny+MTfXMo6+q+7g/XHSqp57zoqa6Vs48/lJZ/utqpXVs57Cj95dhuw/WsfyCcS9fulqrnHzG0ZKRmSbTp8yUMgjafKG4sEROOvg8aKZXSmJSgrofPP0PJ0AbKRfCqyny87xF8tGkL+XDd7+QK2+8WE49+zhVEPBtpy33fRALq1//XrJsySpZ9PNS2bKpAAvR7FY3VVleJV9/+Z3W69YjF8K+Ea1uw1awGGgrBrhe4ZqjT58+cPF7gjLh6b6XzGwe+fn5+M3Y5a3BL9eBtFoKF7gmbE35cNsNVo5jpNAkkOArWN1QeXweCh3bC/zmGOOgLdCWehSCuZRHQiuQ+BsTn5tHRwMFXi7BmeVWdDSuO3v7Zi9omJuMxfXll19q0HnuGQ888MAW3jI6+zN1hvHRzZ9LaiQat46ujvnbjqLmO5iVibEpQdbWrlU39+vc/3P+bEIwJ7Acybl0HY6HZFeuZASGZ6v6s6ZbJVesFxUUkayAsdkUSysoWAvzBvXorq8CwqjSygqNAxKXGifRKcijIIssBjJXtT1Xfc81bi1YDFgMRAgD5jeG5ui2lPDJJ5/IV199pQoAI0eODKmkopXsn/ZhgKQXNK8BVkq15bUQ0kPJi7q7lU1SvAY0sgT0sxRKAxsrpBFL1PItNVJX2oh1H4T2MAooKSyTxJhEVbSiAgEYuaD3aBTeTghUAKCQkHS9ZF2VzJm+EFakIoN2z5O80VB6TUMh3MdxP8RvwjUVeBxFOT4TNmfBYiBiGLA78IihsnM2pIH/sCnmwpTavGTaxkDgRI0GuiFxberhZxl51G71hnhUAzHDEQ1ilpyUokSOJpZcmtZjkaouaNi+BYsBi4GIYyAPApZQkJJCC6bQzLO++VhstAKc7vm4kb313qvk4t9fS1Iik974VCb+/hi/rZWXVcgTD7wANxpJctOdV/gt4y9x1fI1ctmFN2vW2H1HyeXXXygDhwzwFD3smAlgJNfKzVfeLV989I388+8Pwe1TkVzw5zM8ZXiR3TVLLrnibHn83y9o+hUX3SYU6px5wcla1sSDOul3R0HgXimXnn2DzJ+zUB699zn5/fkn+xXmPHH/8ypcYoNX3XSxHAu3fynuuFdHnXCwrIBw6V83PiQ/zvxJPp40WV6a9IhcetW52r/zz/JfV8lFZ1yjwqU0uDV85Pl/ybCRgz1FDjvmALgaqpT/PvG6PPvYa3LfHU+AmVAmF/3trIgJ8YeOGKQCJnY694ef5dCjJ3j6D+eCrln+fvn/qTUbKf9VN1+iwsdw6toy2x4DRsPRMP4iNYLWtNuasuGMj8+iTDIQI7pkuuiii+TJJ59UNyB0g0Z3v3l5eRH7zYQzJlvGYsBiwGIgGAZIB3lw37UjAAVCrR4rFwVYI9KarQFCjL322kut9z7//HOZPHmyCq733Xdft0ByR8DC9h+jmT8xoSmjkLHoGhBTKiYeyAbzsqqwTso2Vaqmu2q78x14gFIdHhAW4dvje6mFkmhVJRwrRUPqQysl5DOGswEqpNJ1NCI66funoDsBLu4ErprozrkRzNGYFK9OTFV4NYH1UlmV1ILHkJGTKTGJ0M43nzuZm+YwaZ6a9sJiwGIgXAx4aEKACqTdXCPzTKUdui3l2vjNN9+UO+64Q+69916Nz8S8VtP4AH3aZB8MkNYRQFrLN1fIyoWrZPOazRJdA+JX3CRr1q+T5KxUKSmokO+m/iyV9bWShvh3dGe9uaZR1mxYL/VpNTJq3FDpNTBHktKg3KRtgmLT3TWMAKJBXyvKq2XD8i2y7OcVUlZRJmP2GCV5u8HLFNlCJNOg8R5qjfqYBixYDHQ4BuwU3+Eo3r4d0FWeCoNoMwmIT0jEQjFJF5qFcJW3avUqqayqVCsno+lITaSE2AR1kbJmzRpZvmKFFBYVSnVNlfSAH/W05DT4W44DEydZ4mC+6SFc7keN0tUkU/l5OQ93AeeJwU+9Dt/WnIXtdVsxoG8DkwqMzVq8r7a2aet1PAaGDN81ZCcpcFkRjiu9fSeMCdmWswA1Y5wwZp/dZdfB+Zq04KclshiWQf7g269mCS1+9jtoLxUysQxjRgQDCqUmHnah0HVcLgREN9zxVy/hkqmbkBAv/3rwerVs4sL5xafekl8XrTDZej4E7uX+cNFpnrQNsFAaNWaYXAaBlREumUz6kt8frugItOCkkMkXOLaXnnlHk/c/eC+1qDLCJVM2f5e+8qcr/gDriXgIrark1CMv0o28yTfnu25+RAoLimGRlSpvffa0l3DJlGHbFE4dN/FQZZA/88irHoGQKdOes9O14fwfoe0UANasXCefvDfFc3z8v8ly2zX3yYSRJ6r1Uq8+3eX5dx+Sgw7f1zLyA+BwWyerOxtaIuOnS5e4JpAvN5pUKiFwY+rvoEs5Mo9YlsDflxNYx6SxrGeDa/qC8JdKKp50d2UGFObG1l8e22M6z3qg3Vq0Q613Asds+nQ3pyeuVWihZGItUeB03HHHCeN8MJDxhx9+iBhC2Jy1UJpxtmKvLQYsBiwGtiEGQCubIBhwBlk3NJCjIO0k/VUmPum4G5hu8kgTee1SEnTRzlB0zpQ19Xh2grlnOfbPe47LXLOsjsuHnpLumoN1eOg9FBYZK0JpNIRp1KBnjDxaRVKLfvr06RoTjW2yH9OGc0ytuXY5hnOyM6D9jX2lprsflddqZdOahjtBWQ9eOX7urbGZo1u6eDAWqSwq9cC741sJNuRGCPz0+0EbJUUlsn71emV4VhZWSeWWKtmycqssnb9cClYXSFx1rDQhPgjXErCDxDuC0n1DhWwq2iQbV22S2q34Vgobpa4YgsRavHdo3EdB4FXdUKOCxWR4VcjqmgbvCknerqf5Png0f97BhmzzLAYiigGlbVgbuxj1rqaZZsD32vz+zJnlzJqUdJdr25rqGnXJzDyWY7qhyaYs18xm3ezVB/bZJp31fYFl2QYPMwb9DSOdc4mu9ZHHNNJRCosM3abVNC36DX2li9LTTjtN46j/+9//lmnTpklJSYnms1+Ow8wBvuOw923AAGimAsh0Y2KDxGRiBsqIlsqoSilpgCJcVrokZuL9JMNtaVKtgO0qxaWbMXfWQYEVVqlIT0qtl9qGYigAYP8WBVrbBAunKJRPBu81Dh3AGqp+K+LdrSqTLSsKZPeRI6T7oBz0hTwYOfGbobcUE9eU84dOI5xKcPDegsVAR2DAWjB1BFY7rE2zMmueDEN2BeJBCySdwHDdE0HhunbrKkuXLpHCwgKZPm269M/Ll+EjhgsDZsfCUonLcAqJVq5cKTN/mCULfvkFQqgKiQVTp1+/vpKelg6zTNdkRE0obiI4gbnA9xxyhLaAxYDFQAAM9N81D7/XbNkMV2aBICUNFkzYxAUDumwLFrPIX10uNH3hgEPHeQQ69/7jcXnq1Xt9i8i0KbN0Mcy4TeHC2698iDouunba2ccLXbkFAjKX73/qNjnpkPOFwp/XX5gEd3mXeRU3VIiJjANxTgDXd8zfY0xzLKdvJn8vf7vuAiZ74L7bn9DnYcLwUbt50n0vdh89THIgHFu3ZqMyiJYuWSmDHBZYX3z0tcyGhROBQq1QLgvPPH+iTPlsBgJ2lssVf7xV3v3yGY+rQd++W3NPgaQBusgLBIzRFCxOE9/WUw+/LNfedinc+3UL1IxN35YYwIdPRhrd6VTXVKvyCK156L6Km04ejN1ArUVuPjlv83dOhic3pkyj0gg3JUxnWZZhebbBckyPZXwVCFOZR2EQGaK0gmY5prEfCrd45u81DgopHBc33WyTfXHjyzbZF+M6sl1aYjKdzEGmV8ClL9cXbJdnprFdburZLssSuL7JzclVbXmWWbp0qVBj/sQTT9RYINvyFdi+LAYsBiwG/GEALEGlzWQWGjpsrM95T/pI+hkfh9gMoG8E0kBek2Yyj2fSQNJd0k/SRlOO7TKftFJpLmgyaTYPlqXbOuYbOsz2SEMNHTWKACzLNMPULC8vV9pr+mJ/Zrzsi2XZlqHNrMe5JCkxSfti/pAhQ3Q+mDVrljDoPPMZR4/7Tj4f6TbbaDs019WYF2yILoWwUHHFnsB9c5G2d7MdaipeyAzks+AZlDGo7pKQRkGNa+kcemREBw71PgJPJCnwSpKAmJ98F2RWF1cVS0J0vMQisHxtZZ1aMUUlYuZGpcTUREmLStNvorGuQWpKa2GtVKfvPDkJ8zbaKa+ulLLKMgQbgWvPlDS0iUHjveK/K/4S8W+4TnweCxYD2xADpEtck+rvKIYrUhfNYrqhbaSBXIuSTpp1J+kl01iO6YY2O9OYzjUwy7AN0jPSW1O2tq5Wfyu855qcYNbjpJukkUxnffZHms18WugbIM1nWbZLoMCcwi2u9VmWAnzSfbbBckwz9JnlmU630hMR25Gx8b777jsd55gxYyQrK0vL0ysRn8VC+zHAb0DnHNC95Mwk6bVLT8nu1gUWp7VStKgaLuo3IUZSlnQdADf1EBY1gOVSsKocru6KJL1LMtK7SFNyo6TkgE6nwHoJ31d0FAko5kquD2A3UF9dL8WboCywcqNUwZKpuKxEEjbHSTr87SWnJ6rVU7waAnBPhaoYC8mw15yhCUy0YDEQOQyYqT5yLdqWIogBP6tGXTmbdPhe9unNeU/iRo1mLkg5aXAy7ZfXD4v6QbJy+XJZtmypzJ07R7p37yZV1VWS168fNgTJKjwqKSuVad9ME24GNoIIMgZT70GDZdddd9VJkAwlmsDX1YPZhA2GgXbtD0wj9rzNMcBNh2dTts17tx0GwgBdUxwIC5HXX3gvUBFouqSAMRvcQmjv/fZstXCCi1FfOPL4g1SowPQlC5arsMlYNTGtCK7oGO8oLT1VYxQxLRxYvMBlDaXCoEuarY8C1e3aPVdG7DEELt5+kY8Qq8hXwOSsl4U4ViMh/AkEGV3opNgFdB/iC/NmL/Akde2W47n2vVAXpA5LrV8XLfcSMH08aYou5lnv5N8dHZKZ0n9gP6GV0KJflkJotUHWrt4QNO6V73gC3VOL1UB8ImznA8CpZx0rf7nmfK9cakH9unC5THrrU/nu69lCgdysb+fKZTdcKIw9ZTcmXujapjfcTJIRpYw+WAFt3LRRtm7dKnl5eRpsnRvRNWvXSAEsl3v16iXdunXTzS/LLFq0SMv07dtXBTJkHq5auUpKSksQqzFTy3NTu3r1atmyZYum9YQ1M9N4T2shMiW5ec3JydHNMWMilZaWSu/evaVH9x66TuCmeeHChfqdsCzHwDSW5bg41n5Yh5DhxTGwLDfeDAzPgPHceK9du1b75H3vXr01vwxuJCsQ84FCJloxsd8ff/xRjjrqqG36DmxnFgMWAxYDoTBAGr1s2TKlo7vssosy/mh5SVpMJiPpXZfMLlJWUqbCcrZHupqbm6t0lp4lioqKlAFKGpqRkaE0cePGjUr/u3fvrrSR1qAsW1xcLGlpaUrH2T6FVgsWLND1COkz6T7nj03Y65Ges2z//v21L9JSzg8ccx7oM8fAazMGMjXZBtulNjznCDJYOd68fnlqzcLnIh2nmybuF1955RUtx3ocO6H9Aib37tezCeaF6wbbGzfwvvnOpO4IZ+LcPzA9UJ53Da7P4hLiwJRsgGURvJCAiRmfCMale6kfXxyv31QMFEhK4WopPRbeShBInmtbMq5ToxCLGfGeouphoRYfI40xaAd7j/iUBImNikOdco3RnAjBIutUltRAWIr+wAttBJsgWg/UJ//a8rC9X4692yYY0N+R++fCNSZpE2kf17MEQ4cpXCJdpACctHbz5s26ViW9I31NQBxzlqVyFGkbBTRM5zqWdJhrVdJK0nLupUkv2Qbp5LBhw3QNzP5XQpGbdJPl8vPzdQykw1znEij8Ic3kOpltcLwcF+krFRHYJj0REXbbbTfJRNx00vcNGzbouDl+rvf53Eyj4IkWpXxmWvrPnz9f2+L4CRSABaY1WsT+aTUGmiQ+iUoboLXYT9Wmw8JtfQHeT7F0QYzqnv17CMis1JVDyL8RyiDJCdKlO/ZdA3pAQxZzFqxDKVwimQf11BB4egO6TZ4F3ZsmpiVIbo9sfI8VUrO4VlLXJiLWVpb02aWHxGdBkQ9jdgmYcAWGn8cjqqXDrX6btkJ4GGiWDIRX3pbaZhhQcoDeeDZg0lypoDWeQG0soblMVOAFTGoxsUVhUckJqgKTWSwY1v3z+2MiGqJu78hEmvrVVJ208pGeicU+XUVt2LhJ5s2dKxvAOCLTd8CAXeSII46Qfvn9tC3V3ICWNNtuBvbpGUBzsr3aITBAIVNIcH6OIQvbApHAAK2G3n3tYywMW1oUsf1UuFRLTHJp8vvrjwvGQ47a319W0DR/wpa++b1kHwirZnwzW2MFMYaPU8D00J1PK6PgL1efp9qPpgNqNwaD+T+6hDgUTIWzuI3HJjkbCzNCTU2drIPwpVdfLMb8QFxcLGiWSxvYT3boJMfvItA7MI1QQ9mAU/DO2FEFWwpNlvTftZ/nOtAF8dC9Z64KmFhm3uxfIiJg2rxxq6fL/gMCjyMWjAF/lnF77j1C9thrOISLy+Wv590ELawCuevWR1WD9TjEprKwfTDATaxqUeK7aYCGMZmKFPx07dpVcrJzdGNJ5h83mdw8UzOStKGwsFBjF1Hgw80otZHJVFywcIFuVAcMGKBtsG22R+sgwxikUIna7cuhsMJrbp6NJiTLsj/2wTTGf+S6gRtqppGxyA04N7zcqK9atUo36mSY8rfDzTf7ohDLtM363GSzPDfIrM+yRcVFUgCLbG6kzUb/p59+0o399nkbtleLAYsBi4GWGFC3nuDukA6ThpG+knZTsEOhE+kXmZhU6iNtZhppJMtR2M86ZD6SschyFMbTCqq8zEWHmU/6SnpOesl+eFAgT8ER6SkZkCtWrNB8MkpZlvXI6CQdZlkK+sk4JQOV9J3rEc4PnDdIcw0TlONleY6B7ZLuc/4gcJ5gu2S4si6fgQI1tsuxUQFS8YHnbx9w3cU2sM7T9RrvzWFa5j3BsaBzJew4fzl08xi+o/ZoCEKpNMAz8rtIojVwE87psCBOh5vZOOzhadQB/+lpuWnKOGds0nK8y7gGWMLB5IjCIvZLDyepaalQVmM9DCAqUdf7DXTHWwQXXdCop/t8dl8N1/uNcJ2XkN5FqvGuqcEYmxgj8clwuAdXetH4ngIM0/fJ7L3FQEQwQBrG34DZY3LtSjpG+kuBDYH3pI2kV6RtXNOSnpEukhZyfUp6l4hwE5s3bZZ1iKNDmso2mEdhFNe9FAgZIRPpN+ki6SjpJwVBtAqkRRJpM2k56XheXp6Oj0KqJUuWKJ0dOXKkplFoz7Jsm2U5Nj4Hw1dwnUygUgD3oFw7syz75Po/OytbLWfZD8fFNmlR+u233+qzUWilYEgmfpoW2o8B0mGj6C+gkU0NQCyk7Q1VjVJSXA7PD5QQoR8c9XB/V7S1SlauXScpUQlKY5vq6D4RsZbiQYMh0CdlJx3Gy3TRZFySB5vZNUMGxg+QqopaqSyvkaJ1JbJ5/VaphbCqS1yGpGbA4g003MmfcEwXrge179yFB/s3YhiwAqaIoTJCDfFHrj90s4o0FB9nFTmDSGk+THFRlDF1CErE3Omue/6N1kmFi/jayipZtHCRrEfQODKKuMivoVktpN9M40Qze/Zs5LkYsTShrwdDOwETGTcf++yzjxx55JGSmpQKoujym812kjDJth10wG2vbmtaDPwGMLAb4jD1yeuFODwrWzwtF5gUtlCAw2vSAV+gK7Y9EIOotUDGgC+wj2tuvVROOfxCLJ4bNAbSxN8fo30XbC2Sb7/5Qd3EHXPyIV5VWS8YGFdtXbJd2qzBypo80h8Dy5euDihgCtW3aSPQ+ZQzjxW6AyQwflIgYNypstIKT/bw3Qd7rqsrq8EAqvTck2EeDnTv2dVTbMvmZgGVJ7ENFwt//tVTa+ToIZ7r1lwQpwN3GyDnXnya3H3bY8ooeu/NT+UoWLjFQqBnYdtjwAg0+W4SIHAmc4+baTIh6TKPTD0y+HjPjTA3zizLDSgDsWtZMDW5eWUZblbJcKRWJsvy90YtTzIGeSazkt8xN9t0dURGYVaXLC1HARY3sKxHIRDb5AacNIVrD+aTWco0lsnPz9cNPdca3KxzLIYhyediWfbFMXDsFE7pJh/t0DqbTC8KsNgeN/E8WMdJI7b9G7E9WgxYDFgMtMQAGU+kg6RxpHWki6RrSrtBpymsiYNiH2kYaSsZlKR3pKNc45Fmk0bzYDppJN2f01US6TPTSPtIW0mrWY80kzSbTE/S0oEDB2pZdcGEzSTnB5Y1Y2B9HmRkcgykrcbaiE/E+YW0mmM1cwHz8/LyPBZMHB/H7tSg5z5T6T807RNh8U2mF/ehHGP7wb1f9nDP2CJ3yjg0Lfg6tP39d3wL+gTux1Rmo/4BX4BcSjAp1cU9NdRRxjwtV8q8bsL7TMLaIDkV+wW4vlN3dWwLl4znkQMN+FqsVWsgGIIRE4RM5ZKGeKGqMo97CqOiEf9J77VBMEar4Ha3sBLeC4pVkSUB1ktlEE5R4BkfA3d7cBBQCq36uoZ6aPHH4/tDXBjED4lPgZAKbvosWAxsKwyQhvFw7r9Is0h7SCsJXO+S7pG2MY90kTSS9I4CJtI6luWaukfPHrruJA1nWbOeJo2lQMgIgdgerY7YFvs2/fOe7ZJOmv45Bgq7uP7mvMCybJdjpJUT79kuXVnzd0taP2jQIFZTWsy+OK9QQcCMlety/pbNnoCCta+++koVGDgurruJlyrEWY+JY8S1dihk6kjsHw8GIHRvqMZ3x4OhZWuapLqwXkqLYO1ZA9eLNeC1ltbBAKBali5YI2WFpZKZ2wtKAHBJXlojtdGV+m2Q9jaBqDfg22pCnVh8O3zXcbCMyojNkIycdOyF8EGATpcsqZIF05bIBrjNS01Mld0GwBo5k4oCnAnN5IEbc2nJsOd12YvIYcBygiKHy8i3pAtiUgBAAALQqOlcPoLwYIJoamKAVhA0ECGuMBPBeK6CJtECuJr5/PNPZSFcHaRgQ0ANij33HI3JtAeES9NVE4OxPqpqKlQyTu25btCe3xWbIJYbO2asTlqUnJOoccLTRakOzAzOnHXELf8groI3YKAeO03vnMB3vm0ELmlz/GOAAkr3V+UpYASVngRcOMvxzern6Cxgr7cJBmjV8+erz5XLL7ylRX/8LZK5EAemPoMq03WlL1x82dmSmRW+4Ma3vu99b1gK7bn3SPl+2o8ab4iu34464SD58fufYKVTpK7SAlos+X54pnHSE4A/AZkpEuzMBX5Hwe/OOUEehGUWGTeMFXX+pb9z0T+fDqdPnSlb3UIgWij16uNjURWCPPo0p7cmLhVvoqlFGgFY9LNL241zA90MtgfoFu++O57UjdDSxSvhMrXeCpjag9A21nX+brhRJF3gptgwMDlf0zIpLy9PN5OkGeY3QwEQGZkso+lQMmG9ESNG6KbTlGV+fn6+blKZxoNprM8NL4Fp7JttczNLpqmzLDfhQ4cO1Xosw7IEMju5mTZl+Ty8ZlBi9sGyZrxkzBKYrnlRMdIlowtc9tbKl5O/1ADy/K0yqDyZnxYsBiwGLAY6AwZIr+gajAw8CvtJ/0ivDb00Ah7SPqaRXhrGI8synbSRdXlmmjnIeKTrJUM7eWZ/ZCCSFvOa9XkmvSaNZxn2EwXGFK9JyzlvsIxhgpLRSmUBAsuiR71mm87xMpHzCBmhbIvMU9JhAucBWgVQkXHOnDk6pvz++fp8ZvxaMGJ/uNCkUInCJbPo5NlcR6yjDm+IwkhvwF4fDMZozHu6J+MzxiLeChiRDYjP0dQELwCNeEd4ByzQhHepnkawoWtsRGyXhhoEhofLpkZoyVMzHvGS9JWimabaRjA862CFBOZlGryelIMjShSqtRLORJ8bpVRc5XuuhOJUSTnifqRCcJQBAVIp4m/Vot2mBMlIz5TYJAiuEuG2q6RKqstrMYYGCJjgQo/PxfZ8Hw9JFiwGOgIDSr/ca062T+GMWfuS5hEobDECcUMDDR0mrWY5Iwx3ljVtm7L8bRiazXZILykgIpi+KFgiLTdrdrZBYDljUcW6LE86TKETBUcsx4PpLEuFAwLHxTS2S/rLPKbRvSUV/9Iz0tVSlq7xpk+frmMaPXq0KjtwrKzHs4XIYYDvIxaWoGWbKmTzyhIp3YI4WuUNshVxlkBmZcUvq2UjrOAa8b2Ul9RLxUbEUypBjMaCIknJguCzR7L0ie0pGd0hAI1rVAu02hJYKpVWS9d+eL9ULkY78JOndLwRVlHJObBKhnu9KJDvYijFVm2sh3IAvq1U8IhjSMBx7abj7uk8cg9sW7IYcGPACpg67afg/vVzBamrSA6UqzEeBJ45EbhXZyBinHDAo8Givhau8GCKnhir7mvmzpkrH370gfyy4BfZWrBVUpPh4xuCpf3G91H/rmQkLV+6TLZs3gINOAT71gkqTfIQr4kTLYVQ3HhwQWm6J9FsBud1c6q92nEwwK/J9y2aL41PodcswMOZwUwLHY6B/Q7aS/Y/eG/5+svvvPriYpCCJT2gyV/v40VvNARBx53SNrdlgdzB0WJgr/F7qICJg5n0xidwwbeffPjOF7rhpEs/X2h24ef/4+kDodWKZWukcGtgCyFnm9w7m1hCpEW7DXMxnZ1lInn9f4/cIFdfcrvQvdyFp18lV9/yJ098JTJRPnj7S3n03md1ozBwyAB59s1/e/2gUuDGMLNLumdIdFOQQN/3IWDDuk2eEi0EVp6c8C/efOl9mOYjCDNgn/0RlwvzRHuAm5aMzDS17Koor3TNEe1p0NZtFwa4qTVgNqHmnkxEWhmZTbNJ9y3HdJbjBtUXWNe3PpmWPHzBXzrplb92zYbd2QZ/19R09wVTn8+qm3iq5QG+/epbdfXHtiiYGjdunG7Kfevbe4sBiwGLgW2NAQ9txhqaLsdo3WH2UTz7o4H+aHOgskw3tNH5bL70mnn+2g2U7tsun4Np/tolfTdCfV6bZ2YMJzI06faJe8oDDzxQGbl8ZrbVIWD2zbqHNty05vmxQ/rcJo1iHsca3LitiwJjUS2L4sDQhhWSGh/olOjCKx+/CWV4R1fNxXAnmwwro3hYMnFNoBnM5CXqJ2DOZeyvUhzgp0sjXDtFJ0AQWo+GGtwKprA8Yv81lTVQKqqFoCpaEhE3JBpCrNjGGEmJATM0AQqu6Xi/KBuDsomwXoquxcCwR6mBOz3GZoqmFRX7tmAxsI0w4KQ3pIOkU04gXXOWYR7LGPps6B/TnTTQ1HGWZRkC85juLM/0QHTY39qZbbC+sw2OxTfN9MfxMo/90qKKPII1K9fIjBkzEHt9rtLf8ePHa1x182wsayHyGNB3B4uz5PhkgexdquvL8VE1wM0/BJzdkuCuNNZFZ6vKpSIhXbK7ZCM2U7rEw+ooIYMeauCpAYp/VMIrhYXT2kXrpaygUtJSukh89wRYpoLGw51eE2LiRWFuiMmCQDI3AYL8eAj2yyQOdDYabkkbVUmVBBe03NLdyL9o26IXBlpyBbyy7c12w4Aujs1i2Hk218afZjOV4BWFQzEgMFzM1YHbPG/ePPnk00/U/R1NZOmuIA0mwF27ddUjPx8BwOGfdRdoUdDvMiciEkNOONRyo9ZzXGy8Tk6NIG7UqDebhu2GG9tx5DGAj8fBm/Rqn18cvy1zthOTF3q2yQ1/k7ScodUQN4kGaBJtFqM8w6DaZOnC8rw/+be28RQKchHsd37syYfKY/c+B3rRIHS5Nm3y9/LNlO9FYzRBcOELzVTKN8d1P2LPoSpgoos5BqaOZzDMIFBTXSMb1m7WEowT1CU7M0jp9mdRaPbIf/8pl/7hBpkz62f5/bGXStfuORr7qqgAWklYxJHuchwPPHUbGNvejHEKYnK7ZnsG8vPcxTJuwmjPvb8LarU5BUwj92yftVFJcam88ty7nq6OPbltgkdPA7jguyopcsVboEUUv1MLnRQDO8mrMRt8fmu8ptsSMi5n/TBLvz8GkudhtDo76duww7IYsBj4jWCgxVoKtHhHnStbM24yxBgHZObMmRrDhDSZgn9aoVJbngzWjgHuVtzHTjLveeGJj8bno5sJXmEepMsuz3dGeRqz3Osxw05oRHzlarhyjgGzMoGCIyyzlefIRrQpzqkQHGFdV1VVrXHAjBW9WixBwBRDoRTlRFV1UgXX+/VwfUeXvMkpydJEBwpQco2Df72oBPAijAAJr5mu9WLo5gnWU41VGDvHaMFiwGKgzRgIRotNHmkwrxm3j3T4559/Vt7eAQcc4HHT2uYB2IqhMUCZHdgB8TlweRsDCzHQxJqmSkmqipI+u+VIl97pEpcK7zPl9dJYESM1FTXSO7+b5OR1keh02AsnQzifDgET3YmCtsbWJ0h9SbQUr6+Sqg11oNGwgE5FJ6TfIOb63vG+q+uqpKymRBpiwNMFpx+cW9B2lHHPCaEHbktYDLQPA/z0LXQ6DOjqEaPi2Vz7GaQuCJvTyZDkApNaC3UNtbJwwSKZMnmqzMKkwiCFFB5lZ2fJmNFjZPSY0ZKdk60+PenOqmfvXjJo8CB1X0N3CAN2HaCuEqgRp66Z0C61G8yk1dyrvdoZMKBfGr4n7lfMwecyX5857wzPuqM+w9CRg2A5c4nXb5C/yViYPlO4RAGHAa4h/nbdBTJ23O4mqdXnQBZMbCgLgpQzL5iobVZWVKmbNI7lqpsubnU/rDBq9FCtRxp13+1PhGxj4/rNsugXl6u38yB462ioQzy6x+9/QekrBTNDEBdr04Ytsmr5WnVLeCRiD93+72vl/a+el249cv0O55xLTlMaysyP/veFWjv5LehOpOBu/RqXBdM4CO2cAqpg9QLlPfnAi7J21QbNnvj7o2X8gWMDFQ07/d3XP1HXrKyQN6APvsNmhhGZFPNmL4DiAh1PW7AYiDwGSktKZf5P81WJhpaEXLuMGTNG8vLyIt+ZbdFiwGLAYqCdGOAeamfeR5l9qAlsz1gfdI/H2Cb777+/WpdyX9lxOOBuxVgs8WV1nt0LY2i4wJxxh7V6UHAUZTnu8evhxq6xGgqfNYhryBge1Yzl4To01gfSGyHIUYGPAxXR4DTGxiVKZTVifkCh1Lgw1DGAeVlbWafKUhQuxcXFS1p6mmvNijHQYkmZnNhmNEHQRJf6XNuRaUlLYwqZjKCrtrFWauqqVfhkHK3UN9UJ0+uhuR+bAPdezduVoI9vMy0GLAbagAH8LvX3CL7g1q1b5bvvvlPhEl3tkQ6PGjXKFfaiDU3bKmFigLQd8Sca4+CiLqNJknvFSlJv0L70GonNrJOs/BTJ6p8mqT1hUZoVJ01wgSewIk3vlixZeWmS2S8V1ymwQKI7RAjoo0CTk7pI18yeEDQlyYYVBbJ1VYnUwLVeFBh30U0xUltWL1tXF8n6tRukqLpIEjPgiQJKAdA/cE+FHFSoSSfM57PFLAaCYMBaMAVBzvbNIjUgcHXJIzTQh2cTJhP6yF62dIW88867sFyaBU2kKhU61dbWyMgRu8uhhx6KuEp7qpVAPRalhDosOGFhqRZQvNd4TuiXmwCIlfRMAtd28EfQmBbes7W9X1uzrRiwb6atmOuYevwtnnj6UfLLvCXy7usfaycqYKKLKgbmdGiDHnLUBPn9+Se1bxMf4gM48fQj5fUXJkGLsRo+hDcj5lB3GTpikN+H97Xo8S10BAQ0zz3+mqxasU4+/+gbOXbiYTJs98G+xfS+YGuRnHfKFSqgycnNkoOPGO+3XCQTX/jPm/LTnIUycLf+csvdVyheab1D7U66uguHWTIIrvPoSvDzD7+SLz+ZJqeceayMhOWWP+DG/akHX5ZKaIimpafILfdcpW5R/JV1peFlBXhfZAQ8fv/z8vqL72mZcfuPlstv+KNapQZuD0UxlwQDujR86em3PUUOPHycBiZnAi26Zn07V/r2761uHYdBONq7X09PWXthMdBWDHDTTKD29ELElqQ/eWrJn3rqqWq5ZHzXt7V9Wy/yGKAWrXlvwVrnfMbDQvgYIF6JX4LFX/h4syXbjwGz7nH+tplGRaGCggJ1xzR16lQZPny4HHDAAZ4YUXQR7KzT/pH4tuBvv+lbZtvdc8ZS4RKG1eQv7nCQ4VKYQwYh0Io1GYRLtfVSXVaFWO5US4+CkAhxlSohuKnEOaZG4uHHoDEeyqbUZse+IC4JcRHBtGT9+PgESUO8wi1bNklpeYXEIgYT3e1RCNQEF3jFxcV4b4Wa5opPk6HLSg45hhr0sHiiFVRdVT1cLZeQMwCGZ5q6cGIbUYjVxL6rqiqkGu7zUotSJCUjWdea1RBaVdZUSUJsoqTnwoUe2rJ8zm33Ddqe/GOA9KpjaZH/fiOdymcw9Jht874BHkaqqqtk2rRpGv8uLS1NY5Puu+++2j3psF1vRfpNONsj5YcSQG21xMB1aBTc5NVH10h5baFUN5RKUyIIaywEUFCOqwI93ly6RYqqShAiD55oQE/1oM4m6L5L81skKS1RevbvKutXbpFlK5ZLKdzq5VX0kuyecMEPmlqwoVx+XbxUtoAnkwrBf69deqiFFJUDGKaJoCf3taXBLpzYv5HHgBUwRR6n263FGGzMGzCpbN68WV5+5WX5/vvvhG7x6J4pGVoLew/bR8488yzZtT8CxEKaVFOPYJ+kfwAKkZyEhm35QpOKwFGMK1VnYd+CvKdT0HCgRTkMyKPlFU4DtozFwG8LA3+59nxop2yU76fP0cUhrUbUgsltPTJijyFyDWIEORebrcUQF6dm0Y1Lv9ANLuKGjRwss2bM1fy99t1DMhxxhpyVTFua5qfBONCoJ16+W/501nXqKu/6v/xLrr71Txp3ytkOBReXX3Cz2yVdjPzzweukjx/BhXPIXn07G3Nf+xmOV6mf5y6C8Ot1Tfvz1echVl2BV764PMQhYDU32i3j1jgLX3XzJbLo56WyeuU6+et5N8l1//iz0PrJCUUIynnzVffIjK9nazKFQdk5XZxF9JobdgNrYJlUCkFSbmKWSVLt1Jeffkc+fm+yLP91tdL6E087Ui69+ly49vN24Wcq1UAz1gD7pxVSgo+7QjIz33n1I3nxqbf0O2T5k884Ws449yRTFRZaX8Iqbbi8Cpd8p//hBFgy/WIFTB7sRP7CbJLb85uP/Kgi06Iyz/GDZlwoxowg85La8WRcfvzxx8oUO/3002XvvfdWq2u7YY4M3iPZykkTT5MvvvwyrCa5VmUw6t69esu4ffaG4HCijEJMLQv+MfDa62/IBX90WQ7f8Y9b5fLL/ua/oE3dbhjYGemyE5l8PhOonmtRumOaPHmyTJkyRYVLhx12mAwcONBjtW1ig/iuzSKDJ+5Puf/kEXivSk8NBiK55WyKBtOQDaKDqEaOAaD3rkvPXzM8dwJsjjxZzot6QfwUDawUpUoVxRsKpaYYGvANYFjqQ7jW6omNcJ1UihhLZQXomm6Y6qUKnkzyBvSXFAR9ZxykKOzrExIS4YGgq1RCCFQA98ZbEEyee/vGpgYIqtEb5tccxADJysWaE2N04qYBwqWaqhophbtljisnGzFEMjNdew3yShH3OSY5RhpTEWtkS61s3rBZGlc1SWYGylAeBm3+JgSal2Q8oc/zO5/ZXlsMbEsMhKI7zDe0ylnWeb0tx+vbF9fIPEhXOU6OixaHJaUl8tlnn6n1EmPfTZgwQZWwzLOY2Eu+7dn7SGEAkwx4nLHwRRqFeVGwZW+EK7w6CvY4/1B4BJocHc3YWpAOJUZLTt8cic9IYrg7iaqFwUASCGUMbmgLQHoPIX4S4i7tfeRImfPDAlm6ZLn8unKJpCQmS0J0Iug1rJjA282Ct6r+u/WVvCF9JQZtqIIDmvECMweas1emvbEYaB8GrICpffjrXLXdkyC1EpbDJcFWaJA1YdHYO7sX3MaMlYknnyIDdsmXeMRUasJC0QiXWvMQrgnVUqPW4MyWtRiIJAYyMtPk3idvkWsv/acy7uug0VgXB5cZ+E2P3nuE3PPELTB9T21zl0sWLpfVK9Z6hAcP3fWMXPCXMyAwGOZlRRMXHydHn3iQCpgoxD7lzGNaCLVq4O99xtc/yIfvfqHjYcyml555W4456VAZNXY4NCqxWnIDYxrd+dANcsffH5QF85fI1Zf8Q3YZlI9nGqmCm5/mLpQfvpunz9k3r5dcedNFmmfqm/OPM38SHgaKsYn+8N0vFTe+7uumT50lUz6dZopKObSIvvz4GzkIVlFm83DbtfepP3oW+ut5N3rK+l6wfHpGqozeZ3fFBcdt2jBlKSj67zsPyHUQoP0wY57cfOXd8vQjr8je4/eAZmkahE+/yuzv56vrkdxu2XLplefIMYh35dvOtCkz5b23PjXNylYIvU49/ELJyIQWE4CCoUJYehHfFEAOGTFQTjj1CLUMc7qxMw3QHeJ338yWh+9+1iTJyuVr5OjxZyFWArkBLuA3VgIhX3lZhY6Jwr0jjjtQ44PxezDQq3cPjKEGrgQHqiVUHGL6WbAYaAsGqCXNzTIPAhmYX3/9tXzzzTe6qT7iiCM0rkdGRobVxmwLgjtZnUpY3PPYuHGT/DB7tjzy2OPy5z9dInf+645ONlI7HIuB3y4GyKTUA9rVDY2Mz4tYELCipzu8r7/6Gr/dHzSAPOlzv379vCzsfdczHYpFVdvm3LE9963k7PEAoxp/GyG1Ia8QS8aQQI8knAMJjLmamJwg6bHpEgvhFQVMaAnvAa3rH+zrAWy7FgE7quCWLiktzuXajvWxREugq6V4uGRKiFZFjYqKCtDbSlUkSkXQeFrkJ6EPdY3Pxhxj5EjiwQjNgAJACtaFCbCI0gIsQ4ERgI5OElMTJDsqS5KikqWisFJdNyWnJkp8Fw5ApLIB/UWjD8ezuWrbvxYDFgOtxYCXUhVJAH6D6zesV8HS999/r+5JDzjgAHUhTSGUhY7HAOk8gXNdE4ki9zCIv5TaLU12HdJfKioRhwmCJL6rqNhoScxKlgEjB0ARIF7SuydLdAqIKgVQbIiK+PhPWkwrVhhDSXxurAzZa4Dk9MySgvVFUlFUIU3VTZKdjrhOcK+X2jVJkjMSJaYLhEucH9g/5h1VGOC84abr4cxB6NmCxUCrMWAFTK1GWSeroNTCNaZoUIo4mLxz4Td4t0FYNJYrQ3jU7qPkyCOPkMFDBimzrxGbAS+1pFY9EqmhswJvDCl1p5NyOcblLB3Wtbbv02ZYFW2hcDBgMGsmmHDq+L5iv3XQMF+dOfyWsYkRwUBycpIKY+66+WG57Zr71EpxDwhsbrzzcnWp1p5O6A7u0w+mqiCH7cz8do5shCbiqx88DssXbwudw489UO6+9XEZPmqwDIQLOF8ohDXO1Zfe7mmL+R/9b7JM/XyGvPPlMy3iCu0KF3RPvHSXzP5uvjxy73MaZ8nEWmJdLo6vvPFiOeqEg9Q/PNN84Z5bH4OJ+ApPMl3E3Xr1PXLLXVeqsMaTgYtbr7lXhTnmueia7par75UJh+6jjGyW/ecD10OYd7vQSoibeG68c7vlOJuROlhUFBaWwG1ImQqovv7iO7nu9j+rUMerIG4oBHrg6X9AiLZYHr33vzJn1s+yEu7mDCQlJ8qlV50jx51yuFou+WPGXPHHW8BcdzETTL3SknJYdpXrbUpqEqzLBsEl3/4ydt9R6r4wkNUSK5Sh3pUX3ebRMDZt0pqKhwFuZHr07ArB4sHA0TjMKQMkHQJP3zGOO2C0TP50umxYtwmMjDg57OgDTBP2bDHQKgwweDkZUbRgotBywYIFMmvWLP190tXH+PHjNWix7zfYqk5s4W2GgeOPOxaM51389keXoxs3bpTVq1fLjO++VwEiGdcPPfKo5ObmyBWXX+a3nk20GLAY2LYY8NBbLPgpWOLagMKlGTNmQMFxufTt2xf7ziNVuMQYPZ7y23aYrt5atdnpmAHy+XVra5rn8o2bMa9Ek9l8pgs7xR321LT0T4ESU1xTPObD5opkILqlTNombxERSZJgFRULrXhTNAqMSXrSjo6DkCkuQRLr47A+i5Gk6nh1mZ+E96TWZRBktQBlXEepu7uYWLaOOFrOwaMKhV0ExoONhpAqJidW4uEWit9GPARdsXDX1xjbCMuuFq3bBIsBi4F2YIA0gsIHCvvXr1svc+fOlV9++QVx17Nlwv4TZNCgQVAWbLviaTuG9purquQYT82z0l63G1IKiRIyEyU3rod0gbVofEqCCouYHpcWK93yu4CiRiPmEiircueVqLrwR6IJC1AST/IhavCuU7OTJR5eU7J7ZEp1RbU0VjZKShzckmYnSmwGLEnjm6QuqgFCK8Zwcg/GRaJDzjuuTu1fi4G2Y8AKmNqOuw6uCWpAguCAaCySIX9Gikv7yZVlqIWbmIH5mQ4G5iGHHALmbQ4WerHwez1Uho8YrkzoOmi2uxaBzfUcXYS+DEdw5DPu0I36luDY2jg+36bsvV8MtHq/pZ8d/+Awr0bfs7lxd4OGqeXmtfHwOwKb2F4MpKYly9+uv1DOOuEvwnhAdz58Q7uFSxzTbfdejThDV3oNj4tXf5Yv8XCfRoscWt/4g+49c+XbBe+3yOKnQ6snf0ABy/iDxgqFFBRQlUJoQyZjalqKCna4eQ0Gr370eLBsr7zPZ7pc33kl+tww7tKEQ/aB5dU7agl0H6zHuvoImFiFsag+/+hroYCLsZPu/+eTEKxMkOQUaCn5AN3OjRozXJ567V4pomAKVla0NmLZnK5ZLdzS+VSXmb+6YnD5prf1PhvuUGYtjVybZDgdCuEWDwsWA+3BAGlPbV2tlBeVq+CB1kt0kccgxWPHjpWePXu6GHDt6cTW3WYYOO3UU4RCplDw69Kl8pe/XibfTJuuRe/8v7vlvHPPUZdMoerafIsBi4FthAEs5hjrY8OGDYj5O1tpdPfu3dVl6YgRI1RIrAKSbTQcf90073d00+KvyLZJUy6fuyuzzQ0xJGO5y1oxsEaPTo517a+4F3fX1W25tudK4F/uw1wrbGSoe3umuvPBJ4iFkAm+m3BOliQojlGQRUakFnEVY5d+gV0Z8CrqvmmgfycwQGNgJZWcnaSubanBT2URMkfjIHQyYzHt2LPFgMVA2zCg9JU/c/zbuGmjzJkzRxYvXiwU7I8ZM0bdlCYkwIUm3F/SA4CFjscAaaQ5SFt5rfQP7u5iMxIkLgrKulCa4ztjHuPsaSxt3DTTc2a4QYVLiGUKpYEmtEFhIs8J6bE4IDhsSpGmKlRGLD2dMxjbCe5aq6GUlwK30/xGVBHB3ZxrTmRnlltnUGzPkcWApTSRxWeEWuMqjcIk0AxekgZAeI2lpVpKNgRQ/9FYBSieCB/Le+y5h/Tp20eZwmkgPlw41iMYaDzM3+vhDokbgsgAR+m1xMQ90wi+6a7U4H/1qYMXsbntwkDzZqu1zeB96uvhe8X3iVmQrh48gEvXLQUAbXn3npbsRZgYoMUirZkIThdlYVb3W4wCnFBCHGfFAQPznLde11zUUOuyLUCtx5zcLD3aUj9SdWhNReESN8aXQaDnT7jEvigYO27iYbJh7Sb5z0MvwY1cpdAF36FHBxayED9Z2Zl6RGq8tp3IY4CuBRQsWYs8ckO0yN8dA8b//PPPMnPmTCkrK1OrJW6cKVyysHNiYNdddpFXXnpBxuw9Tt3l0W3eG2++LX+88Pyd84HtU1kM7GAY4J6zurpatm7dqjGXVq5cKX369FHh0rBhwzQOJBmaygDd1s/GjQ7na2xHeOlSzuyACdysDfw9X7A8f+WDprmeh3EIdSNGbqFn++ViU3qeDutKfXYmYK9PZmQUmJmKDOYZwCXd7nnyWITgKOJKcKUxWV00QeGLwHpYpuv71RgfzMe46Eq5sQ7WU1A0ikmF0IrpYDlgGJpPliYFYBYsBiwG2o8B/uZoucS1MYX8XCsnQaiw1157qRIWeyCdJi22Aqb247u1LZDSUTTEd9SEg9anKgRCBr0zcG6q07+wEHUVBrFEJV7zcIPOYaS5ECzFoA2PG1POAxAsNcWAyFIQRZpOoRa/C8TiM8CmdMrAheHduaizKWHPFgORw0DbOH+R69+2FAQDXLCRGChRgR/paARvo5sYxlViQFBfQYFZxHPRT4FS927dtTZbIKHhwZgoXPO6FpRBOm93lmvk7W7GNtDJMIDvDx9Qo25gqCGh05Vr1uKESH/nfibGTvYQO81wuFikezda+NAqxkLkMfDPvz+gtJMt777n0JAdZHRptuZyupcLWdEW6LwYIL0zzJdOOkoz/3fS4bV5WMXFxaqRScslXp900km6cc7Kympzm7bijoEBvuPDDztMnn/hRR3wosWLwh4450T+Jtr7u9D1NP1KhQEsy3V2JJg4rek3jKHZIhYDEccAv9E1a9bI1KlTZdq0aTJ6z/9n7zvgZEvKer/O05Nnbtq9d+/dvZsjuyCwyy5BBCWJBBXk+XjATyUoKgIiTwlLMsATFQFBHsaHBDEgBkAWJbMoywIbYHO4d28Ok2c6vv+/zqnTp3u6e3pmevK/Zk6fOhW+qvqfqjpV9dX31SPt8Y97vF108UUuLX+IPNtEo1luu2ykV//MpTQu3IULarE7WRuNc+fGZ9KazxuKU2MI0MYO8SYBA0/O3z0RzokQnblqV+7aECOeVs01oEDyoOTmYLQHhrRdgcPn6AYpJecXOTRayO7prH9jTC6MYpm6kUhdubgTP4HNb/H8UOVT2g2i4uWZR0YOQmBdItCu3a51hjnW4SasL3zhC47BtH3bdnvMNY+xx1z7mChrOnspgmLFLexvfS9HO7t/9sIpSG4mnPRmkAW60zBsFv68u76a3XFjl4y1X/bUUV/uEgifeEM/n8QVN2m487w+Gn5R3CcjjLLQV8FF0o8QWAYC80cJyyCmqF1AwI8rcahbAie5JcBUSvCAN5jaIJg9BDqaJoP2+IDORXLdUdijBA763eII+DnP0mEIJk4RA9RXr6jugrJ3W3oiitkBAlQzR8kZTuB5OK9M9xGYmyvWiHZQr8nE9+baH36Ut+q+aRBgR0fTQWUIAup3GQjcfvvtTpc8J8hPfOIT7aqrrrKBgRoTdxmkFXUDIDA0NBTlcnJyKrI3s3z1a1+HlNPf2ne/+z3UmduwGJq0h11xOa4r7Bd+/ufs4nDhu1ncV/zSL9v4+Dik466zV7zspfbH73u//b+P/I19//s/sPPOO9de8uIX2ctf+gvujBIf/9Chw/ahD38Y6mjusB/ccYfdc8+97pwwnnVwBVRT/wRUAf7iy1/WlOH0pS992T74of/rSL3zd3/H9uzZbf/4qVRx9T8AAEAASURBVH+yf//8DfYNHMx955132bn796O+P8ye99M/bU/HOapLNfdCsuTN17/VqSsjjRf8zPPtx5/x9KWSUzwh4BDgeWnf/e53Ue/vcWd8PP4Jj7c9Z+1ZQ3TwTeZE2amEa5KN0LuJz+Zw6mRI4ocvK1TiuoX4TvKzQvkQWSGwVRA4cuSIcQMWmfx79uxxjKVLLr1kqxR/XZYz3vXVs31q2fXuvkuO4kSWWthgvtnUIwjUxMs7+aU5BvRuccqyC4GVQEAMppVAdZk02QGkwFhKV9KWApPJiVBCJLII8Uc3do44BGQy1RIjb7vZTrFaCNmEwDIRcOoZy+4jFdQ9MJv4579a/kvGu3dbZpKK3hoBqp87/6JzbHZmDhJMudYB5bNkBOLqAu+8/V67+PLzW9IqQzXI33/0X53/xZedb2fu2dkyrDw2KgLq3FbzzVFVJs/04CHFVIu3ffv2pgv2q5knpbV6CHz+hhuixC5pwSDimVxvfftv2x+954+dNG8UAZavff0b7vqLv/ore/vb3uqYRHWLoGHgf/vMZ+3YsWNQOdtr73zX79tb3vb2iAwZSKRNZpE3H/v4J+w1v/4bTqrOu/n75OSkff0bN7rrbz76MfurP/8zu/DCC7y3u9//wAOOocSHN/7Wb9qbrn+L/f67/7AuDM+h4vW3n/x7+6VXvNze8fa31jG46gK3eGA6T3v6M+3BAwdciJ//uZfYM57+tBah5SwEOkegWCwapZQuueQSu/TSS8GIPc+1n0YKzdpbY5jN/iwMNvsbVvmEwNogwH54Dmft7Nu3z6699lpspLnYBgcDyZW1yZFSXQwCK7lUFqfdzB53W0yeFVYILISAGEwLIbRW/lixp0q8FJhMTqQfrO5ykucmBd0BpZrIUIp3DoFAU4zjtFZ5V7qbEwFUsATVM2J3YLJCVY3cf4GDW8lpYkX0lVFVcNXePxdfX//WX1619LZiQj/1sz9u73nnh53u+ff+nz+z//22X7Ezdu+AuHtNhr0EPfcP3HvAXv/K37YDDxxyUmUve9UL68JsRew2VZl9/7apCrX+C3PWWWcZpViGh4eNdpmtg8AnPvl3dtttt0cFvuaaayK7t3BT1U88+7n25a981TlReuglL/pfkPy50h1q/d84k+CjH/24TU5N2WvBELrn7nvsXe/8XR993p3MpE/+3d87d/bxOSyg8/ynn3n+86P+/A//6D32W298swvTB4bUC17wfHvEwx9uIyMj7ryom3DI9kc/9nGX/ve+d4u9/jd/y/7+k5+Yl5Z3eM3rfgNqxr6IQ7lz9uxnPcsuw+7jI0eO2hegesyX/31/8gEc1n25vfB//qyPtuCdTKU4c4lMqnf+3u8sGE8BhEAnCFCS9IILLnDq0dg3s+1xTLp+zDr5aCMbbvMndBRxQ16doV+jW10APQgBISAEWiPQ19fn+mH2xWT0k7nEflgbzltjtpV8mn0Fm7ltJUxU1pVHQAymlcd48Smg5SepPBP/PCzT6WLHmUpuEAqlnWA7wQsMpkhsJEwi4DAtPj3FEAILIBB8jDAxQv2jHu0kmEulUsVKUB+WYF31OmNhZTUMwi9AVN5CYAMg8MJf+Ck7duSE/c2f/4N9/Uvfshf/5K/ahRefaxfgonrC8bEJ+/6td9u9dz1gY6fHbf95e+1tf/AbRgkmmU2CgDq0NXuRVPnB3d9xhu6aZUYJrwoCd0Pl1vvf/wH7wJ9+KErvJ5/7HJwrcHX07C1k5HjmEpk8f/ORv7K9MUbk//zZ/wGppZfa81/ws3bX3Xc7tXQv+l8vtMuhwq6Z+dZNNznn33jda53EEhfRv/Tlr0CC7kLnzt3C733/nzg7F9Rv+NxnmtJ65S++wp7wI0/C4dpz9rl//7yTRLrg/ObfBDKXHvawK+yjH/lrO+fss+uy9ZKf+wWo/fukc/vABz/UMYPpwIGDjrlECSaaX3vVr9rb33q9s+tHCHQDAZ6RxsVM9s/+6gbdpdFo/EhzMkK32B32Tpg53DOH/wbD05AbXF04/DQm3RiTZ9UyDO/gv7kD3sMwzE/rPC1AuCEdPQoBIbD1EOAGrCugBphMpXw+v86Y/FvvfajEQkAIcK1YZv0g4AagGHxiwT7XC3VXqYRNzU3Z1GzeckmcOUABpgIGuRjMu11iGKvGTTAobnCMB5BdCCwDAUrNlSHBlMZZGElwlKanJu308VPW05e3VA84TD2YlGVxgQmF8whlhMCmQID97avf8DK7/KqL7FOf+Kzdf99Bu/lbt9qNX/02+mJU+3yPjYwOgaF0nl33xEfbs5/3VOvr790UZVchYgjw+6zPawyQ1bHqcOLVwXm1Uvm117zW3vim65smNz0zDSb9mJMYigd41CMfab//rt+LOzk7pZLe+Obrnb0XCyt/+RcfrmMu+Qg8e+mv//LP7drHPcFt2Hrtb7zePvMvn/be8+5PfcqP2Zve8FuR+48++UmR/R9wThLPXqJ52Ut/vilziX5kYFEaiar0uJOYZzm1YjBxPP/+975nHnOJdD7w/ve6c5lOnTplN3/nO04lH6X52pmHHjpkT3vGM41nL9H879e/zt7wm//b2fUjBLqBAOt0Op12F+0cJ9HEd817t26k155GOHmOAvE5yE9whHrwXAXDqR07h9EZstlnPuHOdar3CWhVwMeqdyeduEmmgqUWCnelcRB7JoMNo0iodawgv3EaXbOTtIwQEAKbBgGOkZuNk1ev/900UKogQkAIdAkBMZi6BGTXyHDwBwZT72iPDe7ot/GjEzaOXfF9p3ot3YOFfSzyV4sY0EKUJIWBatxwf1UCavVkhED3EeBUqGrJEuoXJZbA7Jw6PWUHHzwEdWFnWG4QDFH2Jis4L+p+mURRCHSGAAfqT3nmE+2xT7zajh4+bhPjU8ad7DS5XNYGBvtt+85RMZY6g3PDhUqn0e+xC9TizIZ7d8rw+kKAqt+O2NGOMrVjxw6jJNCvvepXmkqwfQbnJh0+fMTRev7zn2fn7t/fki4lhB772Ovsy5BG4sV87NrV/Iy8l7z4RS3p/OiTfsQ+D6mle++9157w+Me3DEePc8+t5Wd6erpl2Ouuu9YeftVVTf15tiLz/sUvfsn5nwSjqR2DiXiQuXQP8kdz/ZveaL/+2lc7u36EwFZDgHNlqvGuhN9ufsbbGe+/0KeeTKUqzqStUippAVMqFR0jLgN1m8lM0uaKc5ZJZjCc0Hx9AejkLQSEgBAQAkJACGwwBMRgWkcvzO2G4kA4VbFEPmXJgYRNH5m0qROTljuQtcGhQRygmrNkitugsNblF/TDMrhNVAuPdddRiZWVjYMApluQTKqUU1YYq9jJYyfs8IGjNtg7iEWdvdYzDPEl1kmvCkIrsRvn1SqnHSNAyaT95+/rOLwCbg4EnMpa9H9aD9oc71OlWDsEKMUzMlKTwOH5RmSKHD9+PMrUj/3ok+1tb7neLrvs0kgyIvKMWe666+7o6epHPyqyt7JQhR6ZSzRUl9eKwXT+eee1IuHOWaKqvmbq+nykEydO2Fe++rVIdR/dS6WS955333/OOfPc4g7DUIHjTbEQbGzwz/E7mWZPBXOJZaN53k/9pJhLcYBk3xIIeMmiChhAvPgcNxWnYoFu9e4Mw3k0mUtxn2bMpmC+znD4axaAxEJTgeaH6dmCTc9NWxYbkvoH+yxdzXjvFbzHS+GTWSCzPpjuQkAICAEhIASEgBBYAgJkUcisIwQqEMMvlAuWSWNX/M4+2zY7auPHJ+zE8ZM2NY7BaU8aA1TsfMpikMjNT7GxIs++iasn8MVikFgw7xzduW4ms34QWOh9ccrQbNqw4iVAouVCwmanZmxibBJ1KmHnYrF96IwBS/aC6ZlCAMzOQk0ZyE67WrfiuVUCQkAICIHuIACpzWoZfRvU1soIASGwdATecv2b7Fk/8cw6ApVKxf4N0ki//KuvcpJFPLOIZ7u874//yHjOUSvjGSn0f81rX2eve/1vtgrq3AuFucj/bjBhrrv2MdGzt1Bd3TnnnOMf296nIJVEhtWtt97mmDp33XUXzlq6244dO9Y2XqPnvr17G53qnuPqb4hVK8OzoeJzgH/+l391kkztJLta0ZK7ENiQCIQTKM/0ofRSNUk1dkFpKpigUKqJO+bIHJr3RYcD59KOTDjRcjcXELbQjXOdQIqJTt6RaQRPAd3gl5tC00nMmHBlstBEAimmhJu/x+MF+eveL2k30g/y0700REkICAEhIASEgBAQAvUIiMFUj8caP3HwFw5LsV4/uL3fchCp7xvosxOHT9qpsVM2NwbR+nQG4vYYnTaMHZsxmKjaye/IalU4rzrA+zeQ9c66rwACrYb77bQuuGlDi4gr+u7cRC0BKaaS9fT02M6dO+zMs3eZ9SEz7EmYJ2agRd5WAD6RFAJCQAisPAKZ2qIRGevs5NTVrTzsSmFrIECmzjOe/jS78ILznQQOJZo++Xd/b5OTk/a3H/9oy0OrqabOGzJ7FmP8+USNcUZGRjC+gcrfNoZnP/3+u//APvR//8x4NlIzMzo6attw3Qmm00Kmr79voSAd+fuzcCjN9Y0bv+nOsnrFL77SPvOv/9xWEqwj4gokBNYhAvwe8+scfJcbMohPNbUqUNsHeDuh4ZcbF+czsDlnWhoM3f08rOYdzKddUPRZUFjvmEz1UWN0A+qW5Zw9k4Zae1xkLmHDitusEuYpTr+eVidPPnZUwDaRfNg2QZxXJ7QWokF/n1636HWSpsIIASEgBISAEBACa4mAGExriX5D2hyCpbGtKZ0OD4jH2+kZztmuvu02tGPAHnjgoB07fswobo/TcCxZwUDVb8siLY7lyGWKGQ66Sbfd8I4k4rHiJGOkZO0yAu6dxIEP6bv31cTdJ0+vVu+olbuPu5y70zeeq9ro6LBjLg3xoGlqeQAz1F1gZgY1jTl0pcNdRggIASGwsRFIZGoLS/yiuj44LJJ6uo39bpX79YPABRdcYJ/8xMfsR578FCsUCvaZz37O3vjmt9g73vaWppns7x+I3N/8xjfYGWdgw0uH5vLLL2sacqGDsXn23gv+xwvtC//xH1F8SlldDnV+pHnF5Ve4M5N+6BEPt3f9n3fb297x21G4lbaQUfeeP3y3veBnfsauufaxjrlFVX3v/8AH7Zde8fKVTl70txACbCdeWi7eZuL2lYYjmN1ythHkpS5tfJjJxElnMafuxUQFH+0kVeNV+PXuzHBqQ0N5p3axfD4YNmAv0Rbki/eefE+wKZQPMG6exp2ftONWdXMnxo1Tct4L/JCGz1mnIxEffgHSyMvyTDxvpLRcesvLjWILASEgBISAEBACq4OAGEyrg/PiUomP/zDCTeQSlsvkbG/uLDv7wr1ukJyE2FGCKt3jYRvHc61S9eE43vNjPn9nnLi9FQ25dweB+PvzFJu5eT9/b/aOmrn58F24Ux1EwYpQ7wC+Ug/UNLbqPTh7WuG8dKE4IiEEhIAQ6AiBahnqdLAwlcxQr42MEBACK4XAw6+6yq5/0xvsN9/wJpfEH/7Re8C0ucx+5vnPm5fkBeefZ5+/4QbnfsklF9szf/wZ88J02+Edv/O7EXNpx44d9oH3v9d+9MlPslRqft8wPjERJd9OtV0UaJmWX/nlV9pLXvwiR+V9732PPeVpz3BMgOuvf6s99Sk/Zuede+4yU1B0IbD+EeBchSroctC0kO/rxYUzYjEnIYMpge84eTucpnCqxalKyOtpWTAfdt7UDA7c5Bnf6OnU5oElRVZRMjy00TG+wsRIS0YICAEhIASEgBAQApsVgVZLxJu1vBuyXBUsbhULZTeBzeRSTo9zNCCOj3hpjz+3Kq0P50bWYSA/6PX3VnHl3l0Emr2vZm6NqTZ7T83cGuMt5xn0k1VM0JhOuOPOzc5Is5M8LydtxRUCQkAIrBECRXyD2eX5Hc2+q/X3NcqWkhUCmxKBX37lL9mn/unTduM3/8uV71Wvfq09/nGPs927z6wr7/lQqefNt2++eUEG03e+810bHx+3fWfvsz27d0OqYPFTIEpVefORv/7Lpuc4ef8HH3zQW61cLkf2lbJs3zYakeb5Uj//cy9xavymZ2bs5a/4Jfvsv/1LS3WDUURZhMBmQAAfZ6eODrrxUlQpD0NGjzv7iHZcnLZ08g33YRG83pAALjKTvAkkmIKRgnfH0cp1iVWptq8WxUdd5p2JrFcTAlWXPT+aqnPUgxAQAkJACAgBIbDBEdAXfgO8QA5SuTsyTd3NoSJp7oLiEaVlHF7Kq5LAPVGGvXZVYK+6CyF5yCku6NULrlTN7v14Jx1HC3ZPW/eVwcJhTczDK/4eFrTjPbn3FYvv6XXzfVUwEeIhue7irjzUO6rFqFbKOIsJ9YvqJhrUMm6AJqUsCgEhIAQ6RoD9XgoHdcdN19eH4sRlFwJbGAGqevvA+99nuVxwFtIEJIFe/dpfn4cIzxry5sN/9hd2+vRp/zjvXiqV7AU/+0J3xtOll19p//Xf35oXZiGH2dk5u+WWW12wTCZj11z96JZRjhw5ajd84T8i/1Jp5RlMUWKh5W1vud727Nntnr729W84VXmNYfQsBDYrAm6ugnlyMGfhvAVzmQrmXLjKDXfvvph7MP8J50ScF7nLP/s7mSswHDBw/sSDnaIdos5HP0JACAgBISAEhIAQ2DQI1K+YbJpiba6CkKmUTkPYHpff9cS9Srx4GhMvMps8EwDD5+iP4vpuMOvvCNfsGUPj6M/RIj1dK4ZBDe35trr3499bwz1QwzA/bgUTnK6+N1QX/IeGttjFbXmYpMUC+IC6CwEhIAQ2DQJc8OYlIwSEwOogcOGFF9ivv+bVUWKf/ud/sX/81D9Fz7RQnd7zn/fTzu348eNgQr3OeEZSM/PWt7/D7n/gAefFeI+55upmwdq69fTkbNu2bS4M07n99u83DX/y5En78Wc928bGxiL/2bnZyL5aloGBAfujP3h3lNz1b3mr3XX33dGzLEJgOQjUnXm0HEIrFJcMHxrPaAof6OAu5x/avVu7e8BAwrwLcQKawfQnNitiao6RxLt3d3M6Dh/8PM5lpPYT8p5qDrIJASEgBISAEBACQmCDIqAVk43w4jj6dINT3L2dz5D655mlvKrUAIADTRNkQoWX4W7Ydc2DTv1laRBouCI/hCMNRwdMLTK2dK0MBlAGHuAcfzcxu38n7e7uPcXirMi7Yx1zdQJVMEwriXqVhMoJ3t2ECVVvnvEzq/h9XiA5CAEhIATWPwKN0kvrP8fKoRDY+Ai85tWvsosvvigqyGt+/XV1TBt6/Pbb32b9/f0uzMc/8bf2I09+ijsj6dSpU0appdtuu91e+vJftN9/9x+6MNls1t7xtrc4+1J+nvjDj4+ivfDFL7GP/M1Hjcwtmnvvu8/++v99xJ7+zGe5dKOAsJDptBbmaU99ij3vp37SJT0zM+tU5VFKQ0YIdAMBp3YOOx/9vRs0u0XDM8CoAYRXEvPhJNRi8krhoopM3r1bu7sPzzj+cps+3RyJ8yR/hXNGN18K3NxRTJRc4pQpxMqXETNBqB4PLtplhIAQEAJCQAgIASGwkRHAcEdGCAgBIdAKAXKIuBjBe4PhRIlqo7xYXYO3HoWAEBACQkAICAEhsBQEyAx63x+/xy3KMv7hw0fst9745jpSZ5yxy/7ubz9u55x9tnO/6dvftmc+67l21tnn2uiOM+xR11zrmED0pBTihz/0QXvCE2pMojpiHTy883d/x3bu3OlC3nHHnY55tf/8i+yc8y60yx/2cHv5L77Svve9W+zJT3qS/ftn/y2i+M3/+u/IvtqWd73zdyPJq69/40Z77/v/ZLWzoPSEwKZEwDOMunHflACpUEJACAgBISAEhMCWQkAMpg34ums7nrDAjy1RvJJVqNDDxbu/gmeEhlt0VWBvvOCfYDz8YY+Xu4In/a42AnwP0btqY3fvK3xn8Tzy/a3Un9t+5/PkROnCxoPzoKzuAjOKlbTx2oBtTVkWAkJACAgBISAE1gYBnnP08z/3kijxv/jLv7Ivf+Wr0TMtj73uWvvmjV+zl7/0F2xkZCTyK+OcSBoyln7qJ59r3/z6V+25z3l25L8UC5lL//mFf3f0fHxKBB07dswxwi44/3z7iz/7sH3qHz5p1z7mGrvssktdsC9+8UuOQebjrOZ9+/bt9q7f+50oybe+7e125113Rc+yCAEhIASEgBAQAkJACAgBISAElotAAnqEm4gmLJes4i8LgXZvhIv2NAjjVEeHz1TtTOO9g6eYQyc0YwTaBY9oy7JkBKL31AroVu6xdzQv8ZBou6jz4izgEOXTh4uIw+Irnferu68f3nXixItczr41+Ja6HOpBCAgBIdAOgR8af7Pzrm77y3bB5CcE2iJQ5XmFTaSAjx49at+48Rv2qU99yp7z7OfY1VdfbcPDwzaH83pKpTJUMaUi6Z14Au4oj7hD1+zRB75rFNeCECWdbr/9dhufmHCSTeeeu994HlG3zYkTJ3Cm0T124MAB27t3r1166SXW39fX7WQ2EL15I8ZVyTvnQgW0l3684wm886985Sv2uc99zh796EfbM57xDBsZDpiOVJEmszkR4FKGV4nH89Fuuukmu/766+3DH/6wnXnmma7Q3n/zIMD+eiX6bLbj5bblhfKmtrh56qFKIgSEgBAQAkKghkC6ZpVtQyEQjv2iISAskb0LBekmrS5kRyQWgcCqvDuXyKqktIiSK6gQEAJCQAgIASGwlRGg2jxeK222bdvmVM9d/ehHrXRSoi8EhIAQaILAep2Hrdd8NYFQTkJACAgBISAEhEDXEBCDqWtQdpFQh+Oyrh1902F6XSyhSHkEloL9UuL49JZ7j9KOLMulqPhCQAgIASEgBISAEBACQkAICAEh0BEC630ett7z1xHICiQEhIAQEAJCQAgsAgExmBYB1oYOqnHexnp9el8b630pt0JACAgBISAEhIAQEAJCQAgIASEgBISAEBACQkAICIEthoCU4G6xF67iCgEhIASEgBAQAkJACAgBISAEhIAQEAJCQAgIASEgBISAEBACQmC5CIjBtFwEFV8ICAEhIASEgBAQAkJACAgBISAEhIAQEAJCQAgIASEgBISAEBACWwwBMZi22AtXcYWAEBACQkAICAEhIASEgBAQAkJACAgBISAEhIAQEAJCQAgIASGwXATEYFougoovBISAEBACQkAICAEhIASEgBAQAkJACAgBISAEhIAQEAJCQAgIgS2GgBhMW+yFq7hCQAgIASEgBISAEBACQkAICAEhIASEgBAQAkJACAgBISAEhIAQWC4CYjAtF0HFFwJCQAgIASEgBISAEBACQkAICAEhIASEgBAQAkJACAgBISAEhMAWQ0AMpi32wlVcISAEhIAQEAJCQAgIASEgBISAEBACQkAICAEhIASEgBAQAkJACCwXATGYloug4gsBISAEhIAQEAJCQAgIASEgBISAEBACQkAICAEhIASEgBAQAkJgiyGQ3mLlVXGFgBAQAkJACAgBISAEhIAQqEMgUfekByEgBISAEBACQkAICAEhIASEgBAQAp0gIAmmTlBSGCEgBISAEBACQkAICAEhIASEgBAQAkJgYyBQ3RjZVC6FgBAQAkJACAgBIbDREZAE00Z/g8q/EBACQkAICAEhIASEgBAQAkJACAgBIWDJatIS+LMqLwDCLbUS0lTN2OgIdMowVV3f6G9a+RcCQkAIbEgEJMG0IV+bMi0EhIAQEAJCQAgIASEgBISAEBACQkAICAEhIASEgBAQAkJACAiBtUNAEkxrh71SFgJCQAgIASEgBISAEBACQkAICAEhIAS6jECCEh+S5ugyqiK3pgjEpZha1W0fppX/mhZAiQsBISAEhMBmRUASTJv1zapcQkAICAEhIASEgBAQAkJACAgBISAEtioCfrF9q5Zf5d4cCLAeN9blxufNUVKVQggIASEgBDYoApJgWpcvzo8WtO1kXb4eZUoICAEhIAS2DALVhhm9O9dhy5ReBRUCQkAICAEhsMER0NR6g79AZT9CwNflyAEWLRnF0ZBdCAgBISAE1ggBMZjWCPjWyXLUEB85aMTQGiv5CAEhIASEgBBYWQQaGUxMTUymlcVc1IWAEBACQkAILAmBamzuHJ9SL4mYIgmBdYBAvB5XYvlhVecV96d3rAnwUUYICAEhIASEwGogIAbTaqC8qDT8iAAjhcbBQiOdhfw9qcZ47Z7b0VwsvW7SYp7XM731nLduY4d6wOL6Ins9m96N1WSxVYVZlBECQkAIrEcEKtUK+ruKJRJJ45+MEBACQkAICAEhsP4QSIC5lKwmjffIcILCRflWn28/oYkiyCIE1iECvh6vw6wpS0JACAgBISAEiIAYTOumHtRGt96WqMDGhyrvuDKpILdFuuEKHwNH/QqBLiMQm5vVUeYELfSLz9dcVYUX77EgdVH1IASEgBDYaAiUyiWrJquWcqeFV9H9YfGKFzq7RKJVR7nRSqn8CgEhIASEgBDYGAh4yWLeyUsK5h3h9xhz5kq5bJVCxQozRauW+d3GX7L597qOGbUxiq9cblEEgvrOwSdn28EYNJVKWTKDFoD/SrliFawfJVOo79gUleBaUfNq7+LrRwgIASEgBIRANxEQg6mbaC6Tlhs0gIZfvnIDAo4fKhgZlHCbrthcqWCVInZTR+LRzUYNwaCjPjvN3HyIZjS8X7N7O1oM3016i6XF9Nvlb7H02tHabGVtwM5BxfJ7DIJF1VQ2bekcBrNZPLMHwYC2ioEuQwXVMlAetVikmbqMEBACQmC9IZAEEwlLVejjKMcESSb8JbGAFfR06y23yo8QEAJCQAgIgc2PQDDz4CyFM5DarKOC7/PcXMHmZgo2Oz1rlRJmJ26SUgtDdIJpDr/k9e6bHzmVcCMgUM/4xPgTc+1KEmPRBOpzyGBKJpOWyWbBVCo7Riv3PKUxT+/py7kKHq0XIXwrButGwEJ5FAJCQAgIgY2BgBhM6+w9cfxb5cIVdp1wMFyZMytOla0wgYHy6Tkbnx2zYgncJmzXSpDxBDUA9QaRwkFH4E6KNP4ePNX/cmDd6eCadNrRIuVu0vP58nfSb2cWyl8388Z8dJOeL6O/tysn/Va6rJ5+8L4TEJmrls168r3WPzxg/aO9lhlE/cuzGmLZlQPfEA+yorT8utD7k78QEAIbAYF0CkMlfHPZw3Ehizb3nY2r4NkIBVEehYAQEAJCQAhsVgTCaUu1AsmlQsBgKuQLVi6V8cnGlzvanBkA4FhL+o5v1tqwocvFlYBkpbbGw42cFTCWyo7BhMl4aJKQXkJ1t7nZWbd+lMqmrDeJiXmiBwwlDF25ZESNOCRFJpOk7j10ugsBISAEhMAKICAG0wqAujSSwQ4q7oou46KpzpjNnijZ2KEZGzs8ZjPT0zZVGocwUwHjhCSW+9PuXksvHFnXHEglvOocmzx0yijplF5tUNQksdCpU1rdzlu36W3WsuL9eGYlJmCcnBVnSpZN9tjwSNEqZ5oNn9Vj6TPTVs0GC69+F2HVad8kzjJCQAgIgQ2OQDlpaagbKeLbS0NGk1unwkQ95ZjqG7x8yr4QEAJCQAgIgU2CgJtdYi5dpZo8qgyDijxufEtB2iNuOK/RgnscEdnXEwJJ6LfzM2nOsvnA+lqlqkfvAUsVEnoJt+EYoVDvS9iIXJorWrong+IwLFsE49bXf+eoHyEgBISAEBACXURADKYugtkNUmQzuZeCHSdzp6p24oEJO3rwhBVm52znzm127plnWX4gg7MgMKAoYNAQjBlqSUcDjpqTbEJgaQjUKperVpiIVeeqdvShE3b86Ck7eMc0VFBsszOHt1kqnYGeZ+yscsuuPJ0kNvZdWuKKJQSEgBBYFwjMjs9afqTHbegIWUvrIl/KhBAQAkJACAgBIVBDgPPoFLdgJtOWSWcti6sM9QtceOc1zzRxmhdGDkJgDRCo1IncBRWVGzl5xpI3FdTtMs4JzeV6IGifsEKxaKXxaXfu2NDoIM5mgkr7Bsaqj6u7EBACQkAICIFuIyAGU7cRXS49jhnKWM7H9ujJh8Zt/MBJLGqVbPfFoza4q8d6erF4n4BodAXD5168vtoYI0hZDKblvgHF9wg0qUsYu9r24VHr29Frxx88afffc4+lhiq27bxRSw/gbCaeJgruEid4/JcRAkJACGx0BJJFdGr47CZSlB2WEQJCQAgIASEgBNYzApyHuLkIMhndIYkcN06CaZ6q+XgI2YXAGiGA9Z1kTOLIMZagIo+8Ij8SJcPUnY6cwQZQMJ14PlMS0k0pqHVOc+Mn6rav+1wvIo24kfReHA3ZhYAQEAJCoBsIiMHUDRS7RcN/9zn+ncQBpaenLQmx58EdeRve22fpYbhjp0qphIAQ+Q+GDf4Vws2rM4vy4wlGDgtY6gfe8wOvZ3rrOW9Espv56yatVnlDGk2qAw8WTWfSNpDqs8LcrB07VbEDdx60vpE+G6AoPqXxWT99tSR5GSEgBITABkYgARV5UH7vdoGW3Xd2sX3wBi68si4EhIAQEAJCYCMggHkLz6pxfw3farfQPm9e4yJshJIpj1sNAVRNMkBrhtpBwEiiNhEwkiIDJhLdnbQTnMmUSuFcpkwGDCbuCqWBuxu1xsnBmQyqKIwLqB8hIASEgBAQAstDQMvAy8Ov+7Hx8efguDA5Z7Oz05bOJ21we5/lh3NW7ClYqQD9uhxXcFCAEUPSDaDxjIX/cPgQyxOHE4tZCOPIo2H0EaPmRih1zws9tNvr3e28dZse8VyM2WxlbSg/6yX+yuUiBrZZy/SlrXdHzkaO9dtddz9o51xwtg3s7DfjWUxgflJBRfu6tBhsFVYICAEhsHYIJLkL1H1KuUSFyf2ivqtrl2+lLASEgBAQAkJg8yNQP9etMZk2f8lVwq2BgFudIXOJ6zTY8MSbXwLikNQxUHFn3adKvAw2gyZCiT2nGZI/nJp3aDbSONeVvcNyKZgQWE0E2rWj+nrrv2Gupbss+rjxcN4tXoa4f9xddiGwVgiIwbRWyDdLl30K+hceRjpZmLGpBM596INavMEeS2czVqSeXYhAc4zAgxo5TqBEiTP+HjyFvw1Mgjq/xT6w4/OdX6dxmX6to6yPtVh6DN+OiaOy1uPb6VOr91D/rt1kDXWMesyLNmvJdM6ygykbAPNz+rtTVp7CoWH4h4A+GFDF8CDRVu++07wpnBAQAkJg7RFwhyejS/QHgnOCrwH92r8X5UAICAEhIAS2NgJccOPcw6+e189etjY2Kv3mRMCPSTnrrmJezqUWJ+2Eu5dKSqWxSuQWioBB2Ci8n0fFjWPjDSactgdtKu7hY6y/u8bi6++dKEc1BNiW2pmg/jJMPFywkTEel+E6aZdqD+3Qlt9qISAG02oh3WE6lE4qFwyL+PjK42DGVC5tqXSgnodi0W7XChgtTn4Ju1RSdYylxg6qXaK1sIEEdjiqqOvg5sdPcLsMOVyMXmcYP6AR0KNnLY26oA0P0Q6cBvf5j62ZSLU058dq6oIysKtuZRZLL0FMukqvi2VFvoL8NS/tYsqawo6oarmMA0ZLhuNIUD8zOFg0jxrJOgr6FMdP+7rUPD25CgEhIAQ2FAINnwoqKpERAkJACAgBISAE1gsCwfJbu7nYesmp8iEEVgoBMpqSuBzDCWPXQOsNUmuYmjt/ZiLuzrFu/Jn+MkJACKwIAnGGkZ9Vxt3aJVpxi271IfzZbGIy1eOip9VHQAym1ce8dYr4sJPZ4iSYC+hiipDZgQ7dZBUH28CewsE2VYwUgkMd8ezCQx1ZdAgkRwUdjAzCIGQW8TBIHlxO3b2ky+jkE1DNWbEAdWgV0HcHRqaCwybDAyPnM4WCtEGhzsSfW+WMtFr51RFr89CaHdM8ksttPHOxYC2cYyHmW9uVwTFwFkk02Sb8ypeViYOJ2fBSuGMqSbF8MJj48eJZJJUEaivcozcI76rX+TwfJrkIASEgBDYcAhXXIaNzc0x09Hew4h/fZvzQIiMEhIAQEAJCQAisOQJe40I8I1y048c6yfMU64w+4HVw6GFdIVCu20TcLGuYjWMNCArscUddxqA0WFzGFlpow0mUY/UbVn/ekmMuufFsjGYsqHf1i9ikGdD1Psu7d7qIvlAq3c7XQunJXwi0Q6DTes12FSzzhd8ltC4/mcQW7tCXtTvQVoWVNfizbQeGYZzGIBc2CM/QaRyEntYh6CFKuq0lAmIwrSX6LdJmB+J2n1R47gMu3Kl+jIv+lLrhopYbR4RdzXxmTwvCcGbn53a3gGmUTKbAPGJYdEt4DjqroBNLpiA5hUTKTloFnVcl6PJSYCgEDC3fzSG240QgPpycK0g0Mida5agZY6aT8jTSd3GQOHO/kGEe26XRjTLE8+DTasxzPEzc7sPH3eJ2vn/SWrmyOgTmJeA+dUg4YESSsYTa5JlLYWZ44yeQ95BKPOuyCwEhIAQ2HAJcsIp3aOzbFuqnN1whlWEhIASEgBAQApsAAc51adyCHz7W/IYH32zNTDbB61UR6hCI12nYXUXn/Jyz8Qa/sF1E0Zc4Wa+nG1FrafHtsXkA10obvJhCPO8N3noUAusQgfb1PMiwD+PvwYoZ/Xx9573mGsSq/Qa+gX+czeSll2ohZRMCa4eAGExrh33rlLmW5a7wA0tuAnaauOEymD6OueT6IdidY2tSjT6MRqkkcpaS1HEGqoVCweaKuApzVqR+Pjj39ODcpwQOiEQ47nhJgeFEhkKCEizzTOjGvMDq0pgXprlDM2rNQ9a7stxxho1LM0y/PmSTpw4w63YZOqXHcLwWNCte1ua5CAZ88IuDz8wyPw7XoASdZm/BciqAEBACQmDNEXCdW5QL933GU9AfRs6yCAEhIASEgBAQAmuEQDAVwS8+0k6SCfnwC3lrlCUlKwTWBAG3GbRdys2n+XUx/Bi31b0u8BIeFmqbPt0lkFYUIbDqCPj6Gq/XcTsz5J8pMhAYH4tPlFkK3OtmnfEHePuYQQys0eKPDCZS8vTjVIN09CsEVg8BMZhWD+vlpUQGk9PHg44lZDIFC/qLJctuCd0PmEVFMJYmpybt6NGjduTYUTs1dtJmZmegMi9hg4ODNtQ/bCMjI7Zt26gNDw+DyZTC+VDlQJ/vYpNVeCEgBISAEBACmwIBDN054I+P8jdFuVQIISAEhIAQEAIbFQHMb6FWrEIGE4vgPtQbtSzKtxBYQQT8+NXfG5LiAnXjIrV7ji92N8Rp9ejiNaRDN7ZS/jV4RWR8+v4eecgiBNYhAvF6Gn6BXP2OZ9WH4d3bESiYT2LzttNShUfu4/Z7uV37iLU7ChrQBMwospZoCxhMgY9+hcDaIiAG09ri31nq6FTcIY2QLGKnEvYrncVtEiqdTrvzlY4eO2K3fP9Wu+222+w23A8dPhQwmKAub2hoyPbt3WcXX3ixXXnVlXb55ZfZ0OgwGExU2hv0cl6Xb/jYJCU5bRQEWKdi3y6X7WZuG6U8yqcQEAJCYCUQoNyv1qxWAlnRFAJCQAgIASGwXASiZbvlElJ8IbBhEWjXCgKVkfMXlNyiOBYDovUdX3q/QMA7r04WouLhfHzGY7J+hdzTx53L41T55SWvfBgew+DK0kmaMXqyCoH1hkBQk8kKwkzStwl/Z2Zpp5KpQNGUQTApaGt095dbCw4bElpGsgr5pSo0TjFqkmu0MkJg7REQg2nt30HHOUjig+z2ebjVrXiP1JqECxX7KJMGP+D3H3zAbvjCDXbDf3zeHnjwATt9+hTOZEpYJpOxYqlkRw4fsbvvutvu+MEddv8DD9jJU6fsyU96slVKFUvh7CaGI61i0R0O1TIDsaRbhpHH2iPAKtX4rqgNsdFt7XOqHAgBISAEhIAQEAJCQAgIASEgBGoIcAEvOsOYMxi/BbwWRDYhsKkQIK8mmeTWJywwc2Ua9d6dlY01Ha5j09+b+MqRO1uUoZsEcOc3eXcfiaT9kk82pEi3+Jo27VwUpynjyjmbVefAOCoiLfgl8rUM+QX3IBSiYE2JxzawPD35Hmyuxung5Qo2dSEktOvwvHC3MME8hRdvPE+8ivSY5YrbkY2iu2MgPOXwXku6wUOPQmB1EEjy7HK2Dc888m0oAzfWT5xUEvm7yo1nGtppwnqfZKVHA2fbKs2WLJPHkj7+K4mya/8pNjYZIbBGCIjBtEbALz7ZYMBA0f9FmdjHlIMI9kt33XWXffnLX7KvfPUrduDgAZubnbN9+/bZzp07rbe3F0yjIhhOp+3wkSN24uRJ+/bN37bpmWkbHhyyKy67wnr6B9yAxH/EF5UfBd6wCERiuxu2BMq4EBACQkAICAEhIASEgBAQApsTgWDiy41zTnEQFvS8uqLNWV6VSggECJBhU1fXY2tAcYyoQpKm0dtJNsGVf84gWGGyCOZNwOipYpNxAu0plU1ZGgvayXQtnAuPx+ocbFiqIrOH9mKp6DYjV3D+N9fEk7NgHuGcbxc3WCMP0kIcHsVAv1KxbDNjM0FZwGSiexobm6mBx2eNzDN3IY9l5ItrWSky2sCESoG51JTBFKSkXyGwiggE67aRCjs8VmarNnF6wiqFqvXkcpYf7DEjgwl+cydLVp0BryiPOr8NjYj1nM2VF5tbaOearmunrP+zZZs8PW3VgYrlBrKWzvKbV2sqsMoIgVVFQAymVYV7GYmxU3E9i+9dlkZrbm7ObrnlFrvxm9+0ByCZRJqXX3GFXXfttbb/3P2Wx46REiSYjh07ZjfffLPd/v3bYT9ut916q30qm7XdZ+yxATCYqtghQkknDjRc1paWHcXaYAjoXW+wF6bsCgEhIASEgBAQAkJACAiBrYoAV9tkhIAQcAh4JlSwCF1rHJ6xVIIk0ez0nBWm5mxuugDtNQgDRg9XrSmBUcXi9uDwgFsYT2ExOzJcSw+vcrlsp4+P2VxhzsoQL2IqTJfniQ8O9lvvQJ9le7KWzGBlISSRLCexoXnGJsYmrACG0QDCTE9jtR0mn++1vv5+y+awEs/wTAdRy6WyTU1OGY99GB4atjw2SidyXsSKMWWEwOoi4NtR0M5Y8wNDSTzXEEoJu/f799nUqWk788wz7NzLznEBClOzdvje4zZzvGgDO/ttz+iOiKHk4jFUSM6xl0gP0lAzp2dx3Mn3LXtm2vZfdI470sQR1I8QWCMExGBaI+AXmyy6kKWZatC9UdyY3O5TUHV351132r333eMYSfvOPtue9rSn22Ovu9bO3H2m2/XBQcHkxKSdtecsiCjn7Zs33ugYTp+/4Qb7sSc9xXZs34EPeN4YLk1xZbGYlvZuFEsICAEhIASEgBAQAkJACAgBISAEhIAQEAKrhIBj+IRpBas5OEYBzKTZiTk7eeyUFcBkyuVz7vxtSjFlIEFE7TWFQtFmE5AoSkCKaSBjiWxtlYq2UqEEps+0TYxPOLV2yUzKHcPAzckFMK9OHDuJNaiyDQ0PWi4JPXohg6k8V7axY2M2OTnpVORZGfmBNFMRYStUsQe9YtksGExMBAvrzCsll06fHnOMqP6+fufFIx9khMC6RAAMIkr3HT983E4ePgWJPdT/xDlO/WRxqmgnDp+wscMz7jylPRUwmGL8W1ceMpjAXPXSS9VZs+njs3b39++1oWK/7dq70wZHBpFGY0QXWz9CYFUQEINpVWBem0QcYxu6a8ntdjp20aEdfOigHTr0kE1MTtjQ0JBdffXV7mylbdtGEQh6PPkRB+Oot7fPHv7wR9g4BgdHjxy14ydO2IEHHrRbIcl04YUX2p7e3SEXPfYRj1k7KfEig9eRZNlW2jCJcKPASie1LunHy98INz+OATaNPt59XRZJmRICQkAILAGB+f3cEogoihAQAkJACAgBIbDCCPgd5PVf7vqnFc6CyAuBdY0A5/DBPJ6/QYvhb2kGm4xPzNrE8SlIDPXYzt07rThTtPJcBdJDWWiwAVMHkhZUnTd7ahbHvuBvFG2L69kUHAIjaGZs1k4cP2n5vh4b2TZiub6sJaBOrwIpqFkwhO6/935IKY1bNpWxDBhGyTQig2FUmC3azOQMNi+nbc+Zu21ubhZMrYzNIf2ZmVmbHp+C9FMfwqeQjyD8JNxmpqftjJ27rLe/1zHE0hktb+JNyKwhAmxLZODGv0VOMyWZQ6ju+VTeejKo61T7yLYDdZKJOUgH4pyzHNRB8sgSb/x5aWQs0Z9MVazWurPJKicTNnVszooTJUuX0S6ozhLqKNPNziDzBHUXAiuMgHrgFQZ4Nci3Y7ZwtwhV3lXQe+X7eu2+B++1Q0cfslK5ZNu2b7crLr/CHabIDzcHDYFBDwbTC3Hk3Wfstn179tldd9zpzmA6fWrMZovQiwsR5wo6sQQGBYGMVBDT//K8HhrmzVP1boFP69925Wkdi8MjXD6xdgE79COtbk5HOi1/J9nrdlmbpdms/E7nK7lLMN7OOuZGesAr2A3VxZfQLGNyEwJCQAisIgJhl7eKKSopISAEhIAQEAJCYLEIUA0R1be7w9TDCaVb5KO9m5O6xWZM4YXAukOADYKr2+EvmDzlGTCCpjKWswHbt/cMS/YmLUfGEqSF2ITKiZKl0r02efyYkyDqzeXNhkAjBSJYJC/PgAEFhlChULA9+/ZYdjgdSDghCA9VyEMi6szCmY7BNDM7a9mprPWCHg3jDA4OQkqJnCqEHYU7JDTA3QJNrGcVZm1mYsLyPYOWzCVt6uSkjY2NWQ6q9gYHBsDI4tlOQXmixScSlhECa4AAvkJINVTXyKUxXlxiRTtjnT+dPAlrIXCnRN50EpJ6FTB287Z91wi4UAjL4Nj8X8I5YzadsMKxkt1y0x02PgsGbSJrmbmcTR+ZstkTBUsWkpZN4gwmMGhdWvreBQDqd9UREINp1SFfnQQ5CHDL/Lhns2l8fHNOzy0/3mSdkzPeP9DvDlOkeGYau0Eo9hw31Ks7MDhgQ4NDODiRIwezqekpt8MERzxCnV7Vqcmj+j2ZrYOAvldb512rpEJACAgBISAEhIAQEAJCYH0jQDYSZyi1uaw7WL2C+Wu0gXJ9l0C5EwKrhQBbStBeuIaDTcO4UnCkdERprmi9PTjLiFIQkEjiOjklkLjZKoHF6wrWzDMZLGRTRR7WjxxzKWQwzUxNW6lYdOchpbg+5BfV2SwZHwtUA5BCmgSjaBqSR2moz+sdAiMJ4aZxlhK5WD04DzzBzDBt3MAtxgJ7ARJNc4gP9V/TVTsFxtL46QnLgRl1BqSs3DoWFuJpkpLecDjoZ30gcPrEaRs7MQ4po6Jli2g30xkbPzJhY6cmzB5I2j1991ku1WMH7z7oVOf146z7g/cctOSphO3Yu8P6duVxXlkGDNayzc7MQQvVuI1Po+4n8taL85wquNJkLIHhlKigzbGt4SYjBNYKATGY1gr5FU7XMZeQRgIf9yRGBLwnoJOWH2L2OcVSEYOIWZuamrJUJulENCmR5ESAQjGgMvTeUux4embaitCZS0moDMQ2k4mU2x2WSoEpBTFMGSEgBISAEBACQkAICAEhIASEgBAQAmuFANej48Y9+0lx3EN2IbBFEUhyFzJNKJ5PRV5czalwvQjMo1QOLpWyzY2XLduPdSSeseSjwJKEmq4MNi8ns+AqUcqCq4nw59lL01MzTkvO0NCgW19yTCzyfcL4sEECCmcp5TLuLKciz1iaAUG00SyYVtPTM1h7mrG+vj4GtamJaXcuOMU++vv7LIOF+BKkmioFSHqACZYfzFuutwfeFSsiz04bD9t7LD1HSD9CYLURQD2sFqpQYTdtR+87Dqm/KUvOJK0wVrLTp06BEZq2Kniqh+46AjmnrB07eBxM1IIlitP2UOmQlR8qOoZstncXGLF5S/emrP+svF2SPM8K1aKlSlBPOZaxiQen7MTMcStBBSUZxW6hV/V/td+20oshIAZTDIzNaCVziZJJ3PWRz0IX7siI5XvzYBjhIDmcq3TvfffaVeMPs20j2wM9oOyYEgHTaHJs0h7EuUsHDjxoM7PYkQIG0+joKESTA5lNqkhLYZeINoZtxpqjMgkBISAEhIAQEAJCQAgIASEgBISAEBACmwEBx/RBQShNRFPBzTGZcM/24fyXIZx7NFawmfECpCPSlsHCdhISTC4UAldwHhOlhKjpxsCMchJM8C1A8qmMtSJqtslgQ3Mig3No4I2FKNCnBQZkqMYuAwZTegZnxsCPkhlcU8pDu84sFtjJpBpLj7swE6ehOQeq8XI4q6kPRz0UwViamZ2BhFPKBobBXOrPOm063PScxAZpbqYWcymAWr9rjACrPJirPVh/HR4ahtrJnJWmypC+m0CdLtuZe3bZrn3bLdmDugvVlLOnoQoyMWe9qOf9I71W7i9ZT28O1Tnh6jjbU+/2rJ01uNMxd6tUZ3nU7HjptGXuAeOVyaHBsc2hOckIgTVDQAymNYN+dRJ2fVu5bGV0ZDnovd1z1lm2e/ceu+OOO+z4iWN2883ftgsvuNAuu+xSGxqCKrzwAz09M2P33nuf3XLLLXb33XfjUMZZx5jau3cf9OMORJlPQnVeGfRbGmZA3/qW8MhDCAgBISAEhIAQEAJCQAgIASEgBJaCQP1qmjuHKbbCFiyoc0IqIwSEABHwzCVnD3/YQrK9YPwMQz0eTlSYmZgF0yhtPQVISuTILKpasgwJDB63AEZOmgvjkG4i84j0uB6UglQGmU+uRfIHRAuFohXL0HcHgaeeHBbMEdep33OBQBMMqZRjYCXBRMrb5OS0TYxPWjaNBXlIOOUg2cSzm2hOnRp359GMbB9AXnE2FJhKhamC5QZybg3LsbKQTzKsZITAmiEQfm7IFNq2Z9S2nTkKRis0SE2U7EE7bhOQzNtz9h678JHnWqIfzWS8AkHArJ0+PmY7dm6zvRfttsQZqMNYqS9ncAZTAu2H9TqZsXIKdtZvaJQq40bGklNhiXZXAQPYMZjWrOBKWAgEQq3CYTMjgA4oBbV2vApzJbvgoovtyiuvsrvuust+8IPv283f+Q4+2nmnz/P888535y1lEfbW2261G2+80W666Vt26PBh9GNJe9jlD7OLL74YIsqDIXc8GGy0g4+f91DjXrtg8ltjBDp+R3yhMkJACAgBISAEhIAQEAJCQAgIgTVEgMwjnrXkTfCMyUoVi9x+kc8td3MhXEYICIG2CKApUbqot7fPxqHpJpmsYBG7YFWsIVUgnQTNXE5KaWR0GOfCQEoJjKFKCRJN4B7xGIVStmTlIs9MAmMICWENHOfPnLbTODOJ0hj7ztnnpDp41lLQIKuQdsLxCxkERgMd3jZsQ8PDOAcK2ndwHhSZWb1gJCXQmMfGJ7BeNWW7zzzL+gYQB1EKM0WbwXEPbOtZnCle4eo60iTza16Db9YBaF2jbXWQ5xIR8PUqVB/pqGA/fnmqYqfHT0NrVMYy2zKW4J59uFehEfJU8ZRN25SN5nHO2CDcAy2RjsGUwDlkaGk4lmwabSWNOxhSkCCsov3NlarQEMnN/jjyBOu+ta+hS1U/QmDVEZAE06pDvpwE2Xnw69jsC9mcLj/MVajIy2HHSBn3GXyY9+7ba1dddZWN4WN/4MAB+8pXv2oPPXTIzjhjl/X39VsaUkyHDh2yBx98wE6fPu3i7t+/3571zGfZnjP3OFV63MXir+Ypy1UICAEhIASEgBAQAkJACAgBISAEhMAKIxCbIrv1PTxjXW6RM+cVzqPIC4F1gACbCtuI4/OEi+HuGecbzY4VbXJ8ykpYN+oFo6Z3ENJBkFSqlMFkKlZw4awXnNs9BxV6PTi0qQI1eY5BBHrBWU6B2j0Ws0rxCjB702mo2oMkkgtAj0bDxF0GcMMKeToHllVPD454yqENJ2x6AvmBNNPgYL/lh3AGONahZsZmbHJ63CamJuzAwYNufasX61gpaNfo33QJAAA9b0lEQVRx6YTlakxKz0JgVRDw9Y8MJDQM8j6pEnIOzNrenj5I6KE9IAzbYOkkmLI4viQLSb3sdrBmR+HI+Fipz6BxFcCFKkJWiYxcGrZfxvOG3zkyliIn38B9AN2FwCoiIAbTKoK96KTCs5BcvGgblutSQlLYjRX1JEFnU+tZgiC5PMSHwdUeHxu3275/u90KlXf8yO/aucse97jHQ0LpJjt67Kjdd9+9UIl3jxMpTkJ0mR1gGQOJ0ZFRu/TSy+y6a6+zxzzmMdY/ADlOGDGXAnz1KwSEgBAQAkJACAgBISAEhIAQEAKrjQDnxVzW9vu2/TyZbt7QjSY2aQ4c9CsEtiQCVC1XYXsImwRvKTSTybFZmzwygxXvKqSJhiy/jWcwpR3Tp1rJWBWMJax228kDp610ogzmT8pyCGOQQGraurBWPjAMptBAj2XyCMeVR+6XhuEaFs9/ioy3Yw3daQBDgCqu6UmcyYSzmErliu08cwjSSmVsgJ5w0fJYqE9Axd4MjnaYmp62aRzpkINk1cj2EWjvaSLFFCUmixBYWQTIVCpMo02dBCN0fNrKM1B1N16yI4eOWRpMo1MPzUA15BjqOCSTxuZs4uQ01l8rduyeE2h/Ccv0p23b7lFLDkAqCXU5CRV60EuFTPNbRwldNBg0xxTSSUFXXgbtI4H12+ZyCHCPjG9okYMsQqCrCIjB1FU4u0SM7d61fXQGjsnEToEOvAcXBSVpgl9nDR7wRSbzxxsyk06dGnOq7m74wg122+232bbRbfaIhz/CXfv27XPnLD3wwP12/Phxm8bHmWn045ylM8CEuujCi+wRj3gEJJ6utFHES+EjLuaSR1d3ISAEhIAQEAJCQAgIASEgBISAEFgbBML5cTQp9vPg0D1acYsCrE02laoQWE8IuM3LQZvgsjWXnMqzOLcb6unIpBnYmbf0IJROcrUwbEpcNOdzBZuXi5Nly2az1jOKhW+4B7uesQ4VtTeExVo4zwDPJSCJhHOcnGFYWH0rrVvM8k3UZQhhwOuanYY2HsShlp0eqMsrTM7aLBhKOUg49fX2OvpkMpPs1Mw01PMVoUIPTK00icgIgTVAwH96wEwdPzllh+8/ZlNkIE1CRd7pcRw30m/HDp+0yZkpSANCNmlyzqYhkVcF8/RQ6ZATDBjePWSDw4OW64M6yArOQQNziUeWBKTRLmFxTdgVDw+x9V86+XZItbGBYUwa/xw86VcIdBsBMZi6jehy6UVtPugE3GGF/hPsehG4RGGQmO8rYOWBhv4xlSKjCTtMTpy073zn2/bZz37Gvn3zt+3kqVPYBTJm+8/Zb/ugKu/qax5lZ599th06+JBjME1MTjqi7PjO2n2Wnbsf4c7eZyMjwxDpnAv7Lp/Kcgur+EJACAgBISAEhIAQEAJCQAgIASEgBJaDAOan4Vw5mCBzvupWvpdDVHGFwKZDgEtJTgIiLJlrNmgqZMlk00nr6cEZMT2hp2tCaEtsXnAq4SymMhbCS9WCzRVmrTALtV44UyYJhk4CcSs4f6mIMBVIVCTLSZzjhFgUumBzhKlASoM0aFKM44UP6eAyhjuTg/tcoQhaOM8p1wP1eAOO0VQoIi7WvNJZLLr3YNk9mbad+Z02PjFps26tilp4qJcspEe6MkJgNRFAPUYVxXlmaE+9STBBkzYL0b3p6TnU9wqkA8EAHYRkYA/q8lwZZ5yBqQsJpZ4RnMvUi4h5qJ3kPRs0mmQlOFOQNLkO7CX/eMZZAmFKKbRJ6MmroNJ7xhJYxa7ElH2KG0SXEQIrioAYTCsK73KIs0MJrzY9QR2zKUyObKYsPrrsxG78xo32T5/+lH3ve991hy/m83l8oIdseGTERrdvsx27ttuj84+01KNTTjopIFGfICWWqPc2yV5MRggIASEgBISAEBACQkAICAEhIASEwLpCAHNnilNEjCZmLlikW1fZVGaEwGojEC4a1a3yxJabXDNJYsE7hYOYwOmpjGNpGmq6LIOVJareAsPIcE73+IkxmylOWTILZhIWtsegKWd77zbL9KUsU8D6E9TUTU7NWb43YzmcM5PEeUqOcUSeD9rl7AykNaYhmgTDdaloeYkZ41o4kmJ6JUh7jOO88BKkpbI5nFdDLTo4/2lutoTjHrKWzkMvH5hgQXlSli1lwYjC0RBwcOGZgIwQWCsEUJeTqJ97Lhi1PftGbfLBGbv/vx+y4tyUXfVDF9q2c0csMYRmNVe16ZsKds/d99hZV55h2y4YNutDm8uEzCK0h0QRF9sqDBmyTqoQ9iQYWOm+qhXQbstoDmBVgYELPVdYsi27A9AQ1gUOJJ/wBFWYnhCf6noDR18/QmC5CIjBtFwEVyw+G3/YAUR3t4UkdPdf4VrHQL2d3CWSyWWwcyNhX/3a1+1Tn/4nd85SOpV0ummHhobsCU94vLuGwGiam0GHBH262VzK0ilfHQLeN3eecJcJdYN6tXuUkmJ3JCMEhIAQEAJCQAgIASEgBISAEBACQmB9IYA5NFfk6lTNr68cKjdCYM0QaLbMxMygvRQrszaHc49KOGxpMDdi6SqkKjJY1e4BQ2mqYocPHbepqSmc0z1i/aODkEKCJAaYUDyHKQuJohw2OY/hzJlDhw/ZnvRuy3EVnHuUsYxFBtGRQ0fBqypDvd0ApDuwAo94hgX0yCBvJTCRjhw+aoViwbIQpUqDfhpkcOQTGFd5MJ8mHS2bQyyEr2Atq1KouLykIUWVotSUlqsiSGVZGwSqPBcJa7I8U4mqG2fmUG+T2LQPxlJiEFUXXnNjBTt45KBNg/FUgLo8SiJRKqmYwmFn8M8UoYKSAdlGKJQEXquT+uOycOiWgv9w3zbLZ/I4k4mMWMRju0M0p+EKd29K4eYLyEQ5fm7MywfRXQgsCwHPUVgWEUVeKQRiX/+o9dMtMNwF4nqm8Nl1IHAaG5sAc+lr9s///Gm76847wTjCrg7oyB2Erton/ciT7Ik//EQ7//zzLd+TsyLEjHlOU6lccheTITfbsZhI3jG30AE5xlKYkG5CQAgIASEgBISAEBACQkAICAEhIATWBQKcI/vNmLBGc+d1kTllQgisLQJeBILNxLWNsIHwxmaDe+9wP+4Jm4BU0vj0hBWPYoEcC9VJbEJOQJ1dpVDC+UbDWH6CGr2+XssP90BKA0vVOJqBq9XpbMLyfWkwkHpsZmrWxo5NWnYMa01QY8f1JS6gWwlxe3OID8ZRDkuRiOfyw3wgb5USpJSQzgzU76Whei+HsFmcQ+MYUVhgp3QGVfPNnpqxYrkAdX55xClZERIbXNPqwZlPVNcnIwTWGgEnLIS2xapNlXUFKMrLDaAt5LHWCsciVECOT47ZoZMHEQYNgdWWF1foGYkmvIPva3NHinb0yAkbmxlHaPwVknb6yJjN4pyniQfG7MHqYTsxMAbppYrNIsLAtkE7c/+o9QyAKwW6ZVwlt7ZbxmPAYpIUUwCzfruHgBhM3cOyy5QCLZruS+s6goXJJyk6DImjsbFx+/znP2+333a7TUyOQ/y4x3bt3GkPf/gj7Eef8mQ779zz3aGI7PRK0GubAgPKJ8ExR/QAW9DpsGdr6OUYTEYICAEhIASEgBAQAkJACAgBISAEhMCaIRDMYOvnzd5tzTKlhIXA+kXAMZzC9R0yebAQnoYWnPxALzTYlLCGNOmYPKUixISgZisFJhPd+/p7rXdgh/X2g0GUxxqSZxBhUTsJPlDPYM5S2ZTlsLF5bqpo05CGSvAMGTClypCQ6h/qxyJ7FtJLUHNHyShv0FzdsQzc9FwpWhaMIqrQ60V6mTyWLJFUAofPZMDA6pnOQdUemExQx8c4hcIc0k658NxUHWjc8YR1FwJrg0ACknROIIB8VJyp1DPUY6O9o5bB+UsGFXisz9m+nA3vHrEsGg/92Z6oIjKBdV1n2ERhpVap2amCTZ+ctvHTkwgEqT54VNFe9p212/IQ8aPfDCSiymjMcxBvSuBkp/IZYBL3IT7aD1XokcEUkNT3cW1qxeZPVQymdfqOeUxbbZDcWQfgD34rFAp26KGHoP920kke7dyxw37okY+0pz31qXbhxRdaDifOOVFidFT4KruLH2JxsNdpZVC2hIAQEAJCQAgIASEgBISAEBACQqAJAp3NlZtEbO3kJT6aheD288WabtJrR4v5Wmz+2tFz0C6yvG3pdZGWyrrYWsjKEcRx2mm8PSTDRWuouetL9ON8lzQkhYpg3hTdkjT3I1fAKMoPZKyP0kdQhedWqrlkBcMjFXDAi6XAdErx6IU8GD09ULc3U3RSSQyTAZH+kbxlehAGquyc5BM9UCWYE6c5B3UnDQbV8OiQ08CTBdMrhaMenMEtnUnb4MgAGFhZt+juFvGxOE/pJZ69lJL0UoCVftccgaBuBm2sZyBnu87d6fKU7UfboNpHiOMNbOuzc67YC1YR2hbaBt3JNI3WZdFOq6z+ObSL0YQNWZ+lt0FdJaT5UvBLQ3owm81YiYxWSPKVwZxiimQoDYz0u7ZILX3gZUWrywEwvh9maG8PfPQrBJaDgBhMy0FvncVlZ8SLOzfOO+88O37iOPTU9tgjH/Uoe8qPPcWuvPJKJ7FULvKURWYeHRW44+xS1K2ss5ep7AgBISAEhIAQEAJCQAgIASEgBIRA1xDomPeSDFfOm6RMiYxFMXGwaF5tR6/MFcTOZ+NuV3wrJg4K6M7saJLvVk5t8+bK2ipmE/eFyrpI7LpeVuLWCjuswi4Ku3Ve1iZvJ5CocB5YxHZMpjAUqyAMF8UzOPcoAwklt2CUKMGRh794g5VrNiKsObk6y0fuWXaYElto4UmjvuOiKjwouENQLJKjfjMJCG64O2K4eO6IB5LDH3/JuMqAURQ3LimSZhNBVnqgnYeXN3VtmmFIqtHQXUYIrDICSUrpoc7m+/O25+K8azIuC6iPCaiUzEAycKQfhzLRsI4ieBXrsxXHDoId7YptMj2QtIGBvA3sBw1yi1jHGZ7tjndX52kJjfOjSrxANV7Qjftzl7j+G0VCiFg8H193IbBEBMRgWiJwKxot7BCYBvsK1190kKDbYYIv8LZt2+x5z3++nbN/v+Xwgb700ktw5tIFOG+pjHOWiui32LkEHUsiiVGBjBAQAkJACAgBISAEhIAQEAJCQAgIASEgBITAFkAgxkT1a8yOi+Mf6E/mEpepaQL3iJnE1XC6cTmpijOa8FcFg4mrV2T6VBAvloKzVyCOkXQL5KRFaQ3QgJVPAQeJFtAh6QbDNbGEY8Y2eOhRCKxnBFiX4/XZVeQww1yNjz+Hzim0J8/W5QYAx2gCo9fxccvQRckGxraWgtI73BMVEmJDJDM3MK7tBc3MOQRZ4Bow1Fm6kGFA3YRAFxEQg6mLYHaTVBJ6amkokdSp4RlMjJbrydj+/fttdGQU0kwQc8YhjNSbOzMz48SK63ardEpc4YSAEBACQkAICAEhIAS6gAAWX7Dl14/xvAR6FwiLhBAQAkJACAgBISAE6hDgilKdpA+WoYOl6GCtKVh5YhSuSPvlZ94zCJmOFq2jBWzHEfLrVKDkGFPBBmaumDNmEgve/tgHT5Uh6JmI1rgC2SUErzMk51hVLp3AywlrQA1fM+MkMugVC98snNyEwGojQOZQXS1vrKOxZ9ZjV5eRSce3dZllW4Uh15V13F34oSPcEs499IOTJ8c2SMPjnNj2XbTQk34+nAukHyHQJQTEYOoSkF0jw5buWjs/1EFHwN6AH9QkewV4BjtBooBR0v4wuOIsDkZMpm3Htu2BfluEKEEnZxrSSino+nQMJjfCcASj+LIIgfYI4HOHeuMO6qToLkXgXV2txXIDPzy6MWbNWTYhIASEwAZGgB9hZN9/k3FnXycjBJaKQLSuAgKeybRUWoonBISAEBAC9Qj4T3S0QO0d6oPpSQhsKQTizaA2hQ9cg1/vyifagysezwMWLJjTx/vWwtMt4APRL1jm9r5RcE+Id3o2GK8FLO7MdYdKbAAerDuEkZlUEzrx+LILgfWOQJwRxerspAWjSUNDBWeDIHMpCFhrimEhXWj8sJ24VgqLJ6W1uvVeEzZu/sRgWo/vjr0BLrevJORIOwlIKM/0fUiQbddt1ErAR/QelRJiotdwUlA41Y0dFTnbPFiRyvHCYM69Flk2IdAeAadWEfXI66Z2uzFYmfiFCr9S3HHBWsY/GSEgBITA5kDADcuD77L7gPJZvdzmeLcrXwqOx/yEzqcWfDLdB9TVJVe5WKecB76zmAQmQkl2H8ffWfviE1DvvpS7z8FS4raKE7aWVt6Lcl9eK5ufk85xazaGaea2qOK0DczRejdNd6ktp8ebn5NuvofGjU7dxFC0NgcCrgbWNd+6h7CQvp7i3ujdYSULogW/UR3nyh6MWyQMU5q3O4/u7Pt9FsJwwYw9cHb0SDog774VPrifl4XRWt58fxrljQmG9KJIHZc1oMY8RPRi+fOEg+I3JNIkDZ+3GDWQ8CWMcgfvelrNyk5aPlSUv3jenGcYpoFeLKXIGtAKfmtlDfK24HuNchKRc7kjtShv9Ap4MHXvtRYjsAXjhnmucAjyFlDkE/68kw+OZ7rVWD0hTXcLE3d2RvSRa3bvEsQKfhvd4m/LbUiNAiM/cc/IPbC08Ypy0hAlVudqtPkuXH1ozFhjZP/caTgfXnchsAwE2C7bmahvQSDafXjGcu053lc5x5AaPf0z780Mg9T5kXqdQ7NYchMCS0JADKYlwbYKkdzXlh98iBazQ8FzEgwm34c0zUH4hU6noJfTG+dGZlNw1pLrTODmJrLxjsqH110INEGAn6AkmUuwuMUy5+Acgw8Wn2ESPByV53rVf8UCT/0KASEgBDYLApjI8vOqAfpmeaErWQ7UkoZvYiC1hDGeG9/xA8ow/MZSypxjP+hTD8dtjRJOFZ6m3aWJIVNOtVv5gf9iDNtEV4eWILb0Nua119dKULcYWHNusIUDmjpXusUX4eo8u/OA99pNJlM334N7B9zhtiTjZEjqYwLO+GJKvWf8qdm7qM+HUy8ZjyK7ECACro4FULipcOAU658a61YYis7c1Rk38HIqiOJuTezBojpbMf5C8q7Paehj3SK4DxDScd+Ihixx3kUDfRFRl8+zOLwJ2mXzvDW2L4ZNhmlSAsSVFunF6UV0eRp8zDTPGykGPRY1WtBUqWolLKvLG9yalbUxb4zr88Z8+X56Xt5IviFvjNv4fa0vK/OGgrLMgSoYl2/G40ty+XMPwU/j95autfcayxvLGZbVR29W1ubYBaxD4kApHZp4WT12gU/sl0k2jCVcHrxbdEcc2kPangLpBk78JdI0DYECx9C9lZ+PRf9amGbYef+G6h6l0s4Svq6mQQLpJl+GWhBfd+bhVAsimxBYdQR8y1soYd83urYatueohbnn8MnrzvOevNd32/VJ+XB1fUB9ED0JgW4hIAZTt5DsJh3XCWDwgcPdAp2acMB//cd5/sDGZ2H+59b71IYTziXqbGr+sgmB5ggEqhn5bXODOtZHBOSkx+lW5vZsjuFZScOxfGzM2ZykXIWAEBACGw4BdXAb7pUpw0JACAgBIbDlEaifR295OATAlkbALwL5VaN2q9NbGigVXgisCgKeCeWZTC0T9U3X333AxmfvrrsQWGUExGBaZcA7Sg7f+lQ1bakyr5Tb1VPGd7+QLoLRxMMSadD9uJ0zfmDQEWUFEgJLQoDfLMdMSqRxxycwNg71u69cxeTaa1JfuCWBrEhCQAgIASGwJRCoVjCG4xXqzqtU8IVt1KO3JZBQIYWAEBACQkAICIHVR4Dz9e7N2VdTaojq+LkC5nLfvSKs/itQikIghoCTXJrXJrvbTmPJySoEVgQBMZhWBNZlEsUWK/fRxI+X0q9ATpgi7bHPKRLhar6MEFh5BFjzKIZPZhK1E1Ay13/uyOh0WhzowIrLahmN+mCXEQJCQAhsZAR8Z+c6to1cEOV9XSHA7ySMGEsBDvoVAkJACCwdAX6oWxlOqOHHSfWSVT22oi13IbBGCHD+jWueQV0PtWvN89pMDu1a/GYqp8oiBISAENhICIjBtA7fFgcFmVzGKtWSFYqzVioVLW1ZDBawXwMr/NCi7AbJFceIajKwmFcmfYLnQSKHRSHgdiWhvpXKkF/C5CwBKaVioWSTE1OWTKNOZlHH2JuwqnVSJReV+vIC/3DmEvvP4u3LI6LYQkAIbFkEUml+c1F89ylFf8ePNNertsIMfsu+9ZUtOL+hmWzGent7LZVK2alTp2x2dtYlmkzyLCaopYVUE+28aDwjiuG93Xks6wcVeU0kp1xj6iDnnYbrgJQL0m16naa7nsN1gkknYRZbxsXTDKoqpf3q02IbYZuYmJiwsbEx135GRkaiPlp9dT1em/PJ1yfcMV/hWXWUEnV32jlndkFYedin+vAejXB3HCuXW7T3lQzffEZsqHSMzRk5DZb5nZ3z8pob0uRAAfFcf82gIck68o4CcxT083F6QZLMN/MfMhMo+RpjKjBvTb8HYVrMQ5AnYhLktxLopAhyDnphMVz+mtELSlVf1mrIqCN9qkrnryurK49PHDEddmEh/S305qPPH7Phnx1NlpFlJd0QO+ajajhbLxY/GI/V540R4vlj7lxKrqw+MqhhTaXhtfpMRHlhmk3fK/PGPIZ5Y4RFv9cQN0fH0wty4H4ddrFnWuveNRJ0yJeDfHBImkqnLJ3ChDyApCG2HoWAEBACQkAIrCwCYjCtLL6Lo+4HKamE5XrBUMqUbaYwadOzfZYvZy2doUAwBkMYSHCgzIOgOehsZxgeAdsFkZ8QWBAB1iAyNln3qAGvWqrazNiMnTx+yvqHBizdB5mmHAKlUDcx9l+Pk/lvle6zH0qfs2BZFUAICAEhwP6C5nVjT7XUdvRv7jPqvsDOfT32cS5j+tkQCLD+9Pb12s6dO214eNgOHjxoR48edc9kIC2kMs/Xv7rFpqWUHOtSTKtbxq0l+vW7tkSbLfDOj5DAwvDSR7DzyxWp9J2fVINLYyGWnosGwi0fg7I2ptsy+IIenZWV5Vq4bFzWTTRdiV0wGwgQLtzHgjZbc455x6xxPJgLPCNyPCtsC9ls1ubm5uz+++93bWlgYMD279/v3BnHtROMTdm2ZDY7ApiroIjlctlKlZKVK2VcIYsBVd3VIReCOPi6zxhhPSWXgI9hmPgiv5tT0wuGdmwFcHZqGElyXs6KHbq5pX+4O1WoTBVezteF8X1TEN8RCSt1kL+APrNC45hkpMU/hoNWE3o5f0cvCO8C84eeYdwgJP15tnOQnqPjmRsI5xlW9K/lqGZzZfPlQqKODvLB0KTlGFbMX8jACZNxDByfpzjlurwhGdKrfcs8u4oZC3JKeixrjUYtb3T3zDmGpnHPIZ7MW8RQI0lgRxOUwVljdPFM7yBIoDkGibP8TJ0meq/MG68wK0GY+e+VYXxuiXNT7EDVlZ8Y+sBM0W0qjjm4HDAPYQZxo70SppFEX5hIpi2ZwveV0RhsfvSQim5CQAgIASEgBLqPgBhM3cd0eRQ5JsBbSeYTlh/psYnjEzY+PmYDEwOWTeI8JgweinNlDOIw6cau6qRTVtY6SQSXEQLLRoATeNa9HHdO429qYs5OHZ602elZ271vj+VQVy2Dsayb9GAADWm79WKekL5IEkzr5WUoH0JggyDw3+V7XU6T2PDhJuhcN9CIaYO8vfWfTS4m5fN527dvn1100UV25513uotSF7t377ZMJuMWnEqlUktmk1uQWm5RkQ/DAmwnhmtVCxqOOTsZdzJdjGAXNAjWEbl5hEg/XDCO+3WQZDx4ZF8NtVrYncPl2oXMwiFCCp28i/hq5gIJcyF3IdM8CN+DX3gNKXSSt7rEsHDqJjRBxGR41qdntJJxdOjQIbv11lvtxIkTdtlll9n+/ftdGyMDtVgsuvZEiUGZzY1AvA5yQb+CjZi82N8E1R0hwLiu73/gVlfBa1Q884WoOeYALTCOdmB1v47JBBtrqFv0D+kxnKfGu6dHZkk8yUANviPlfhyNsN3QHsQL7yFBd/NE8ECaNPE0nUPgGvrS38v01PLTGC9gmASxfZ49LT6TacP0anmDL5J3efL5C/Pm8hW6kQbLGjeklwzpOYmc0D8eju+OadHQOyornhvzx7x5E7x7Rgrjh0k7WgFc895rPHekzWcGpc3nKciPT6VWJuYrzL7zDDYChwmRBjybYReVIZa4c8OzL6tPzYelJHSSa0OccpPJhD7S0U/im77Ub51PRHchIASEgBAQAktAQMslSwBtpaL4MQUEkyw5kLThvYM2V5qx45gsjX971nbuOMNGdwxYT18aKsmQC06wamOW1tnqJEzr2PIRAg4BN7efrtrkqZIdPnjCJsYnbN/us+2sc3dZdhTcpQwG9MmSYzJx2uF3lK01fFSR95aZf7QPzv2n/Wn6xWudHaUvBITABkIgnUffBv55R9/aDVQuZXVtEeDuejKIBgcH7ZnPfKZ97GMfs29+85t2z9332KMe9Si79rpr3eI4JTPcopFjyNTnuXsMplI94SZPbnzazQUrMmw6YNp0Onz14+da1uGSoDh1zWVZNreauNIbZzpkMLFMa1CuTpKc/x6YV76Hpj6LeCVYYnWDUJByG5iC3NCtUCg4xtJnP/tZe+ihh+ySSy6xJz/5ydbTw447kFqS5NIioN4MQaEyMZPLWrYnZznUg0qRbAsymLgAj7rj+h7WIV+rMX9xdZSMCV9Xa0wKQuJCxvosbrqLm2TMz7tzsd/9cfNdQxtI4EDbuJPbzBJGdIysRhFEJFdNBnkik4N/cZOKpw+vCqWJQhMwPerz6/PGglVCulF49HeUnvGGjIx4cZn3huStCg0WdHQMjgZPYlOjhlAohv9+Ncuby1PIJHIbF+NAIZVkLH32AVw38aYpdjH8yw2aX5h+HTMNxXCSWCHBtu+V7yGUiIqnH8fOMcPDwjfNG/w6fq9hIv79M+9FHKMwPTUNtXgp6+/vt/xQr2XyWN6LAx63+4zqLgSEgBAQAkJgBRAQg2kFQF0OST8c5Pxp8Iwhqh+GarxxmzxRsAcO3G8PHipjwJxyOna53hDfTdU0XQwqOACREQKLRYDrKXHDCUY6kbHZqTlI0RUxkO2zM3bvsOy2jCVz0FGNRYRg19j6rHHfgkSC1OTF36jsQkAItELgT+f+03m9NftczP5h5SUjBLqEgFeDR+mK7du32zXXXOOYTQcOHLAvfOEL9vVvfN127NjhFuG8Cju/IOez0Pjs3Rd3x67nDiSYXBNoGBMsLp2G0G4xdGGCDLFQqJbNk4yNrhnkIr6A2zW6NUIYRaGsC3c0sXXfWuQl2zorVyfvgVlo+i7cgu7C5WpfhKAWBHUejIIYw5V2qsebnp62Cy64wK688kqnatJlJogWMafapyHfjYkAX3KMw8AnMJh6873WM5C33oFeqxbJjkE4cklw0R5UIcYN6yatzu7ranCns/Pir/eiW8MmT6fOLObveNJx5kNAhIkEJhaWDi5rYUouQIO/cwtpNDKrfHg/+2JpY03ErRU08FUcYySa56103li+KDHAiMz54pF3FGfIsCx12DXmzQXgT2Do7ZnP3i0i7h3a4cb48bw1Ykc1dT6zIb0K36vPl7/7tBrCLvu9zsufc/CpgcFexFndZUujzlOzjXvx/PT5Fb7G/EUxZRECQkAICAEh0H0E/Oen+5RFcdEIxMcAHJBkejI2tHPQMums5ftmcHDtOM5jmrRiuYQdK9hxWsaum0r7HZXzBl0tclU/NG8RCM4cNzWMnVoH7pIPcYlj04rses4b81y/F65VKbrrvhzs3ADfZweEkhhQJ/swaRvJWi7Xj8UwSNMNQ9czmEt8QUwrUNnIKQ6fYHxlCR8Dx9X9/eHMxfbm/LMlxbS6sCs1IbBhEfjg3H+4vL858WxLsH+LmaB3q3eLecsqBDpCwO26xkCPC6G8Lr74YhsdHXVnyNx333128uRJd4YImUueweQJxxfXvdvS725ff8vo/hPu7uFDbC2uabzGxbggUGOb4Yio0Y0h69147k+9S0CNvz5vzh4GirvVBQijdcLACYI2pkrKKzuKCxhMYUYbbvFy+YVj59aYzVi8zt5Dq3LVE3ZL8vFMxNKh1XvF8+Td6la6w3iLfw8BtaDuQxrFgwB6ZNaec8455tVL7t2717KZbF27YfhO50NhFnXbAAj4uYaTQOHE2RvYEziHJomziymFXM2x/sA/DBPVTR/e32MkIqeWgRt6q2bhmtDzdOfdm8WfF2gRDo1pN9Jv9F+IdGP8hcK3829MuxntxjDt6DWL3y58O7/GdJvQpubkjk2T+B3H7SBgApkZSg46NfZJMJhSaawLLSZ/HaShIEJACAgBISAEOkVADKZOkVqlcHVjAjxke7OWyqQt199jA3N9NjM7Y6W5AsT9MVkig6nansHU6SBDDKalvWC+r7p31obMyi5NNE94Oe+1bhEJhUxAhUK2N2M9UDvRA7UTadRLpxog3KGXiFQgMDCu+KCa9k6Bal6UZble3/sc+2LpB+4sJi4evyz3xGXRU2QhIAQ2JwLsHyi99Obss+36nufU+q2w//ILWpuz9CrVaiHgF7z9ovfw8LCTYNq1c5edu/9cG8PZmzx/icaHWZm8tWbgBInXf8qXlIe6wUQ7CvMHCYFLfDBRH7+pz3wysUhNY8T8Q2vHeZ4fdakuCzFdupKljojMB3A9vwcymHbt2mUDAwNOraQ7vwwDUCdhEnsZvs3FnGTdwAjUvsVBm/a1NlD9Rn0KYVtPIyTrvQ+AMjtr7HlBGFp1G63cFyTYJEB8kujp+jz653g07xd383b6tfP34Tq9M32fB3+P0/ducXpx/7g77Z1OThvjtXr22MXz4dOPu/n43s8/x+/0a+cfD9uJnen7PPh7nL5387Tift6t2T2Ml8IBTL2ZfBDC571TGs3oyk0ICAEhIASEwDIQEINpGeCtWNT4YAODsFQ2aXnsxstXszZU7XfJOlF3P6BqlxE/2GgME0+Dfo3PjeH9c7NBSzM3H34p92Z5aebWSLtZPpq5NcZbzHOzfDRza0azWV6auTWL26lbs7w0c2uk1ywfcTfaowlBSJBubjegTwAO3tpIfx08U4rpP4u3u8VjZkdMpnXwUpQFIbCOEPDMJWbp+jyYS+zz4v3gOsqrsrL5EKAk0+DQoGM0cXGcar+4WL7y58d09uHuLNT891JbCJ7v1y2XzvM2P+T8Jj7fpVv5XJjO/Pw1xlk4RGMM/7wab2Ixw8D6kjRHvbmrL1HjnUwkXjQry5htTFnP6wcB1AEnvsd6EKpiQzUKhZeCCrq4atV8HEDyno6vyv6ZYHg32r2J+3s3f/dzrCDbAe24W+Ocn7Ta0fN+8Xw0c/Ppez//HL/Tz9NhPminm3f3fnByhu4+76FT3Y3+3vi4zdx8mLifd4vffVrxvDW6NQsfd/P2eFqd5I3x4nE8nfid/qTFi3afN+8Gp8jQfyF6DOzLGkUM43USNx5HdiEgBISAEBACXURADKYugrkipMLBBw/E5OA4wTfGwQP16/Ki/0KmcbDhBzgLxWvm30iLYZq5NYu7Gm6NeWl8Xo08tEqjWV6auf3/9u4nyXnjOgD4OPkkxWXpDKns4rX3jo9g73SCnEJVSuUWOUVSuoEP4L233mirXaQqWZLxQD6y0WyAGJIz0z3fDyp9ABqN7offAzkgmn/W9r9W3sprq6zVzlocWR7zuCCeL4qzMBua1qOf4itLcktP8/Kr8uITCvH/f372BwNNPSVJLATeQCB+m+1/pueD+J22P3z47fyVmqfvr3+DeHT5cQr89NNP803xHFDKed4wT5V6PctvnU+/aLO6a37gJWqUv/2Te9RXA62G4uurdg1tZGdFI3s/1TN9oH8xRXxV0XF73JU7T1Gn/JmUw5Yobe993vPxS/F1gFsX9ckTeUj/iGL9WJcxHo4172wut12sZWfFhq1cZPX9sV0ea/mzJudu98XbekxkmYGms+bHtBTn5OFxko+W42P6OLvbIttZewDm9rqjlfIYCjt90qr+cpLYpy47ttv8PaZp23zePyq2eG6aYpjjqx+S98QWx9DyaJWt1Z3KT3Z1bLFPqyz2aX+HaOzxWLsH53WOL07uteOKs37a7HlvTqV/CBAgQOCVBQwwvTL4s7uLi6y4UMgLiem1cVwvxv9xsTl/RVksr0z1i/psZlF9bmxRcn0l4iqmU0xF2XMXj4e63O29xRZH9wJ2L5XXZTKmtTkf0z+35OWisdcviK/K+3r6/7/+/3+f/vv7/5sHmWKg6Xf//G9Pv/vwr68fkB4JEHgTgb/8/W9zvzGolNNX//LHp69/86fpKfqXi19baf59yh3NCTxAID7BFL+39MMPP8yfwogbRPHfz/EOo2Kq14tNty1u3GiL65X4cx/3s36OhePyYWm6nDmW5XpzHl+fmyMQzQpZeHklcxjUWO8kt8w3k+NBOk1Zdlw9FJ7+jXdmnae5Tu5wKp5Kp5hfe4rfYDpHf9l7Ep4GcSLMY7U9eZhfDyxPpctO5pJLucjD8bMgzX0yjvk8Oe6eZZetRROXxxr1Lt6nlI00e70snG+qtju8rKzkHQo884R5hMB84hYN5fnXCiW3FdXLxRj0KJ8rcxCkLKvrl+sXyw+MLdou45ufD47H04ovY7+IKQueE1vs80C7q7Flf2UOs/+yrDyWXG7M5/6m/fKeTPbfcovdc3ujqbkotrf2vbbfWnvKCRAgQIDAowQMMD1K8rXaqV7zXruYiOugvCaKEOOldayXZbGyWI+KK1PruirKWuUrTawWZ6yLWI4ri7KVFlox9BJbhFzH96jYou21vMa2W+1i33Lv07tXj+9kO2yf/s27Hqfvnzht6XYhBplyioGmuMlc3mjObeYECLxvgd9/+Pf5AL+avkLzPz797fEm6vLZOp5Dp5fzu55L37eWo3uUQOvdxVn24cP0+4bT39kYcGqddfGbCw+bpn4OAxvtFuPP+3wNkfOp2vx4iPV4mMwb2/tmaRzD5XGs7bgsP6wtyy6vpqYwqmvjeo+Mpf4YQNRr122Xntt5/NKlUdXHMaTFpVaWVVVbq3MWFjtnrdaxLssOa8uyw97L58rArLto7dV8+/3UVL3vXFB1kVE353P/7R6b9RW+A4HId+Z8+m3if/ow/U7sr58+//zzw3PoxUn1Aoec3ZdNt8rK7dVyPv+Xxa2y52yf67biaJWVDVfLrTjm56toZ+XvQGufqtlz2soNz4wtdm311SrLbra2ZZ153oqlVbbYabnS6qtVVu51bXtZt1w+5aQstEyAAAECBF5RYPpGhov3i71i97pqCmy9mJoubGJzVomfMX3uFNdG9fVRq6zVbqu3Vllr3z1lrThaZa22Spfc3irLbbfMq3sYcxOtslbbtdOjY2s5tcr2xHauEy0cptWv0Ym30+55AXduKpt8k3nLPZ4F//zjX+d4loO2rdrLsOMW4COnqzeZVjqLSOvpp9MzRb1lud46gtZ5vRVb9h/z+lxf9nZeOw1aHosijlYs5z22ltajy9hi74itXF9rsY4t6rVM1vavy9ejO8ez164V2z12j40tcngpfHtea8n96xFFHUm5/vtPDoNLhxYPER4cz7XOrtONqzvOzv1Rq0lgKVBfpt9682nZaq5N53r1CanckvP50TA9EPY+r+d+OY/nl8t3Wx8eb1lnbT7XOj8ci2pT4bQxN8VlyK3T5Vfk3drSffsdnjfziC7byi23H+v0HJZvCDo1vw9uMw9TW9lszDPOUxc7F+a/r7fuvLOPxz52dnaq2osL1M+R33777dM333zz9OWXXx5+0256jRID9iYCBAgQIECAAIGXEzDA9HK2t7e89QLr+OItqzx3gCleJM4vFKvo1sqravMLx+w7t+V6zrP8ufOMK+e5/z2xRRsR11vHFnG0bs48IrZoe81orTz2KadrcWy2k3cWTg1G7ca0Utyo+aJFzWOdCqP8MJ2XDm/RLtazymm+dWv+VGn3wnwjbnftZcWIso70MJiy/sU2mZKcR4u5nPPs5VpsZf95rpfx1O0d2j3XiO3tOhnB9nwrvjK2XD73vNXvoVbGlfPtSC63bsUWtcuYMq6cx/Z2v+casb1dJ/beng77ru+dsUUre/LaiqVVth3VY7aWsWeL62UHzzrWcj3yWE71ernNMoFxBPKRvR5x63GzXnu55fD8t3zsLGtsrB0elusVjs1eP4L1Jvq57bxP+b5jveNot3JxzMO+I2jnIpq48SxpNlgPOkQlA0xNquEL61z/+OOPT999993TF1988RSfCI3BpfhNO/kfPtUOgAABAgQIEOhYwABTj8nZ8SIuw37uC83mS8ut/rKjct54BXjPi8psuvni8rmxRWNVfI+ILZp9Kbvn5jBiqaeXsqv7OY9gTKqbX/rf1Lpo7i0KWufDr9aSsHmMh+h7fsEaxxqHFvO1qc5U81xa23mjfI00dsmHaBnXo/rdCOm0qXUO5MaMo4w/y7LOS863Yot+I191nTqHLxlf6VL385pOdd/PWa/9Wsd0Nq1rn3u668b5uRlLBN5YoPUIWIa0/ihY1mut3fU4iY63pnjSmabrR3Co1/r3/FhvbX3Nsn3K9x3rHUe7lYtjHvYdQdv00X8/6kGH6LXn67W2itI9Aq1cx37ff//906effjo3EXU++eSTPc2pQ4AAAQIECBAgcIOA32C6Aa2nXW56qbj1InFr2/EF5MXd6qn8oS8M12JYK4+EZGyxXNbrIbYyvjK2Y/lNOYx966luu9y+tW3Drmzio1yuPp1VUr2Yx4M7ieauNdms0zpnrjVUoOw5r5vNtfot2l1dbDbWrt083qpqM/5bY4u2d8a3J7ZmnVeILQ6j6RIbBpqafgPFL1QCBAgQIEBgXSAGlD777DNfjbdOZAsBAgQIECBA4KECPsH0UM7BG7t2g3LnDdIXUbgWW3Tac3zvMratpLzlAV8/A+vId3xQab3Rvg91Md66dhCdH8Ja2MoJPESgfj64bLRdw1fkXUopGVGgfX7XR5K1cr7378ZrPE4ypog5l/fHVx/pW65n9OsxlDVyef+x7q253v+1LRlTzvf2uLfetf5t/7gF6k8z+dTax30+OHoCBAgQIEDg9QQMML2e9Rg95SvCOtoeXvmtxRax9hyf2OqzyToBAgQIECAwoEB+RVtc2vRweVMSxmViXiq+h09blsdWL/d+rD2fJ7WldQIECBAgQIAAAQIE7hPwFXn3+b2/vXu7W1AK9xxbxNlzfD3HVubYMgECBAgQIECAAAECBAgQIECAAAECBAgMIfDe3+A3RBIESYAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAYScAA00jZEisBAgQIECBAgAABAgQIECBAgAABAgQIECBAoAMBX5HXQRKEQIAAAQIECBAgQKB3gXhnWvz+T4/fvJsx5bx3y3vi6/0YM76c33Os9iVAgAABAgQIECBAoG+BX/0yTX2HKDoCBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBHxFXk/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJwABTT9kQCwECBAgQIECAAAECBAgQIECAAAECBAgQIEBgAAEDTAMkSYgECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ4EDDD1lA2xECBAgAABAgQIECBAgAABAgQIECBAgAABAgQGEDDANECShEiAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6EnAAFNP2RALAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQGAAAQNMAyRJiAQIECBAgAABAgQIECBAgAABAgQIECBAgACBngQMMPWUDbEQIECAAAECBAgQIECAAAECBAgQIECAAAECBAYQMMA0QJKESIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDoScAAU0/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJwABTT9kQCwECBAgQIECAAAECBAgQIECAAAECBAgQIEBgAAEDTAMkSYgECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ4EDDD1lA2xECBAgAABAgQIECBAgAABAgQIECBAgAABAgQGEDDANECShEiAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6EnAAFNP2RALAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQGAAAQNMAyRJiAQIECBAgAABAgQIECBAgAABAgQIECBAgACBngQMMPWUDbEQIECAAAECBAgQIECAAAECBAgQIECAAAECBAYQMMA0QJKESIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDoScAAU0/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJwABTT9kQCwECBAgQIECAAAECBAgQIECAAAECBAgQIEBgAAEDTAMkSYgECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ4EDDD1lA2xECBAgAABAgQIECBAgAABAgQIECBAgAABAgQGEDDANECShEiAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6EnAAFNP2RALAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQGAAAQNMAyRJiAQIECBAgAABAgQIECBAgAABAgQIECBAgACBngQMMPWUDbEQIECAAAECBAgQIECAAAECBAgQIECAAAECBAYQMMA0QJKESIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDoScAAU0/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJwABTT9kQCwECBAgQIECAAAECBAgQIECAAAECBAgQIEBgAAEDTAMkSYgECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ4EDDD1lA2xECBAgAABAgQIECBAgAABAgQIECBAgAABAgQGEDDANECShEiAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6EnAAFNP2RALAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQGAAAQNMAyRJiAQIECBAgAABAgQIECBAgAABAgQIECBAgACBngQMMPWUDbEQIECAAAECBAgQIECAAAECBAgQIECAAAECBAYQMMA0QJKESIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDoScAAU0/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJwABTT9kQCwECBAgQIECAAAECBAgQIECAAAECBAgQIEBgAAEDTAMkSYgECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ4EDDD1lA2xECBAgAABAgQIECBAgAABAgQIECBAgAABAgQGEDDANECShEiAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6EnAAFNP2RALAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQGAAAQNMAyRJiAQIECBAgAABAgQIECBAgAABAgQIECBAgACBngQMMPWUDbEQIECAAAECBAgQIECAAAECBAgQIECAAAECBAYQMMA0QJKESIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDoScAAU0/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJwABTT9kQCwECBAgQIECAAAECBAgQIECAAAECBAgQIEBgAAEDTAMkSYgECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgZ4EDDD1lA2xECBAgAABAgQIECBAgAABAgQIECBAgAABAgQGEDDANECShEiAAAECBAgQIECAAAECBAgQIECAAAECBAgQ6EnAAFNP2RALAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQGAAAQNMAyRJiAQIECBAgAABAgQIECBAgAABAgQIECBAgACBngQMMPWUDbEQIECAAAECBAgQIECAAAECBAgQIECAAAECBAYQMMA0QJKESIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBDoScAAU0/ZEAsBAgQIECBAgAABAgQIECBAgAABAgQIECBAYAABA0wDJEmIBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGeBAww9ZQNsRAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIEBhAwwDRAkoRIgAABAgQIECBAgAABAgQIECBAgAABAgQIEOhJ4B83CYYJJJJvsQAAAABJRU5ErkJggg==)" - ] - }, - { - "cell_type": "code", - "execution_count": 45, - "metadata": { - "id": "T5TpQhYxqhao" - }, - "outputs": [], - "source": [ - "\n", - "def rerank_documents(query: str, documents, top_n: int = 3):\n", - " # Perform reranking with Cohere ReRank Model\n", - " try:\n", - " response = co.rerank(\n", - " model=\"rerank-english-v3.0\",\n", - " query=query,\n", - " documents=documents,\n", - " top_n=top_n,\n", - " rank_fields=[\"company\", \"reports\", \"combined_attributes\"]\n", - " )\n", - "\n", - " # Extract the top reranked documents\n", - " top_documents_after_rerank = []\n", - " for result in response.results:\n", - " original_doc = documents[result.index]\n", - " top_documents_after_rerank.append({\n", - " 'company': original_doc['company'],\n", - " 'combined_attributes': original_doc['combined_attributes'],\n", - " 'reports': original_doc['reports'],\n", - " 'vector_search_score': original_doc['score'],\n", - " 'relevance_score': result.relevance_score\n", - " })\n", - "\n", - " return top_documents_after_rerank\n", - "\n", - " except Exception as e:\n", - " print(f\"An error occurred during reranking: {e}\")\n", - " return documents[:top_n] # Return top N documents without reranking" - ] - }, - { - "cell_type": "code", - "execution_count": 46, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "mAfxIAnlKIyJ", - "outputId": "db6581e5-500b-4092-9888-1012081ef2b9" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "summary": "{\n \"name\": \"pd\",\n \"rows\": 5,\n \"fields\": [\n {\n \"column\": \"reports\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"company\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 5,\n \"samples\": [\n \"BioTech Therapeutics\",\n \"BioEngineering Corp\",\n \"RenewableEnergy Innovations\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"combined_attributes\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 5,\n \"samples\": [\n \"BioTech Therapeutics Information Technology 2023 BioTech Therapeutics (BTTH) - 2023 Market Analysis Morgan Smith, Technology Sector Lead ## BioTech Therapeutics (BTTH) - Market Analysis Report 2023\\n\\n### Overview:\\nBioTech Therapeutics (BTTH) is a mid-cap biotechnology company focused on developing innovative treatments for rare and autoimmune diseases. In 2023, BTTH continued its journey as a promising player in the biotech industry, delivering solid performance and making significant strides in its product pipeline. The company's stock has been on a steady upward trajectory, outperforming the broader market and gaining the attention of investors. \\n\\n### Key Highlights:\\n\\n**Financial Performance:** BTTH exhibited strong financial growth in 2023. For the full year, the company reported a revenue increase of 25% year-over-year, surpassing analyst estimates. This growth was primarily driven by the successful commercialization of its lead product, AutoImmune-X, which has gained traction in the market. BTTH also managed its expenses effectively, leading to an improvement in net income of over 30% compared to the previous year. \\n\\n**Product Innovations:** The year 2023 saw BTTH make significant progress in its product pipeline. The company received breakthrough therapy designation from the FDA for its novel drug candidate, RareDisease-Y, which is being developed to treat a rare genetic disorder. This designation accelerated the development and review process, bringing hope to patients in need of new treatment options. Additionally, BTTH expanded its research and development efforts, initiating Phase 2 trials for its autoimmune disease treatment, ImmunoRegulate-Z, which showed promising results in early-stage trials. \\n\\n**Market Position:** BTTH has solidified its position in the competitive landscape of the biotechnology industry. The company's unique approach to treating rare and autoimmune diseases has gained recognition, and its products are now considered among the most promising in their respective fields. BTTH's market share has gradually increased, particularly in the North American market, and the company has established strategic partnerships to enhance its distribution network and reach a wider patient population. \\n\\n### Challenges:\\nDespite the positive performance, BTTH faced several challenges in 2023. The company encountered manufacturing delays for AutoImmune-X due to supply chain disruptions, leading to temporary shortages in certain markets. Additionally, competition in the rare disease space intensified, with several larger companies entering the arena. BTTH also faced patent challenges from a rival company, creating uncertainty around its intellectual property rights. \\n\\n### Outlook and Stock Recommendation:\\n\\nLooking ahead, BTTH is well-positioned for continued success in 2024. The company is expected to resolve its manufacturing issues, and the supply of AutoImmune-X should stabilize, driving further revenue growth. The Phase 2 trials for ImmunoRegulate-Z are likely to yield important data, and positive results could lead to increased investor confidence and a potential partnership or acquisition. The company's robust pipeline and strong financial position indicate that BTTH is on a solid growth trajectory. \\n\\n**Stock Recommendation:** Buy\\n**Price Target:** $72.00\\n\\nThe Buy recommendation is based on the expectation of continued financial performance, the promising product pipeline, and the potential for significant milestones to be achieved in 2024. The price target of $72.00 represents a potential upside of approximately 25% from the current market price and is calculated using a combination of intrinsic and relative valuation methods, taking into account the company's growth prospects and industry comparables. \\n\\nIn conclusion, BioTech Therapeutics has had a successful year in 2023, and its prospects for 2024 look bright. Investors should consider adding BTTH to their portfolios, as the company continues to deliver on its promises and makes strides towards becoming a leading player in the biotechnology industry. 2024 BioTech Therapeutics (BTTH) - 2024 Market Analysis Taylor Jones, Chief Market Strategist ## Market Analysis Report for BioTech Therapeutics (BTTH) - 2024\\n\\n### Overview:\\nBioTech Therapeutics (BTTH) is a mid-cap biotechnology company focused on developing innovative treatments for rare and autoimmune diseases. In 2024, BTTH demonstrated solid performance, building on the success of its core therapies and advancing its pipeline of potential blockbuster drugs. The company's financial health remains stable, and it has several key catalysts expected in the coming year. \\n\\n### Key Highlights:\\n\\n**Financial Performance:** BTTH exhibited strong financial growth in 2024, with a year-over-year revenue increase of 20%. This growth was driven primarily by the company's flagship therapy, X-RARE, which has seen increased adoption in the treatment of rare blood disorders. Gross margins improved slightly due to economies of scale in manufacturing, and the company managed its expenses well, resulting in a 15% increase in net income. \\n\\n**Product Innovations:** The year 2024 saw the expansion of BTTH's product pipeline, with two new drug candidates entering Phase 2 trials. The first, an autoimmune disease therapy, shows promising results in early trials, with the potential to treat a wide range of conditions. The second, a gene therapy for a rare form of muscular dystrophy, has also progressed well, with initial data suggesting improved efficacy over existing treatments. \\n\\n**Market Position:** BTTH has solidified its position in the rare disease space, with X-RARE becoming a go-to treatment for hematologists. The company also expanded its sales force and increased its marketing efforts, resulting in greater market penetration and improved brand recognition. Additionally, BTTH strengthened its intellectual property portfolio, bolstering its competitive advantage. \\n\\n### Challenges:\\nBTTH faces several challenges, including increasing competition in the rare disease market, particularly for X-RARE, as larger pharmaceutical companies develop similar therapies. The company also experienced some setbacks in its early-stage pipeline, with one drug candidate failing to progress beyond Phase 1 trials. Additionally, BTTH's reliance on a small number of key therapies leaves it vulnerable to fluctuations in sales and potential patent challenges. \\n\\n### Outlook for 2025:\\nThe coming year is expected to be pivotal for BTTH, with several key events on the horizon. The company anticipates releasing Phase 2 trial data for its two new drug candidates, which, if positive, could lead to significant growth in the company's valuation. Additionally, BTTH plans to initiate a Phase 3 trial for its gene therapy treatment, which, if successful, could result in the first gene therapy approval for muscular dystrophy. \\n\\n### Stock Recommendation:\\nBased on the company's solid performance, expanding pipeline, and potential catalysts in 2025, I recommend a \\\"Buy\\\" rating for BTTH stock. With a price target of $65, representing a potential upside of approximately 25% from the current market price, the company's financial health, and robust product pipeline suggest a positive outlook for the coming year. \\n\\nThis recommendation is dependent on the assumption that BTTH will continue to execute its strategy effectively, and any negative trial results or increased competition could impact this outlook. However, with the potential for significant growth in the rare disease and gene therapy markets, BTTH is well-positioned to deliver strong returns in 2025. \\n\\nDisclaimer: This report is for informational purposes only and should not be considered investment advice. Investors are advised to conduct their own due diligence and consult with a financial advisor before making any investment decisions. BioTech Therapeutics Announces Strategic Partnership with Microsoft BioTech Therapeutics gains access to Microsoft's cloud and AI technologies to advance its drug development and research capabilities through this partnership. BioTech Therapeutics Expands into African Market BioTech Therapeutics, a leading biotechnology company, announces its expansion into the African market, offering innovative therapeutic solutions to a new region.\",\n \"BioEngineering Corp Information Technology 2023 BioEngineering Corp (BENC) - 2023 Market Analysis Morgan Williams, Senior Tech Analyst Title: BioEngineering Corp (BENC) - Market Analysis Report for 2023\\n\\nOverview:\\nBioEngineering Corp (BENC) is a leading biotechnology company specializing in the development and commercialization of innovative healthcare solutions. In 2023, BENC demonstrated solid performance, building on its strong foundation in the healthcare industry. The company's commitment to research and development has resulted in a robust pipeline of potential breakthrough treatments and technologies. This report will analyze BENC's key highlights, financial performance, challenges, and future prospects to provide a comprehensive overview of the company's standing and potential in the market.\\n\\nKey Highlights:\\n- Financial Performance: BENC exhibited strong financial growth in 2023. The company's revenue increased by 20% year-over-year, driven by the successful commercialization of its core products and services. Profit margins improved, reflecting the company's ability to manage costs effectively while scaling up operations. This financial stability positions BENC favorably for continued investment in R&D and potential expansion opportunities.\\n\\n- Product Innovations: BENC demonstrated its commitment to innovation with several significant product launches and advancements. The company introduced a groundbreaking drug delivery system, offering improved patient outcomes and convenience. Additionally, BENC made strides in its pipeline, with several promising therapeutic candidates advancing to clinical trials, including a potential first-in-class treatment for a rare genetic disorder.\\n\\n- Market Position: BENC solidified its market position in 2023 through strategic partnerships and collaborations. The company formed alliances with leading healthcare providers and distributors, expanding its reach and market access. BENC also strengthened its presence in key geographic markets, particularly in North America and Europe, through targeted marketing and business development initiatives.\\n\\nChallenges:\\n- Competitive Landscape: BENC operates in a highly competitive and rapidly evolving industry, facing challenges from established players and emerging startups. The company needs to maintain its innovative edge and stay agile to adapt to changing market dynamics and consumer demands.\\n\\n- Regulatory Hurdles: The healthcare regulatory landscape is complex and stringent. BENC faced delays in obtaining approvals for certain products, impacting their time-to-market. Navigating regulatory hurdles and ensuring compliance across multiple markets remains a key challenge.\\n\\n- Reimbursement and Pricing Pressures: As healthcare costs continue to rise, payers and governments are pushing for lower prices and seeking value-based reimbursement models. BENC needs to navigate pricing strategies carefully to ensure accessibility and profitability for its products.\\n\\nOutlook for 2024:\\nBENC is well-positioned for continued success in 2024. The company's robust pipeline is expected to drive future growth, with several late-stage therapeutic candidates anticipated to reach the market. BENC's focus on strategic partnerships and expansion into new geographic markets is likely to pay dividends, further solidifying its market presence. Additionally, the company's strong balance sheet provides flexibility for potential acquisitions or investments in emerging technologies.\\n\\nStock Recommendation:\\nBuy - BENC demonstrated strong performance and resilience in 2023, and the outlook for 2024 remains positive. The company's financial stability, coupled with its innovative pipeline and strategic initiatives, makes it an attractive investment opportunity. With expected growth in revenue and continued market penetration, BENC is likely to outperform the industry in the coming year. The stock currently trades at a reasonable valuation, and we believe there is upside potential. Our 12-month price target is set at $[X], reflecting a potential upside of [Y]% from the current market price.\\n\\nIn summary, BioEngineering Corp (BENC) has had a successful year in 2023, and we expect this momentum to carry forward. The company's financial health, innovative capabilities, and strategic direction position it well to navigate challenges and capitalize on emerging opportunities in the healthcare sector. We recommend investors buy and hold BENC stock for potential capital appreciation and long-term growth. 2024 BioEngineering Corp (BENC) - 2024 Market Analysis Morgan Williams, Technology Sector Lead ## Market Analysis Report for BioEngineering Corp (BENC) - 2024\\n\\n### Overview:\\nBioEngineering Corp (BENC) has had a remarkable year in 2024, solidifying its position as a leading player in the biotechnology industry. The company has a diverse portfolio of products and services, ranging from biopharmaceuticals to medical devices and engineering services. BENC's innovative capabilities and strong focus on research and development have driven its success this year. \\n\\n### Key Highlights:\\n\\n- **Financial Performance:** BENC has reported strong financial results for 2024, with revenue growth outpacing the industry average. The company's gross margins have expanded, indicating improved cost efficiency. Net income has also seen a significant boost, with a healthy increase in earnings per share, surpassing analyst expectations. \\n\\n- **Product Innovations:** The year 2024 witnessed several key product launches and innovations from BENC. The company introduced a groundbreaking new drug delivery system, offering improved patient convenience and compliance. Additionally, BENC expanded its medical device portfolio with advanced diagnostic tools that provide faster and more accurate results. The company also made significant investments in its biomanufacturing capabilities, allowing for increased production capacity and improved product quality. \\n\\n- **Market Position:** BENC has strengthened its market position and expanded its global presence. The company has successfully penetrated new geographic markets, particularly in the Asia-Pacific region, and established strategic partnerships for distribution and local market access. BENC's market share has grown in key therapeutic areas, and its products are now considered industry-leading in terms of efficacy and safety. \\n\\n### Challenges:\\nDespite the impressive performance, BENC has faced certain challenges this year. The company encountered some regulatory hurdles in the approval process for one of its flagship drugs in the US market, causing a slight delay in its launch timeline. Additionally, BENC's research and development expenses have increased significantly due to the high costs associated with bringing innovative products to market. Managing these expenses while maintaining a competitive pricing strategy will be crucial for BENC in the coming year. \\n\\n### Outlook for 2025:\\nFor the next year, BENC is well-positioned to continue its growth trajectory. The company has a robust pipeline of products in late-stage development, including several potential blockbuster drugs. BENC is also expected to benefit from favorable industry trends, such as an aging global population and increasing demand for advanced medical technologies. The company's focus on expansion into emerging markets is also anticipated to bear fruit, driving revenue growth in 2025. \\n\\n### Stock Recommendation:\\nBased on the strong performance, innovative capabilities, and positive outlook, I recommend a \\\"Buy\\\" rating for BENC stock. The company has demonstrated its ability to execute its strategic vision and deliver impressive financial results. With a price target of $150 per share, representing a potential upside of approximately 25% from the current market price, BENC offers attractive investment opportunities for those looking to enter or expand their presence in the biotechnology sector. \\n\\nNote: This report is for illustrative purposes only and should not be considered investment advice. The information used is based on assumptions and hypothetical scenarios created for this prompt. Always conduct thorough research and consult professional advice before making any investment decisions. BioEngineering Corp Expands into African Market BioEngineering Corp diversifies its global presence with expansion into the African market, marking a strategic move to tap into new growth opportunities. BioEngineering Corp Reports Strong Q3 Earnings, Beating Expectations BioEngineering Corp's impressive Q3 performance surpasses financial forecasts, indicating robust growth and promising prospects. BioEngineering Corp Unveils New AI-Powered Product Line BioEngineering Corp showcases its innovative use of AI with the launch of its new product line. BioEngineering Corp Announces Strategic Partnership with Microsoft BioEngineering Corp leverages Microsoft's cloud and AI capabilities to enhance its bioinformatics solutions.\",\n \"RenewableEnergy Innovations Information Technology 2023 RenewableEnergy Innovations (REIN) - 2023 Market Analysis Casey Davis, Technology Sector Lead RenewableEnergy Innovations (REIN) - Market Analysis Report for 2023\\n\\nOverview:\\n\\nREIN has had a remarkable year in 2023, solidifying its position as a leading provider of innovative renewable energy solutions. The company has shown strong financial performance, driven by its diverse portfolio of renewable energy technologies and increasing global demand for sustainable energy alternatives. REIN's commitment to research and development (R&D) has resulted in several product innovations, enhancing its market competitiveness and attracting a wider customer base.\\n\\nKey Highlights:\\n\\n- Financial Performance: REIN exceeded expectations in terms of financial performance. For the full year 2023, the company is expected to report a revenue increase of approximately 20% year-over-year, with a healthy growth in earnings before interest, taxes, depreciation, and amortization (EBITDA). The primary drivers of this growth were increased sales of its solar photovoltaic (PV) systems and wind energy solutions, particularly in the commercial and industrial sectors. \\n\\n- Product Innovations: One of REIN's key strengths is its dedication to R&D, which has resulted in several significant product innovations during the year. The company launched its advanced solar PV panels with higher efficiency and improved durability, making them ideal for a wider range of applications. Additionally, REIN introduced a new line of energy storage solutions, including lithium-ion batteries and flow batteries, enhancing the overall efficiency of renewable energy systems. \\n\\n- Market Position: REIN has successfully expanded its global footprint, particularly in the Asia-Pacific region, where demand for renewable energy solutions is high. The company has established strategic partnerships and secured several large-scale projects, positioning itself as a key player in the region's renewable energy market. REIN's brand recognition and market share have grown, and the company is well-positioned to capitalize on emerging opportunities.\\n\\nChallenges:\\n\\nWhile REIN has had a stellar performance, it has also faced certain challenges. The volatility in commodity prices, particularly for raw materials used in renewable energy equipment, has impacted the company's input costs. Additionally, the highly competitive nature of the renewable energy market and the emergence of new technologies and players could potentially affect REIN's market share and pricing power. \\n\\nOutlook and Stock Recommendation:\\n\\nLooking ahead, REIN is well-positioned to capitalize on the growing global demand for renewable energy solutions. The company's strong R&D capabilities and innovative product pipeline are expected to drive continued financial performance and market share gains. The expansion into new geographic markets and the potential for favorable regulatory policies supporting renewable energy adoption further enhance REIN's prospects. \\n\\nFor the next year, analysts expect REIN to continue its upward trajectory. The company is likely to benefit from its diverse product portfolio, with a particular focus on energy storage solutions, which are becoming increasingly crucial in the renewable energy landscape. REIN's ability to offer integrated renewable energy systems sets it apart from competitors and is expected to drive sales and profitability. \\n\\nTherefore, the stock recommendation for REIN is a \\\"Buy.\\\" The target price for the next 12 months is set at $75 per share, representing an upside potential of approximately 25% from the current market price. This target is based on a combination of valuation metrics, including price-to-earnings and price-to-sales ratios, and takes into account the company's growth prospects and market positioning. \\n\\nIn conclusion, REIN has had a stellar year, and its outlook remains positive. With a strong commitment to innovation, a diverse product portfolio, and a growing global presence, the company is well-positioned to capitalize on the world's transition to renewable energy. The stock offers attractive upside potential for investors seeking exposure to the renewable energy sector. 2024 RenewableEnergy Innovations (REIN) - 2024 Market Analysis Jordan Jones, Senior Tech Analyst Renewable Energy Innovations (REIN) - Market Analysis Report for 2024\\n\\nOverview:\\n\\nREIN has had a remarkable year in 2024, solidifying its position as a leading provider of innovative renewable energy solutions. The company has shown strong financial performance, driven by its diverse portfolio of renewable energy technologies and increasing global demand for sustainable energy alternatives. REIN's commitment to research and development (R&D) has resulted in several product innovations, expanding their offerings and enhancing their competitiveness in the market.\\n\\nKey Highlights:\\n\\n- Financial Performance: REIN exceeded expectations in 2024, with revenue growth of 20% year-over-year. The company's diverse business segments, including solar, wind, and energy storage, all contributed to this success. Gross margins improved by 2 percentage points compared to 2023, reflecting increased operational efficiency and cost-effective technology advancements.\\n\\n- Product Innovations: The company introduced several game-changing products this year. Their new solar panel technology, SolarBoost, offers higher efficiency and durability at a competitive price point. REIN also launched WindOptimal, an advanced wind turbine design that reduces noise and increases energy output. Additionally, their energy storage solutions, StoragePlus, gained traction with utility companies and commercial customers, providing flexible and reliable backup power options.\\n\\n- Market Position: REIN has successfully expanded its global footprint, entering new markets and solidifying its presence in existing ones. The company secured several large-scale projects, including a 200-megawatt solar farm in the United States and a wind turbine installation in Europe. REIN's partnerships with leading energy companies and governments have strengthened its market position and opened up new business opportunities.\\n\\nChallenges:\\n\\nWhile REIN has had a stellar performance, they have also faced certain challenges. Supply chain constraints impacted their ability to meet the high demand for certain components, particularly for energy storage solutions. Additionally, policy changes and regulatory uncertainties in some countries created delays in project approvals, affecting REIN's expansion plans. Managing cash flow and maintaining profitability while investing heavily in R&D and expansion has been a delicate balance for the company.\\n\\nOutlook and Stock Recommendation:\\n\\nLooking ahead, REIN is well-positioned to capitalize on the growing momentum for renewable energy solutions. The company's diverse product portfolio, strong project pipeline, and expanding global presence are positive indicators for continued growth. REIN is expected to benefit from increasing government incentives and policies favoring renewable energy, as well as the growing awareness and demand for sustainable practices among consumers and businesses.\\n\\nFor 2025, REIN is projected to maintain its strong financial performance, with potential upside driven by the commercialization of their new product innovations. The company's focus on expanding its energy storage solutions and integrating artificial intelligence into its offerings is expected to enhance its competitiveness and open up new revenue streams.\\n\\nBased on these factors and the positive outlook for the renewable energy sector, I recommend a \\\"Buy\\\" rating for REIN stock. The target price for the next 12 months is set at $65, representing a potential upside of approximately 25% from the current market price. This target is calculated based on a combination of valuation metrics, including price-to-earnings and price-to-sales ratios, and reflects the company's strong growth prospects and market leadership.\\n\\nDisclaimer: This report is for informational purposes only and should not be considered investment advice. Investors are advised to conduct their own due diligence and assess their risk tolerance before making any investment decisions. RenewableEnergy Innovations Expands into South American Market RenewableEnergy Innovations expands its global reach by venturing into the South American market, bringing its innovative sustainable energy solutions to a new continent. RenewableEnergy Innovations Faces Regulatory Scrutiny Over Data Practices Sure! Here is a one-sentence summary: \\n\\n\\\"RenewableEnergy Innovations is under regulatory scrutiny for its data practices, raising questions about the company's handling of sensitive information and compliance with privacy standards.\\\" RenewableEnergy Innovations Faces Regulatory Scrutiny Over Data Practices RenewableEnergy Innovations is under regulatory scrutiny as its data practices and handling of user information are being questioned.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 0.006612582989409772,\n \"min\": 0.6436900496482849,\n \"max\": 0.6595240235328674,\n \"num_unique_values\": 5,\n \"samples\": [\n 0.6463000774383545,\n 0.6436900496482849,\n 0.6452237367630005\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}", - "type": "dataframe" - }, - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    reportscompanycombined_attributesscore
    0[{'author': 'Jordan Garcia, Senior Tech Analys...GreenEnergy CorpGreenEnergy Corp Information Technology 2023 G...0.659524
    1[{'author': 'Morgan Smith, Technology Sector L...BioTech TherapeuticsBioTech Therapeutics Information Technology 20...0.646300
    2[{'author': 'Casey Davis, Technology Sector Le...RenewableEnergy InnovationsRenewableEnergy Innovations Information Techno...0.645224
    3[{'author': 'Morgan Johnson, Technology Sector...QuantumSensor CorpQuantumSensor Corp Information Technology 2023...0.644383
    4[{'author': 'Morgan Williams, Senior Tech Anal...BioEngineering CorpBioEngineering Corp Information Technology 202...0.643690
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " reports \\\n", - "0 [{'author': 'Jordan Garcia, Senior Tech Analys... \n", - "1 [{'author': 'Morgan Smith, Technology Sector L... \n", - "2 [{'author': 'Casey Davis, Technology Sector Le... \n", - "3 [{'author': 'Morgan Johnson, Technology Sector... \n", - "4 [{'author': 'Morgan Williams, Senior Tech Anal... \n", - "\n", - " company \\\n", - "0 GreenEnergy Corp \n", - "1 BioTech Therapeutics \n", - "2 RenewableEnergy Innovations \n", - "3 QuantumSensor Corp \n", - "4 BioEngineering Corp \n", - "\n", - " combined_attributes score \n", - "0 GreenEnergy Corp Information Technology 2023 G... 0.659524 \n", - "1 BioTech Therapeutics Information Technology 20... 0.646300 \n", - "2 RenewableEnergy Innovations Information Techno... 0.645224 \n", - "3 QuantumSensor Corp Information Technology 2023... 0.644383 \n", - "4 BioEngineering Corp Information Technology 202... 0.643690 " - ] - }, - "execution_count": 46, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "import pprint\n", - "\n", - "query = \"What companies have negative market reports or negative sentiment that might deter from investment in the long term\"\n", - "\n", - "get_knowledge = vector_search(query, collection)\n", - "pd.DataFrame(get_knowledge).head()" - ] - }, - { - "cell_type": "code", - "execution_count": 47, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 143 - }, - "id": "vm9ZoEVgKXj9", - "outputId": "a2ef1242-8033-4da1-de42-c9efdcd7c3b6" - }, - "outputs": [ - { - "data": { - "application/vnd.google.colaboratory.intrinsic+json": { - "summary": "{\n \"name\": \"pd\",\n \"rows\": 3,\n \"fields\": [\n {\n \"column\": \"company\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 3,\n \"samples\": [\n \"GreenEnergy Corp\",\n \"BioEngineering Corp\",\n \"QuantumSensor Corp\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"combined_attributes\",\n \"properties\": {\n \"dtype\": \"string\",\n \"num_unique_values\": 3,\n \"samples\": [\n \"GreenEnergy Corp Information Technology 2023 GreenEnergy Corp (GRNE) - 2023 Market Analysis Jordan Garcia, Senior Tech Analyst ## GreenEnergy Corp (GRNE) - Market Analysis Report 2023\\n\\n### Overview:\\nGreenEnergy Corp (GRNE) is a leading renewable energy solutions provider, specializing in solar and wind power technologies. In 2023, GRNE continued its steady growth trajectory, solidifying its position as a key player in the global transition to clean energy. The company's commitment to innovation and sustainable practices has driven its success this year, outperforming industry expectations. \\n\\n### Key Highlights:\\n\\n- **Financial Performance:** GRNE reported strong financial results for 2023. Revenue increased by 20% year-over-year, reaching $1.5 billion, driven by rising demand for its solar panel systems and wind turbine solutions. Gross profit margin improved by 2 percentage points, reflecting the company's ability to manage costs effectively while scaling up operations. Net income more than doubled compared to 2022, demonstrating GRNE's efficient operational strategies and successful cost-management initiatives. \\n\\n- **Product Innovations:** The company introduced several innovative products this year, including a new line of high-efficiency solar panels with advanced energy-conversion technology, resulting in increased power output and reduced environmental impact. GRNE also launched a smart energy management system, allowing residential and commercial customers to monitor and optimize their energy usage, thereby reducing their carbon footprint and energy costs. \\n\\n- **Market Position:** GRNE solidified its market position by expanding its global presence and securing several high-profile projects. The company won a significant contract to develop a large-scale wind farm in Europe, adding to its diverse portfolio of renewable energy projects worldwide. GRNE's reputation for reliable and cutting-edge technology has led to increased brand recognition and market share, positioning the company as a trusted partner in the renewable energy sector. \\n\\n### Challenges:\\nDespite GRNE's impressive performance, the company faced several challenges in 2023. The volatile political environment and rising trade tensions resulted in increased tariffs and logistical hurdles, impacting the company's supply chain and production costs. Additionally, GRNE operates in a highly competitive market, with constantly evolving technologies and consumer preferences, requiring the company to maintain its innovative edge and adapt swiftly to market dynamics. \\n\\n### Outlook and Stock Recommendation:\\nLooking ahead, GRNE is well-positioned to capitalize on the growing global demand for renewable energy solutions. The company's robust product pipeline, combined with its expanding global footprint, sets the stage for continued growth in 2024. GRNE's focus on innovation and commitment to sustainability are expected to drive long-term value creation for shareholders. \\n\\nStock Recommendation: **Buy**\\nPrice Target: $72.00\\n\\nThe buy recommendation is supported by several factors. Firstly, GRNE's strong financial performance and innovative product pipeline demonstrate its ability to navigate market challenges successfully. Secondly, the global shift towards renewable energy solutions is expected to continue, providing a favorable macroeconomic environment for GRNE's business model. Finally, GRNE's expanding market presence and brand recognition position it to capture a significant share of the growing renewable energy market. \\n\\nIn conclusion, GreenEnergy Corp's performance in 2023 reinforces its leadership role in the renewable energy sector. With a solid financial foundation, innovative product offerings, and a dedicated focus on sustainability, GRNE is well-equipped to overcome challenges and capitalize on emerging opportunities. Investors with a long-term horizon can benefit from GRNE's potential for sustained growth and increasing market dominance in the years to come. 2024 GreenEnergy Corp (GRNE) - 2024 Market Analysis Morgan Johnson, Head of Equity Research ## GreenEnergy Corp (GRNE) - Market Analysis Report 2024\\n\\n### Overview:\\nGreenEnergy Corp (GRNE) has had a remarkable year in 2024, solidifying its position as a leading player in the renewable energy industry. The company has a diverse portfolio of renewable energy solutions, including solar, wind, and energy storage technologies, which has been a key driver of its success. GRNE's commitment to innovation and sustainability has resulted in significant growth and market presence this year. \\n\\n### Key Highlights:\\n- **Financial Performance:** GRNE has exhibited strong financial performance in 2024, with year-over-year revenue growth of 20%. The company's diverse business model, including energy generation, storage, and infrastructure development, has contributed to its robust financial position. Gross margins have also improved, reflecting increased operational efficiency and cost-effective strategies. \\n\\n- **Product Innovations:** One of GRNE's key strengths is its focus on research and development, leading to several product innovations this year. The company launched its advanced solar panel technology, offering higher efficiency and improved durability, setting a new industry standard. Additionally, GRNE expanded its energy storage solutions, introducing a long-duration battery system that enhances the reliability of renewable energy sources. \\n\\n- **Market Position:** GRNE has solidified its market position globally, expanding its presence in key regions. The company has successfully secured several large-scale projects, including international contracts, which has enhanced its reputation and market share. GRNE's strategic partnerships and collaborations have also contributed to its market presence, allowing it to access new markets and expand its customer base. \\n\\n### Challenges:\\nDespite GRNE's impressive performance, the company has faced several challenges in 2024. First, the volatile pricing of commodities and raw materials has impacted the cost structure of the industry. GRNE has had to navigate these fluctuations and manage its supply chain effectively to maintain profitability. \\n\\nAdditionally, the highly competitive nature of the renewable energy industry presents ongoing challenges. GRNE must continue to innovate and differentiate itself to stay ahead of the competition. The company also faces the challenge of keeping up with evolving regulatory frameworks and policies, which vary across regions, affecting project development and revenue streams. \\n\\n### Outlook for 2025:\\nFor the next year, GRNE is well-positioned to continue its growth trajectory and market expansion. The company's focus on innovation is expected to drive further advancements in its product offerings, particularly in energy storage solutions, which are in high demand as the world transitions to more sustainable energy sources. \\n\\nGRNE's diverse business model and global presence provide a solid foundation for future success. The company's expertise in multiple renewable energy technologies and its ability to adapt to market demands will be key advantages in 2025. Additionally, GRNE's commitment to sustainability and environmental initiatives aligns with global trends and investor preferences, attracting further support and funding. \\n\\n### Stock Recommendation:\\nBased on the company's strong performance, innovative capabilities, and positive outlook, I recommend a \\\"Buy\\\" rating for GRNE stock. With the world's increasing focus on renewable energy and GRNE's solid fundamentals, the stock is likely to perform well in the medium to long term. \\n\\n**Price Target:** $72.00, implying a potential upside of approximately 20% from the current market price. \\n\\nThis price target is based on a combination of valuation techniques, including comparable company analysis and discounted cash flow models, taking into account GRNE's growth prospects and industry dynamics. \\n\\nNote: This report is for informational purposes only and should not be considered investment advice. Investors are advised to conduct their own due diligence and consult a financial advisor before making any investment decisions. GreenEnergy Corp Faces Regulatory Scrutiny Over Data Practices GreenEnergy Corp is under regulatory scrutiny as authorities examine its data handling practices, sparking concerns about potential privacy breaches and ethical dilemmas. GreenEnergy Corp Faces Regulatory Scrutiny Over Data Practices GreenEnergy Corp is under regulatory scrutiny as authorities examine its data handling practices, sparking concerns about potential privacy breaches and ethical dilemmas. GreenEnergy Corp Expands into South American Market GreenEnergy Corp takes a step forward in its global expansion by entering the South American market, bringing its innovative sustainable energy solutions to a new continent.\",\n \"BioEngineering Corp Information Technology 2023 BioEngineering Corp (BENC) - 2023 Market Analysis Morgan Williams, Senior Tech Analyst Title: BioEngineering Corp (BENC) - Market Analysis Report for 2023\\n\\nOverview:\\nBioEngineering Corp (BENC) is a leading biotechnology company specializing in the development and commercialization of innovative healthcare solutions. In 2023, BENC demonstrated solid performance, building on its strong foundation in the healthcare industry. The company's commitment to research and development has resulted in a robust pipeline of potential breakthrough treatments and technologies. This report will analyze BENC's key highlights, financial performance, challenges, and future prospects to provide a comprehensive overview of the company's standing and potential in the market.\\n\\nKey Highlights:\\n- Financial Performance: BENC exhibited strong financial growth in 2023. The company's revenue increased by 20% year-over-year, driven by the successful commercialization of its core products and services. Profit margins improved, reflecting the company's ability to manage costs effectively while scaling up operations. This financial stability positions BENC favorably for continued investment in R&D and potential expansion opportunities.\\n\\n- Product Innovations: BENC demonstrated its commitment to innovation with several significant product launches and advancements. The company introduced a groundbreaking drug delivery system, offering improved patient outcomes and convenience. Additionally, BENC made strides in its pipeline, with several promising therapeutic candidates advancing to clinical trials, including a potential first-in-class treatment for a rare genetic disorder.\\n\\n- Market Position: BENC solidified its market position in 2023 through strategic partnerships and collaborations. The company formed alliances with leading healthcare providers and distributors, expanding its reach and market access. BENC also strengthened its presence in key geographic markets, particularly in North America and Europe, through targeted marketing and business development initiatives.\\n\\nChallenges:\\n- Competitive Landscape: BENC operates in a highly competitive and rapidly evolving industry, facing challenges from established players and emerging startups. The company needs to maintain its innovative edge and stay agile to adapt to changing market dynamics and consumer demands.\\n\\n- Regulatory Hurdles: The healthcare regulatory landscape is complex and stringent. BENC faced delays in obtaining approvals for certain products, impacting their time-to-market. Navigating regulatory hurdles and ensuring compliance across multiple markets remains a key challenge.\\n\\n- Reimbursement and Pricing Pressures: As healthcare costs continue to rise, payers and governments are pushing for lower prices and seeking value-based reimbursement models. BENC needs to navigate pricing strategies carefully to ensure accessibility and profitability for its products.\\n\\nOutlook for 2024:\\nBENC is well-positioned for continued success in 2024. The company's robust pipeline is expected to drive future growth, with several late-stage therapeutic candidates anticipated to reach the market. BENC's focus on strategic partnerships and expansion into new geographic markets is likely to pay dividends, further solidifying its market presence. Additionally, the company's strong balance sheet provides flexibility for potential acquisitions or investments in emerging technologies.\\n\\nStock Recommendation:\\nBuy - BENC demonstrated strong performance and resilience in 2023, and the outlook for 2024 remains positive. The company's financial stability, coupled with its innovative pipeline and strategic initiatives, makes it an attractive investment opportunity. With expected growth in revenue and continued market penetration, BENC is likely to outperform the industry in the coming year. The stock currently trades at a reasonable valuation, and we believe there is upside potential. Our 12-month price target is set at $[X], reflecting a potential upside of [Y]% from the current market price.\\n\\nIn summary, BioEngineering Corp (BENC) has had a successful year in 2023, and we expect this momentum to carry forward. The company's financial health, innovative capabilities, and strategic direction position it well to navigate challenges and capitalize on emerging opportunities in the healthcare sector. We recommend investors buy and hold BENC stock for potential capital appreciation and long-term growth. 2024 BioEngineering Corp (BENC) - 2024 Market Analysis Morgan Williams, Technology Sector Lead ## Market Analysis Report for BioEngineering Corp (BENC) - 2024\\n\\n### Overview:\\nBioEngineering Corp (BENC) has had a remarkable year in 2024, solidifying its position as a leading player in the biotechnology industry. The company has a diverse portfolio of products and services, ranging from biopharmaceuticals to medical devices and engineering services. BENC's innovative capabilities and strong focus on research and development have driven its success this year. \\n\\n### Key Highlights:\\n\\n- **Financial Performance:** BENC has reported strong financial results for 2024, with revenue growth outpacing the industry average. The company's gross margins have expanded, indicating improved cost efficiency. Net income has also seen a significant boost, with a healthy increase in earnings per share, surpassing analyst expectations. \\n\\n- **Product Innovations:** The year 2024 witnessed several key product launches and innovations from BENC. The company introduced a groundbreaking new drug delivery system, offering improved patient convenience and compliance. Additionally, BENC expanded its medical device portfolio with advanced diagnostic tools that provide faster and more accurate results. The company also made significant investments in its biomanufacturing capabilities, allowing for increased production capacity and improved product quality. \\n\\n- **Market Position:** BENC has strengthened its market position and expanded its global presence. The company has successfully penetrated new geographic markets, particularly in the Asia-Pacific region, and established strategic partnerships for distribution and local market access. BENC's market share has grown in key therapeutic areas, and its products are now considered industry-leading in terms of efficacy and safety. \\n\\n### Challenges:\\nDespite the impressive performance, BENC has faced certain challenges this year. The company encountered some regulatory hurdles in the approval process for one of its flagship drugs in the US market, causing a slight delay in its launch timeline. Additionally, BENC's research and development expenses have increased significantly due to the high costs associated with bringing innovative products to market. Managing these expenses while maintaining a competitive pricing strategy will be crucial for BENC in the coming year. \\n\\n### Outlook for 2025:\\nFor the next year, BENC is well-positioned to continue its growth trajectory. The company has a robust pipeline of products in late-stage development, including several potential blockbuster drugs. BENC is also expected to benefit from favorable industry trends, such as an aging global population and increasing demand for advanced medical technologies. The company's focus on expansion into emerging markets is also anticipated to bear fruit, driving revenue growth in 2025. \\n\\n### Stock Recommendation:\\nBased on the strong performance, innovative capabilities, and positive outlook, I recommend a \\\"Buy\\\" rating for BENC stock. The company has demonstrated its ability to execute its strategic vision and deliver impressive financial results. With a price target of $150 per share, representing a potential upside of approximately 25% from the current market price, BENC offers attractive investment opportunities for those looking to enter or expand their presence in the biotechnology sector. \\n\\nNote: This report is for illustrative purposes only and should not be considered investment advice. The information used is based on assumptions and hypothetical scenarios created for this prompt. Always conduct thorough research and consult professional advice before making any investment decisions. BioEngineering Corp Expands into African Market BioEngineering Corp diversifies its global presence with expansion into the African market, marking a strategic move to tap into new growth opportunities. BioEngineering Corp Reports Strong Q3 Earnings, Beating Expectations BioEngineering Corp's impressive Q3 performance surpasses financial forecasts, indicating robust growth and promising prospects. BioEngineering Corp Unveils New AI-Powered Product Line BioEngineering Corp showcases its innovative use of AI with the launch of its new product line. BioEngineering Corp Announces Strategic Partnership with Microsoft BioEngineering Corp leverages Microsoft's cloud and AI capabilities to enhance its bioinformatics solutions.\",\n \"QuantumSensor Corp Information Technology 2023 QuantumSensor Corp (QSCP) - 2023 Market Analysis Morgan Johnson, Technology Sector Lead ## QuantumSensor Corp (QSCP) - Market Analysis Report 2023\\n\\n### Overview:\\nQuantumSensor Corp (QSCP) has had a remarkable year in 2023, solidifying its position as a leading provider of quantum sensing technology and solutions. The company's innovative products and strong financial performance have driven its success and positioned it for future growth. \\n\\n### Key Highlights:\\n\\n**Financial Performance:**\\nQSCP has demonstrated strong financial results for the year. The company's revenue increased by 25% year-over-year, outpacing the industry average. This growth was driven by the successful launch of their new quantum magnetometer product line, which gained significant traction in the market. Gross margins improved by 3 percentage points due to increased operational efficiency and higher sales volume. The company also managed costs effectively, resulting in a 10% increase in net income. \\n\\n**Product Innovations:**\\nThe highlight of the year was the successful launch of QSCP's quantum magnetometer series. These highly sensitive magnetometers offer unprecedented precision and have been well-received by customers in the oil and gas, mineral exploration, and scientific research sectors. Additionally, QSCP enhanced its quantum gravity gradiometer with improved sensitivity, further diversifying its product portfolio. The company also invested heavily in R&D, particularly in the development of quantum radar technology, which is expected to be a game-changer for remote sensing applications. \\n\\n**Market Position:**\\nQSCP has strengthened its market position and expanded its customer base globally. The company's technology is now being utilized in over 20 countries, with particular success in North America and Europe. QSCP's partnerships with leading research institutions and industry players have also enhanced its reputation and opened up new business opportunities. The company's brand recognition and market share have grown, positioning it as a key player in the quantum sensing space. \\n\\n### Challenges:\\nWhile QSCP has had a stellar year, it has also faced certain challenges. The company's supply chain experienced some disruptions due to global logistics issues, impacting production and delivery times. Additionally, the highly technical nature of quantum sensing technology requires extensive customer education, particularly for new product launches. Managing cash flow has been another challenge, especially with the increased investment in R&D and product development. \\n\\n### Outlook for 2024:\\nFor the next year, QSCP is well-positioned for continued growth and market expansion. The company plans to build on the success of its quantum magnetometer and gravity gradiometer products by targeting new industry verticals. The development of quantum radar technology is expected to open up significant opportunities in remote sensing and security applications. Additionally, QSCP's strong balance sheet and improved cash position will enable further investment in marketing and business development, driving market share gains. \\n\\n### Stock Recommendation:\\nBased on the strong performance, innovative product pipeline, and improved market position, I recommend a \\\"Buy\\\" rating for QSCP stock. With the company's successful product launches and expanding market presence, the outlook for 2024 is positive. The potential breakthrough in quantum radar technology further adds to the investment thesis. \\n\\n**Price Target:**\\nGiven the company's fundamentals and growth prospects, a price target of $58 per share is set for the next 12 months, representing a potential upside of approximately 25% from current levels. \\n\\nNote: This report is for informational purposes only and should not be considered investment advice. Investors are advised to conduct their own due diligence and assess their risk tolerance before making any investment decisions. 2024 QuantumSensor Corp (QSCP) - 2024 Market Analysis Morgan Brown, Head of Equity Research ## QuantumSensor Corp (QSCP) - Market Analysis Report 2024\\n\\n**Overview:**\\nQuantumSensor Corp (QSCP) has had a remarkable year in 2024, solidifying its position as a leading provider of quantum sensing technology and solutions. The company's innovative products and strong financial performance have driven its success and positioned it for further growth in the upcoming year. \\n\\n**Financial Performance:**\\n- QSCP has demonstrated strong financial results for the year, with revenue growth outpacing the industry average. The company reported a healthy increase in both top-line and bottom-line figures, with revenue up by 25% year-over-year and net income rising by an impressive 30%. \\n- Gross margins have also improved, indicating increased operational efficiency and better cost management. This has resulted in a higher profit margin compared to its competitors, giving QSCP a competitive edge in the market. \\n- Cash flow from operations remains positive and robust, providing the company with the flexibility to invest in research and development and pursue strategic acquisitions. \\n\\n**Product Innovations:**\\n- QSCP's success can be largely attributed to its commitment to innovation. The company introduced several groundbreaking products this year, including: \\n - The Quantum Magnetic Resonator: A highly sensitive magnetic field sensor with applications in medical imaging, geological surveying, and autonomous navigation. \\n - Quantum Gravitational Sensor: A sensor with unprecedented sensitivity, capable of detecting minute gravitational changes for use in structural monitoring, seismic studies, and resource exploration. \\n - Quantum HyperSpectral Camera: A camera that captures detailed spectral information, revolutionizing fields such as remote sensing, environmental monitoring, and agricultural analytics. \\n- These products have expanded QSCP's portfolio and opened up new market opportunities, solidifying the company's position at the forefront of quantum sensing technology. \\n\\n**Market Position:**\\n- QSCP has successfully expanded its global footprint, establishing a strong presence in key markets. The company's strategic partnerships and distribution networks have contributed to its market reach and brand recognition. \\n- The company has also benefited from favorable government policies and incentives supporting the development and adoption of quantum technologies. This has resulted in increased demand for QSCP's products and solutions, particularly in the defense, healthcare, and industrial sectors. \\n- QSCP's stock price has reflected its strong performance, outperforming the industry benchmark and delivering solid returns to investors. \\n\\n**Challenges:**\\nHowever, QSCP has also faced certain challenges this year. The company encountered supply chain disruptions due to geopolitical tensions, impacting the production and delivery of certain key components. Additionally, the highly technical nature of quantum sensing technology requires a skilled workforce, and attracting and retaining talent in this competitive market has been a challenge for QSCP. \\n\\n**Outlook and Stock Recommendation:**\\nLooking ahead, QSCP is well-positioned for continued success in 2025. The company is expected to build on its product innovations, further expand its global presence, and capitalize on emerging market trends. The anticipated launch of their new quantum radar system, for example, is expected to revolutionize security and surveillance applications. \\n\\nConsidering QSCP's strong financial performance, innovative product pipeline, and favorable market conditions, I recommend a \\\"Buy\\\" rating for the stock. The target price for the next 12 months is set at $125, representing a potential upside of approximately 25% from the current price. \\n\\n**Key Risks:**\\nAs with any investment, there are risks to consider. The target price and recommendation are sensitive to potential delays in product launches, intensifying competition, and unforeseen economic downturns. Investors should carefully monitor these factors and adjust their positions accordingly. \\n\\nThis report provides a comprehensive overview of QuantumSensor Corp's performance and outlook, highlighting the key factors influencing its market position and stock potential. QuantumSensor Corp Faces Regulatory Scrutiny Over Data Practices QuantumSensor Corp is under regulatory scrutiny as its data collection and handling practices are being questioned, sparking concerns about potential privacy breaches and ethical implications. QuantumSensor Corp Unveils New AI-Powered Product Line QuantumSensor Corp reveals its new product line, showcasing the integration of AI technology with their sensor products to enhance data collection and analysis capabilities. QuantumSensor Corp Announces Strategic Partnership with Microsoft QuantumSensor Corp's strategic partnership with Microsoft aims to revolutionize sensing technologies by harnessing the power of quantum computing.\"\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"reports\",\n \"properties\": {\n \"dtype\": \"object\",\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"vector_search_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 0.008948450188654618,\n \"min\": 0.6436900496482849,\n \"max\": 0.6595240235328674,\n \"num_unique_values\": 3,\n \"samples\": [\n 0.6595240235328674,\n 0.6436900496482849,\n 0.644382894039154\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n },\n {\n \"column\": \"relevance_score\",\n \"properties\": {\n \"dtype\": \"number\",\n \"std\": 5.054653589077243e-05,\n \"min\": 5.433365e-05,\n \"max\": 0.0001465313,\n \"num_unique_values\": 3,\n \"samples\": [\n 0.0001465313,\n 6.4522144e-05,\n 5.433365e-05\n ],\n \"semantic_type\": \"\",\n \"description\": \"\"\n }\n }\n ]\n}", - "type": "dataframe" - }, - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    companycombined_attributesreportsvector_search_scorerelevance_score
    0GreenEnergy CorpGreenEnergy Corp Information Technology 2023 G...[{'author': 'Jordan Garcia, Senior Tech Analys...0.6595240.000147
    1BioEngineering CorpBioEngineering Corp Information Technology 202...[{'author': 'Morgan Williams, Senior Tech Anal...0.6436900.000065
    2QuantumSensor CorpQuantumSensor Corp Information Technology 2023...[{'author': 'Morgan Johnson, Technology Sector...0.6443830.000054
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "\n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " company combined_attributes \\\n", - "0 GreenEnergy Corp GreenEnergy Corp Information Technology 2023 G... \n", - "1 BioEngineering Corp BioEngineering Corp Information Technology 202... \n", - "2 QuantumSensor Corp QuantumSensor Corp Information Technology 2023... \n", - "\n", - " reports vector_search_score \\\n", - "0 [{'author': 'Jordan Garcia, Senior Tech Analys... 0.659524 \n", - "1 [{'author': 'Morgan Williams, Senior Tech Anal... 0.643690 \n", - "2 [{'author': 'Morgan Johnson, Technology Sector... 0.644383 \n", - "\n", - " relevance_score \n", - "0 0.000147 \n", - "1 0.000065 \n", - "2 0.000054 " - ] - }, - "execution_count": 47, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "reranked_documents = rerank_documents(query, get_knowledge)\n", - "pd.DataFrame(reranked_documents).head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IZkV-sVtlnj2" - }, - "source": [ - "## Step 8: Handling User Queries" - ] - }, - { - "cell_type": "code", - "execution_count": 48, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "AJXR1ReiuzTs", - "outputId": "a9c4a25a-f1aa-4b72-f500-f5ba0fd5a440" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final answer:\n", - "Here is an overview of the companies with negative market reports or sentiment that might deter long-term investment:\n", - "\n", - "## GreenEnergy Corp (GRNE):\n", - "- **Challenges**: Despite solid financial performance and a positive market position, GRNE faces challenges due to the volatile political environment and rising trade tensions, resulting in increased tariffs and supply chain disruptions. \n", - "- **Regulatory Scrutiny**: The company is under scrutiny for its data handling practices, raising concerns about potential privacy breaches and ethical dilemmas.\n", - "\n", - "## BioEngineering Corp (BENC):\n", - "- **Regulatory Hurdles**: BENC faces delays in obtaining approvals for certain products due to stringent healthcare regulations, impacting their time-to-market.\n", - "- **Reimbursement and Pricing Pressures**: As healthcare costs rise, the company must carefully navigate pricing strategies to balance accessibility and profitability.\n", - "- **Research and Development Expenses**: BENC has experienced a significant increase in R&D expenses, which may impact its ability to maintain a competitive pricing strategy.\n", - "\n", - "## QuantumSensor Corp (QSCP):\n", - "- **Supply Chain Disruptions**: QSCP has faced supply chain issues due to global logistics problems and geopolitical tensions, impacting production and delivery.\n", - "- **Regulatory Scrutiny**: The company is under scrutiny for its data collection and handling practices, with potential privacy and ethical concerns.\n", - "- **Technical Workforce Challenges**: Attracting and retaining skilled talent in a competitive market has been challenging for QSCP.\n" - ] - } - ], - "source": [ - "def format_documents_for_chat(documents):\n", - " return [\n", - " {\n", - " \"company\": doc['company'],\n", - " # \"reports\": doc['reports'],\n", - " \"combined_attributes\": doc['combined_attributes']\n", - " }\n", - " for doc in documents\n", - " ]\n", - "\n", - "# Generating response with Cohere Command R\n", - "response = co.chat(\n", - " message=query,\n", - " documents=format_documents_for_chat(reranked_documents),\n", - " model=\"command-r-plus\",\n", - " temperature=0.3\n", - ")\n", - "\n", - "print(\"Final answer:\")\n", - "print(response.text)" - ] - }, - { - "cell_type": "code", - "execution_count": 49, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "N4M8ZehEz2n9", - "outputId": "5b51f20d-210b-46b0-95cd-477a05fb2c9c" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "start=122 end=145 text='GreenEnergy Corp (GRNE)' document_ids=['doc_0']\n", - "start=151 end=161 text='Challenges' document_ids=['doc_0']\n", - "start=173 end=231 text='solid financial performance and a positive market position' document_ids=['doc_0']\n", - "start=266 end=322 text='volatile political environment and rising trade tensions' document_ids=['doc_0']\n", - "start=337 end=384 text='increased tariffs and supply chain disruptions.' document_ids=['doc_0']\n", - "start=390 end=409 text='Regulatory Scrutiny' document_ids=['doc_0']\n", - "start=428 end=474 text='under scrutiny for its data handling practices' document_ids=['doc_0']\n", - "start=484 end=547 text='concerns about potential privacy breaches and ethical dilemmas.' document_ids=['doc_0']\n", - "start=552 end=578 text='BioEngineering Corp (BENC)' document_ids=['doc_1']\n", - "start=584 end=602 text='Regulatory Hurdles' document_ids=['doc_1']\n", - "start=617 end=667 text='delays in obtaining approvals for certain products' document_ids=['doc_1']\n", - "start=675 end=707 text='stringent healthcare regulations' document_ids=['doc_1']\n", - "start=725 end=740 text='time-to-market.' document_ids=['doc_1']\n", - "start=745 end=780 text='Reimbursement and Pricing Pressures' document_ids=['doc_1']\n", - "start=787 end=808 text='healthcare costs rise' document_ids=['doc_1']\n", - "start=827 end=864 text='carefully navigate pricing strategies' document_ids=['doc_1']\n", - "start=868 end=908 text='balance accessibility and profitability.' document_ids=['doc_1']\n", - "start=913 end=946 text='Research and Development Expenses' document_ids=['doc_1']\n", - "start=973 end=1009 text='significant increase in R&D expenses' document_ids=['doc_1']\n", - "start=1043 end=1083 text='maintain a competitive pricing strategy.' document_ids=['doc_1']\n", - "start=1088 end=1113 text='QuantumSensor Corp (QSCP)' document_ids=['doc_2']\n", - "start=1119 end=1143 text='Supply Chain Disruptions' document_ids=['doc_2']\n", - "start=1162 end=1181 text='supply chain issues' document_ids=['doc_2']\n", - "start=1189 end=1240 text='global logistics problems and geopolitical tensions' document_ids=['doc_2']\n", - "start=1252 end=1276 text='production and delivery.' document_ids=['doc_2']\n", - "start=1281 end=1300 text='Regulatory Scrutiny' document_ids=['doc_2']\n", - "start=1319 end=1380 text='under scrutiny for its data collection and handling practices' document_ids=['doc_2']\n", - "start=1387 end=1426 text='potential privacy and ethical concerns.' document_ids=['doc_2']\n", - "start=1431 end=1461 text='Technical Workforce Challenges' document_ids=['doc_2']\n", - "start=1465 end=1528 text='Attracting and retaining skilled talent in a competitive market' document_ids=['doc_2']\n" - ] - } - ], - "source": [ - "for cite in response.citations:\n", - " print(cite)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "jFBViO9K739b" - }, - "source": [ - "## Step 9: Using MongoDB as a Data Store for Conversation History" - ] - }, - { - "cell_type": "code", - "execution_count": 50, - "metadata": { - "id": "CUXjIENu0cd0" - }, - "outputs": [], - "source": [ - "from typing import Dict, Optional, List\n", - "\n", - "class CohereChat:\n", - "\n", - " def __init__(self, cohere_client, system: str = \"\", database: str = \"cohere_chat\",\n", - " main_collection: str = \"main_collection\", history_params: Optional[Dict[str, str]] = None):\n", - " self.co = cohere_client\n", - " self.system = system\n", - " self.history_params = history_params or {}\n", - "\n", - " # Use the connection string from history_params\n", - " self.client = pymongo.MongoClient(self.history_params.get('connection_string', 'mongodb://localhost:27017/'))\n", - "\n", - " # Use the database parameter\n", - " self.db = self.client[database]\n", - "\n", - " # Use the main_collection parameter\n", - " self.main_collection = self.db[main_collection]\n", - "\n", - " # Use the history_collection from history_params, or default to \"chat_history\"\n", - " self.history_collection = self.db[self.history_params.get('history_collection', 'chat_history')]\n", - "\n", - " # Use the session_id from history_params, or default to \"default_session\"\n", - " self.session_id = self.history_params.get('session_id', 'default_session')\n", - "\n", - " def add_to_history(self, message: str, prefix: str = \"\"):\n", - " self.history_collection.insert_one({\n", - " 'session_id': self.session_id,\n", - " 'message': message,\n", - " 'prefix': prefix\n", - " })\n", - "\n", - " def get_chat_history(self) -> List[Dict[str, str]]:\n", - " history = self.history_collection.find({'session_id': self.session_id}).sort('_id', 1)\n", - " return [{\"role\": \"user\" if item['prefix'] == \"USER\" else \"chatbot\", \"message\": item['message']} for item in history]\n", - "\n", - " def rerank_documents(self, query: str, documents: List[Dict], top_n: int = 3) -> List[Dict]:\n", - " rerank_docs = [\n", - " {\n", - " 'company': doc['company'],\n", - " 'combined_attributes': doc['combined_attributes']\n", - " }\n", - " for doc in documents\n", - " if doc['combined_attributes'].strip()\n", - " ]\n", - "\n", - " if not rerank_docs:\n", - " print(\"No valid documents to rerank.\")\n", - " return []\n", - "\n", - " try:\n", - " response = self.co.rerank(\n", - " query=query,\n", - " documents=rerank_docs,\n", - " top_n=top_n,\n", - " model=\"rerank-english-v3.0\",\n", - " rank_fields=[\"company\", \"combined_attributes\"]\n", - " )\n", - "\n", - " top_documents_after_rerank = [\n", - " {\n", - " 'company': rerank_docs[result.index]['company'],\n", - " 'combined_attributes': rerank_docs[result.index]['combined_attributes'],\n", - " 'relevance_score': result.relevance_score\n", - " }\n", - " for result in response.results\n", - " ]\n", - "\n", - " print(f\"\\nHere are the top {top_n} documents after rerank:\")\n", - " for doc in top_documents_after_rerank:\n", - " print(f\"== {doc['company']} (Relevance: {doc['relevance_score']:.4f})\")\n", - "\n", - " return top_documents_after_rerank\n", - "\n", - " except Exception as e:\n", - " print(f\"An error occurred during reranking: {e}\")\n", - " return documents[:top_n]\n", - "\n", - " def format_documents_for_chat(self, documents: List[Dict]) -> List[Dict]:\n", - " return [\n", - " {\n", - " \"company\": doc['company'],\n", - " \"combined_attributes\": doc['combined_attributes']\n", - " }\n", - " for doc in documents\n", - " ]\n", - "\n", - " def send_message(self, message: str, vector_search_func) -> str:\n", - " self.add_to_history(message, \"USER\")\n", - "\n", - " # Perform vector search\n", - " search_results = vector_search_func(message, self.main_collection)\n", - "\n", - " # Rerank the search results\n", - " reranked_documents = self.rerank_documents(message, search_results)\n", - "\n", - " # Format documents for chat\n", - " formatted_documents = self.format_documents_for_chat(reranked_documents)\n", - "\n", - " # Generate response using Cohere chat\n", - " response = self.co.chat(\n", - " chat_history=self.get_chat_history(),\n", - " message=message,\n", - " documents=formatted_documents,\n", - " model=\"command-r-plus\",\n", - " temperature=0.3\n", - " )\n", - "\n", - " result = response.text\n", - " self.add_to_history(result, \"CHATBOT\")\n", - "\n", - " print(\"Final answer:\")\n", - " print(result)\n", - "\n", - " print(\"\\nCitations:\")\n", - " for cite in response.citations:\n", - " print(cite)\n", - "\n", - " return result\n", - "\n", - " def show_history(self):\n", - " history = self.history_collection.find({'session_id': self.session_id}).sort('_id', 1)\n", - " for item in history:\n", - " print(f\"{item['prefix']}: {item['message']}\")\n", - " print(\"-------------------------\")" - ] - }, - { - "cell_type": "code", - "execution_count": 51, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "fzhsGfDI1IQ3", - "outputId": "666f95e4-cf1f-47eb-a09d-22a83796c294" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "Here are the top 3 documents after rerank:\n", - "== EcoTech Innovations (Relevance: 0.0001)\n", - "== GreenEnergy Systems (Relevance: 0.0001)\n", - "== QuantumComputing Inc (Relevance: 0.0000)\n", - "Final answer:\n", - "I am an AI assistant and cannot comment on what the single \"best\" investment is. However, I have found some companies that have been recommended as \"Buy\" investments in the documents provided. \n", - "\n", - "## EcoTech Innovations (ETIN)\n", - "EcoTech Innovations is a leading provider of sustainable technology solutions, specializing in renewable energy and environmentally friendly products. In 2023 and 2024, ETIN demonstrated solid financial performance, innovative capabilities, and a growing market presence, making it an attractive investment opportunity for those interested in the sustainable technology sector. \n", - "\n", - "## GreenEnergy Systems (GESY)\n", - "GreenEnergy Systems is a leading provider of renewable energy solutions, offering solar and wind power technologies, energy storage systems, and smart grid solutions. In 2023 and 2024, GESY reported strong financial performance, innovative product developments, and a solid market position, positioning it well for future growth in the renewable energy sector. \n", - "\n", - "## QuantumComputing Inc. (QCMP)\n", - "QuantumComputing Inc. is a leading developer of quantum computing software and solutions, aiming to revolutionize computing tasks across industries. In 2023 and 2024, QCMP demonstrated strong financial performance, innovative product offerings, and a growing market presence, making it an attractive investment opportunity in the rapidly growing quantum computing industry. \n", - "\n", - "Please note that these recommendations are based on specific reports and may not consider all factors. It is always advisable to conduct thorough research and consult professional advice before making any investment decisions.\n", - "\n", - "Citations:\n", - "start=148 end=153 text='\"Buy\"' document_ids=['doc_0', 'doc_1', 'doc_2']\n", - "start=198 end=224 text='EcoTech Innovations (ETIN)' document_ids=['doc_0']\n", - "start=250 end=302 text='leading provider of sustainable technology solutions' document_ids=['doc_0']\n", - "start=320 end=375 text='renewable energy and environmentally friendly products.' document_ids=['doc_0']\n", - "start=379 end=383 text='2023' document_ids=['doc_0']\n", - "start=388 end=392 text='2024' document_ids=['doc_0']\n", - "start=412 end=439 text='solid financial performance' document_ids=['doc_0', 'doc_1']\n", - "start=441 end=464 text='innovative capabilities' document_ids=['doc_0']\n", - "start=472 end=495 text='growing market presence' document_ids=['doc_0', 'doc_1']\n", - "start=572 end=602 text='sustainable technology sector.' document_ids=['doc_0']\n", - "start=608 end=634 text='GreenEnergy Systems (GESY)' document_ids=['doc_1']\n", - "start=660 end=706 text='leading provider of renewable energy solutions' document_ids=['doc_1']\n", - "start=717 end=801 text='solar and wind power technologies, energy storage systems, and smart grid solutions.' document_ids=['doc_1']\n", - "start=805 end=809 text='2023' document_ids=['doc_1']\n", - "start=814 end=818 text='2024' document_ids=['doc_1']\n", - "start=834 end=862 text='strong financial performance' document_ids=['doc_1']\n", - "start=864 end=895 text='innovative product developments' document_ids=['doc_1']\n", - "start=903 end=924 text='solid market position' document_ids=['doc_1']\n", - "start=971 end=995 text='renewable energy sector.' document_ids=['doc_1']\n", - "start=1001 end=1029 text='QuantumComputing Inc. (QCMP)' document_ids=['doc_2']\n", - "start=1057 end=1118 text='leading developer of quantum computing software and solutions' document_ids=['doc_2']\n", - "start=1130 end=1178 text='revolutionize computing tasks across industries.' document_ids=['doc_2']\n", - "start=1182 end=1186 text='2023' document_ids=['doc_2']\n", - "start=1191 end=1195 text='2024' document_ids=['doc_2']\n", - "start=1215 end=1243 text='strong financial performance' document_ids=['doc_2']\n", - "start=1245 end=1273 text='innovative product offerings' document_ids=['doc_2']\n", - "start=1281 end=1304 text='growing market presence' document_ids=['doc_2']\n", - "start=1360 end=1403 text='rapidly growing quantum computing industry.' document_ids=['doc_2']\n" - ] - } - ], - "source": [ - "# Initialize CohereChat\n", - "chat = CohereChat(\n", - " co,\n", - " system=\"You are a helpful assistant taking on the role of an Asset Manager focused on tech companies.\",\n", - " database=DB_NAME,\n", - " main_collection=COLLECTION_NAME,\n", - " history_params={\n", - " 'connection_string': MONGO_URI,\n", - " 'history_collection': \"chat_history\",\n", - " 'session_id': 2\n", - " }\n", - ")\n", - "\n", - "# Send a message\n", - "response = chat.send_message(\"What is the best investment to make why?\", vector_search)" - ] - }, - { - "cell_type": "code", - "execution_count": 52, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "OEmZVVav4s-N", - "outputId": "f60802ee-2412-498b-d42a-89b6565453d3" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "USER: What is the best investment to make why?\n", - "-------------------------\n", - "CHATBOT: I am an AI assistant and therefore cannot comment on what the single \"best\" investment is. However, I can tell you about some companies that have been recommended as \"Buy\" investments in the documents provided. \n", - "\n", - "## CloudInfra Systems (CISY)\n", - "CloudInfra Systems is a leading provider of cloud computing solutions, offering infrastructure-as-a-service (IaaS) and platform-as-a-service (PaaS) to businesses worldwide. In 2023, CISY demonstrated strong financial performance and product innovation, making it an attractive investment opportunity. \n", - "\n", - "## VirtualReality Systems (VRSY)\n", - "VirtualReality Systems is a leading provider of virtual reality hardware and software solutions. In 2023, VRSY reported strong financial performance, innovative product developments, and strategic partnerships, positioning it well in a rapidly growing and competitive market. \n", - "\n", - "## BioTech Innovations (BTCI)\n", - "BioTech Innovations is a leading biotechnology company specializing in healthcare solutions and innovative medicines. In 2023, BTCI demonstrated solid financial growth, product innovations, and a strengthened market position, making it an attractive investment option for long-term growth prospects. \n", - "\n", - "Please note that these recommendations are based on specific reports and may not consider all factors. It is always advisable to conduct thorough research and consult professional advice before making any investment decisions.\n", - "-------------------------\n", - "USER: What is the best investment to make why?\n", - "-------------------------\n", - "CHATBOT: I am an AI assistant and therefore cannot comment on what the single \"best\" investment is. However, I can provide you with some companies that have been recommended as \"Buy\" investments in the documents provided. \n", - "\n", - "## CloudInfra Systems (CISY)\n", - "CloudInfra Systems is a leading provider of cloud computing solutions, offering infrastructure-as-a-service (IaaS) and platform-as-a-service (PaaS) to businesses worldwide. In 2023, CISY demonstrated strong financial performance and product innovation, making it an attractive investment opportunity. \n", - "\n", - "## VirtualReality Systems (VRSY)\n", - "VirtualReality Systems is a leading provider of virtual reality hardware and software solutions. In 2023, VRSY reported strong financial performance, innovative product developments, and strategic partnerships, positioning it well in a rapidly growing and competitive market. \n", - "\n", - "## BioTech Innovations (BTCI)\n", - "BioTech Innovations is a leading biotechnology company specializing in healthcare solutions and innovative medicines. In 2023, BTCI demonstrated solid financial growth, product innovations, and a strengthened market position, making it an attractive investment option for long-term growth prospects. \n", - "\n", - "Please note that these recommendations are based on specific reports and may not consider all factors. It is always advisable to conduct thorough research and consult professional advice before making any investment decisions.\n", - "-------------------------\n", - "USER: What is the best investment to make why?\n", - "-------------------------\n", - "CHATBOT: I am an AI assistant and cannot comment on what the single \"best\" investment is. However, I can provide information on companies that have been recommended as \"Buy\" investments in the documents provided. \n", - "\n", - "## CloudInfra Systems (CISY)\n", - "CloudInfra Systems is a leading provider of cloud computing solutions, offering infrastructure-as-a-service (IaaS) and platform-as-a-service (PaaS) to a diverse range of businesses. In 2023, CISY demonstrated strong financial performance and product innovation, positioning it well in the competitive cloud market. \n", - "\n", - "## VirtualReality Systems (VRSY)\n", - "VirtualReality Systems is a leading provider of virtual reality hardware and software solutions. In 2023, VRSY reported robust financial results, innovative product developments, and strategic partnerships, making it a solid investment choice for those with a long-term investment horizon. \n", - "\n", - "## BioTech Innovations (BTCI)\n", - "BioTech Innovations is a leading biotechnology company specializing in healthcare solutions and innovative medicines. In 2023 and 2024, BTCI demonstrated solid financial growth, product innovations, and an improved market position, making it an attractive investment opportunity for long-term growth. \n", - "\n", - "Please note that these recommendations are based on specific reports and may not consider all factors. It is always advisable to conduct thorough research and consult professional advice before making any investment decisions.\n", - "-------------------------\n", - "USER: What is the best investment to make why?\n", - "-------------------------\n", - "CHATBOT: I am an AI assistant and cannot comment on what the single \"best\" investment is. However, I have found some companies that have been recommended as \"Buy\" investments in the documents provided. \n", - "\n", - "## EcoTech Innovations (ETIN)\n", - "EcoTech Innovations is a leading provider of sustainable technology solutions, specializing in renewable energy and environmentally friendly products. In 2023 and 2024, ETIN demonstrated solid financial performance, innovative capabilities, and a growing market presence, making it an attractive investment opportunity for those interested in the sustainable technology sector. \n", - "\n", - "## GreenEnergy Systems (GESY)\n", - "GreenEnergy Systems is a leading provider of renewable energy solutions, offering solar and wind power technologies, energy storage systems, and smart grid solutions. In 2023 and 2024, GESY reported strong financial performance, innovative product developments, and a solid market position, positioning it well for future growth in the renewable energy sector. \n", - "\n", - "## QuantumComputing Inc. (QCMP)\n", - "QuantumComputing Inc. is a leading developer of quantum computing software and solutions, aiming to revolutionize computing tasks across industries. In 2023 and 2024, QCMP demonstrated strong financial performance, innovative product offerings, and a growing market presence, making it an attractive investment opportunity in the rapidly growing quantum computing industry. \n", - "\n", - "Please note that these recommendations are based on specific reports and may not consider all factors. It is always advisable to conduct thorough research and consult professional advice before making any investment decisions.\n", - "-------------------------\n" - ] - } - ], - "source": [ - "# Show chat history\n", - "chat.show_history()" - ] - } - ], - "metadata": { - "colab": { - "machine_shape": "hm", - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "name": "python" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/guides/readme.md b/notebooks/guides/readme.md index d0b78515..4685a630 100644 --- a/notebooks/guides/readme.md +++ b/notebooks/guides/readme.md @@ -1 +1 @@ -Here you will find tutorials and step-by-step guides covering a range of topics, providing practical guidance and code examples. \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/readme.md. \ No newline at end of file diff --git a/notebooks/guides/rerank-demo.ipynb b/notebooks/guides/rerank-demo.ipynb index 7a60868d..02a607fd 100644 --- a/notebooks/guides/rerank-demo.ipynb +++ b/notebooks/guides/rerank-demo.ipynb @@ -1,1010 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "xjLFw9Vfi3xO" - }, - "source": [ - "In the past months, we engineered a novel relevance endpoint that takes a query and a list of documents and predicts the relevance between the query and each document. \n", - "\n", - "It can be used in a two-stage retrieval setup: First you take the user question, and retrieve the top-100 documents from your collection by either using lexical search or semantic search.\n", - "\n", - "You then pass the question and these top-100 documents to our relevance-endpoint to get a score for each document. You can then rank these documents based on these scores.\n", - "\n", - "In our benchmarks across 20 datasets, we **saw significant improvements compared to lexical and semantic search**, especially for use-cases where no training data is available." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Jw7C9tZxVgXm" - }, - "source": [ - "We will demonstrate the rerank endpoint in this notebook.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "ZDfo_Y0Wjd9o" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[33mDEPRECATION: Configuring installation scheme with distutils config files is deprecated and will no longer work in the near future. If you are using a Homebrew or Linuxbrew Python, please see discussion at https://github.com/Homebrew/homebrew-core/issues/76621\u001b[0m\u001b[33m\n", - "\u001b[0mRequirement already satisfied: cohere<5 in /opt/homebrew/lib/python3.9/site-packages (4.45)\n", - "Requirement already satisfied: aiohttp<4.0,>=3.0 in /opt/homebrew/lib/python3.9/site-packages (from cohere<5) (3.8.1)\n", - "Requirement already satisfied: backoff<3.0,>=2.0 in /opt/homebrew/lib/python3.9/site-packages (from cohere<5) (2.2.1)\n", - "Requirement already satisfied: fastavro<2.0,>=1.8 in /opt/homebrew/lib/python3.9/site-packages (from cohere<5) (1.9.3)\n", - "Requirement already satisfied: importlib_metadata<7.0,>=6.0 in /opt/homebrew/lib/python3.9/site-packages (from cohere<5) (6.6.0)\n", - "Requirement already satisfied: requests<3.0.0,>=2.25.0 in /Users/elliottchoi/Library/Python/3.9/lib/python/site-packages (from cohere<5) (2.28.2)\n", - "Requirement already satisfied: urllib3<3,>=1.26 in /Users/elliottchoi/Library/Python/3.9/lib/python/site-packages (from cohere<5) (1.26.14)\n", - "Requirement already satisfied: attrs>=17.3.0 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (22.1.0)\n", - "Requirement already satisfied: charset-normalizer<3.0,>=2.0 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (2.0.12)\n", - "Requirement already satisfied: multidict<7.0,>=4.5 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (6.0.2)\n", - "Requirement already satisfied: async-timeout<5.0,>=4.0.0a3 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (4.0.2)\n", - "Requirement already satisfied: yarl<2.0,>=1.0 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (1.8.1)\n", - "Requirement already satisfied: frozenlist>=1.1.1 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (1.3.1)\n", - "Requirement already satisfied: aiosignal>=1.1.2 in /opt/homebrew/lib/python3.9/site-packages (from aiohttp<4.0,>=3.0->cohere<5) (1.2.0)\n", - "Requirement already satisfied: zipp>=0.5 in /opt/homebrew/lib/python3.9/site-packages (from importlib_metadata<7.0,>=6.0->cohere<5) (3.15.0)\n", - "Requirement already satisfied: idna<4,>=2.5 in /Users/elliottchoi/Library/Python/3.9/lib/python/site-packages (from requests<3.0.0,>=2.25.0->cohere<5) (3.4)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /Users/elliottchoi/Library/Python/3.9/lib/python/site-packages (from requests<3.0.0,>=2.25.0->cohere<5) (2022.12.7)\n", - "\u001b[33mDEPRECATION: Configuring installation scheme with distutils config files is deprecated and will no longer work in the near future. If you are using a Homebrew or Linuxbrew Python, please see discussion at https://github.com/Homebrew/homebrew-core/issues/76621\u001b[0m\u001b[33m\n", - "\u001b[0m" - ] - } - ], - "source": [ - "# TODO: upgrade to \"cohere>5\"\n", - "!pip install \"cohere<5\"" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "07xZdGGAOCaP" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import requests\n", - "import numpy as np\n", - "from time import time\n", - "from typing import List\n", - "from pprint import pprint" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "FqKP7G3fOVQ9" - }, - "outputs": [], - "source": [ - "# Set up your cohere client\n", - "API_KEY = \"\"\n", - "co = cohere.Client(API_KEY)\n", - "MODEL_NAME = \"rerank-english-v3.0\" # another option is rerank-multilingual-02\n", - "\n", - "# Example query and passages (data taken from http://sbert.net/datasets/simplewiki-2020-11-01.jsonl.gz)\n", - "query = \"What is the capital of the United States?\"\n", - "docs = [\n", - " \"Carson City is the capital city of the American state of Nevada. At the 2010 United States Census, Carson City had a population of 55,274.\",\n", - " \"The Commonwealth of the Northern Mariana Islands is a group of islands in the Pacific Ocean that are a political division controlled by the United States. Its capital is Saipan.\",\n", - " \"Charlotte Amalie is the capital and largest city of the United States Virgin Islands. It has about 20,000 people. The city is on the island of Saint Thomas.\",\n", - " \"Washington, D.C. (also known as simply Washington or D.C., and officially as the District of Columbia) is the capital of the United States. It is a federal district. The President of the USA and many major national government offices are in the territory. This makes it the political center of the United States of America.\",\n", - " \"West Virginia is a state in the Appalachian region of the United States. Its capital and largest city is Charleston. It is often abbreviated W. Va. or simply WV.\",\n", - " \"Capital punishment (the death penalty) has existed in the United States since before the United States was a country. As of 2017, capital punishment is legal in 30 of the 50 states. The federal government (including the United States military) also uses capital punishment.\",\n", - " \"North Dakota is a state in the United States. 672,591 people lived in North Dakota in the year 2010. The capital and seat of government is Bismarck.\",\n", - " \"Kentucky is a state in the United States. Its capital is Frankfort. It touches the states of Missouri (by the Mississippi River), Illinois, Indiana, Ohio, West Virginia (by the Ohio River), Tennessee and Virginia. There are many rivers in Kentucky\",\n", - " \"Micronesia, officially the Federated States of Micronesia, is an island nation in the Pacific Ocean, northeast of Papua New Guinea. The country is a sovereign state in free association with the United States. The capital city of Federated States of Micronesia is Palikir.\",\n", - " \"Utah is a state in the west United States. The capital and largest city is Salt Lake City. Utah became a state in the U.S. on January 4, 1896.\"]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "u3Ogs_QotGTw" - }, - "source": [ - "## Using the Endpoint\n", - "In the following cell we will call rerank to rank `docs` based on how relevant they are with `query`.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "05S-LK5uthHz", - "outputId": "7ca9af1c-b682-4d8f-d062-7dcdb267dbf4" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Document Rank: 1, Document Index: 3\n", - "Document: Washington, D.C. (also known as simply Washington or D.C., and officially as the District of Columbia) is the capital of the United States. It is a federal district. The President of the USA and many major national government offices are in the territory. This makes it the political center of the United States of America.\n", - "Relevance Score: 1.00\n", - "\n", - "\n", - "Document Rank: 2, Document Index: 5\n", - "Document: Capital punishment (the death penalty) has existed in the United States since before the United States was a country. As of 2017, capital punishment is legal in 30 of the 50 states. The federal government (including the United States military) also uses capital punishment.\n", - "Relevance Score: 0.75\n", - "\n", - "\n", - "Document Rank: 3, Document Index: 1\n", - "Document: The Commonwealth of the Northern Mariana Islands is a group of islands in the Pacific Ocean that are a political division controlled by the United States. Its capital is Saipan.\n", - "Relevance Score: 0.09\n", - "\n", - "\n" - ] - } - ], - "source": [ - "results = co.rerank(query=query, model=MODEL_NAME, documents=docs, top_n=3) # Change top_n to change the number of results returned. If top_n is not passed, all results will be returned.\n", - "for idx, r in enumerate(results):\n", - " print(f\"Document Rank: {idx + 1}, Document Index: {r.index}\")\n", - " print(f\"Document: {r.document['text']}\")\n", - " print(f\"Relevance Score: {r.relevance_score:.2f}\")\n", - " print(\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "61WyvMAtlf2Y" - }, - "source": [ - "## Search on Wikipedia - End2end demo\n", - "The following is an example how to use this model end-to-end to search over the Simple English Wikipedia, which consists of about 500k passages. \n", - "\n", - "We use BM25 lexical search to retrieve the top-100 passages matching the query and then send these 100 passages and the query to our rerank endpoint to get a re-ranked list. We output the top-3 hits according to BM25 lexical search (as used by e.g. Elasticsearch) and the re-ranked list from our endpoint.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "o-gpxa9NlkK7" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[33mDEPRECATION: Configuring installation scheme with distutils config files is deprecated and will no longer work in the near future. If you are using a Homebrew or Linuxbrew Python, please see discussion at https://github.com/Homebrew/homebrew-core/issues/76621\u001b[0m\u001b[33m\n", - "\u001b[0mCollecting rank_bm25\n", - " Downloading rank_bm25-0.2.2-py3-none-any.whl.metadata (3.2 kB)\n", - "Requirement already satisfied: numpy in /opt/homebrew/lib/python3.9/site-packages (from rank_bm25) (1.23.5)\n", - "Downloading rank_bm25-0.2.2-py3-none-any.whl (8.6 kB)\n", - "Installing collected packages: rank_bm25\n", - "\u001b[33m DEPRECATION: Configuring installation scheme with distutils config files is deprecated and will no longer work in the near future. If you are using a Homebrew or Linuxbrew Python, please see discussion at https://github.com/Homebrew/homebrew-core/issues/76621\u001b[0m\u001b[33m\n", - "\u001b[0m\u001b[33mDEPRECATION: Configuring installation scheme with distutils config files is deprecated and will no longer work in the near future. If you are using a Homebrew or Linuxbrew Python, please see discussion at https://github.com/Homebrew/homebrew-core/issues/76621\u001b[0m\u001b[33m\n", - "\u001b[0mSuccessfully installed rank_bm25-0.2.2\n" - ] - } - ], - "source": [ - "!pip install -U rank_bm25" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "YOsh4bfvlkRQ" - }, - "outputs": [ + "cells": [ { - "name": "stderr", - "output_type": "stream", - "text": [ - "/var/folders/ww/ht8qwj2s7s799qnktblg6qhm0000gp/T/ipykernel_31832/1066443236.py:7: TqdmWarning: IProgress not found. Please update jupyter and ipywidgets. See https://ipywidgets.readthedocs.io/en/stable/user_install.html\n", - " from tqdm.autonotebook import tqdm\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/rerank-demo.ipynb." + ] } - ], - "source": [ - "import json\n", - "import gzip\n", - "import os\n", - "from rank_bm25 import BM25Okapi\n", - "from sklearn.feature_extraction import _stop_words\n", - "import string\n", - "from tqdm.autonotebook import tqdm" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "_Rf_d54tlvtM", - "outputId": "84583161-c3cd-4f14-89d8-995d2aa55eef" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "--2024-04-08 14:28:00-- http://sbert.net/datasets/simplewiki-2020-11-01.jsonl.gz\n", - "Resolving sbert.net (sbert.net)... 172.64.80.1, 2606:4700:130:436c:6f75:6466:6c61:7265\n", - "Connecting to sbert.net (sbert.net)|172.64.80.1|:80... connected.\n", - "HTTP request sent, awaiting response... 301 Moved Permanently\n", - "Location: https://sbert.net/datasets/simplewiki-2020-11-01.jsonl.gz [following]\n", - "--2024-04-08 14:28:01-- https://sbert.net/datasets/simplewiki-2020-11-01.jsonl.gz\n", - "Connecting to sbert.net (sbert.net)|172.64.80.1|:443... connected.\n", - "HTTP request sent, awaiting response... 301 Moved Permanently\n", - "Location: https://public.ukp.informatik.tu-darmstadt.de/reimers/sentence-transformers/datasets/simplewiki-2020-11-01.jsonl.gz [following]\n", - "--2024-04-08 14:28:01-- https://public.ukp.informatik.tu-darmstadt.de/reimers/sentence-transformers/datasets/simplewiki-2020-11-01.jsonl.gz\n", - "Resolving public.ukp.informatik.tu-darmstadt.de (public.ukp.informatik.tu-darmstadt.de)... 130.83.167.186\n", - "Connecting to public.ukp.informatik.tu-darmstadt.de (public.ukp.informatik.tu-darmstadt.de)|130.83.167.186|:443... connected.\n", - "HTTP request sent, awaiting response... 200 OK\n", - "Length: 50223724 (48M) [application/octet-stream]\n", - "Saving to: ‘simplewiki-2020-11-01.jsonl.gz’\n", - "\n", - "simplewiki-2020-11- 100%[===================>] 47.90M 5.78MB/s in 8.9s \n", - "\n", - "2024-04-08 14:28:11 (5.37 MB/s) - ‘simplewiki-2020-11-01.jsonl.gz’ saved [50223724/50223724]\n", - "\n" - ] - } - ], - "source": [ - "!wget http://sbert.net/datasets/simplewiki-2020-11-01.jsonl.gz" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "OMLzTvk7lzyY", - "outputId": "1d05aa18-ec4c-4b64-d21d-76faccf4d951" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Passages: 509663\n" - ] - } - ], - "source": [ - "# As dataset, we use Simple English Wikipedia. Compared to the full English wikipedia, it has only\n", - "# about 170k articles. We split these articles into paragraphs and encode them with the bi-encoder\n", - "wikipedia_filepath = 'simplewiki-2020-11-01.jsonl.gz'\n", - "\n", - "passages = []\n", - "with gzip.open(wikipedia_filepath, 'rt', encoding='utf8') as fIn:\n", - " for line in fIn:\n", - " data = json.loads(line.strip())\n", - " passages.extend(data['paragraphs'])\n", - "\n", - "print(\"Passages:\", len(passages))" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "CAtpe51XgZ5Y", - "outputId": "1ccf0358-6712-4c21-e831-c3b3611597fd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Ted Cassidy (July 31, 1932 - January 16, 1979) was an American actor. He was best known for his roles as Lurch and Thing on \"The Addams Family\". Aileen Carol Wuornos Pralle (born Aileen Carol Pittman; February 29, 1956 – October 9, 2002) was an American serial killer. She was born in Rochester, Michigan. She confessed to killing six men in Florida and was executed in Florida State Prison by lethal injection for the murders. Wuornos said that the men she killed had raped her or tried to rape her while she was working as a prostitute.\n" - ] - } - ], - "source": [ - "print(passages[0], passages[1])" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 49, - "referenced_widgets": [ - "405380d381534be1b0d92a5da1013632", - "54eb133663084cde8c32854b47558f83", - "c74c928ca40d4215b600f617578c10b3", - "71021babdbe140e480172a84d4d69749", - "9215306114b24fffa4223f896cd50e1d", - "46a0ba39225d4884aad26d72d8bd0c72", - "688a5aad1e8c4188ac5c5c705832b066", - "b1c5b6d6f4244c02964caa104dc0b170", - "80e40812cfcf4a25bec8dc1d2e6a18ca", - "441c174815e443698552dd5ee5a82bb3", - "70dc83747ccc4b85b4a7b90cdeb5a301" - ] - }, - "id": "8AJFsclpl8wx", - "outputId": "4fbbbf2f-624c-4f88-bfc0-6cd7d31ec0cc" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "100%|██████████| 509663/509663 [00:09<00:00, 51180.82it/s]\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# We compare the results to lexical search (keyword search). Here, we use \n", - "# the BM25 algorithm which is implemented in the rank_bm25 package.\n", - "\n", - "# We lower case our text and remove stop-words from indexing\n", - "def bm25_tokenizer(text):\n", - " tokenized_doc = []\n", - " for token in text.lower().split():\n", - " token = token.strip(string.punctuation)\n", - "\n", - " if len(token) > 0 and token not in _stop_words.ENGLISH_STOP_WORDS:\n", - " tokenized_doc.append(token)\n", - " return tokenized_doc\n", - "\n", - "\n", - "tokenized_corpus = []\n", - "for passage in tqdm(passages):\n", - " tokenized_corpus.append(bm25_tokenizer(passage))\n", - "\n", - "bm25 = BM25Okapi(tokenized_corpus)" - ] }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "id": "sZavW8t6mNTH" - }, - "outputs": [], - "source": [ - "# This function will search all wikipedia articles for passages that\n", - "# answer the query. We then re-rank using our rerank endpoint\n", - "\n", - "def search(query, top_k=3, num_candidates=100):\n", - " print(\"Input question:\", query)\n", - "\n", - " ##### BM25 search (lexical search) #####\n", - " bm25_scores = bm25.get_scores(bm25_tokenizer(query))\n", - " top_n = np.argpartition(bm25_scores, -num_candidates)[-num_candidates:]\n", - " bm25_hits = [{'corpus_id': idx, 'score': bm25_scores[idx]} for idx in top_n]\n", - " bm25_hits = sorted(bm25_hits, key=lambda x: x['score'], reverse=True)\n", - " \n", - " print(f\"Top-3 lexical search (BM25) hits\")\n", - " for hit in bm25_hits[0:top_k]:\n", - " print(\"\\t{:.3f}\\t{}\".format(hit['score'], passages[hit['corpus_id']].replace(\"\\n\", \" \")))\n", - "\n", - " \n", - " #Add re-ranking\n", - " docs = [passages[hit['corpus_id']] for hit in bm25_hits]\n", - " \n", - " print(f\"\\nTop-3 hits by rank-API ({len(bm25_hits)} BM25 hits re-ranked)\")\n", - " results = co.rerank(query=query, model=MODEL_NAME, documents=docs, top_n=top_k)\n", - " for hit in results:\n", - " print(\"\\t{:.3f}\\t{}\".format(hit.relevance_score, hit.document[\"text\"].replace(\"\\n\", \" \")))" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "LdW9KxlUmHFN", - "outputId": "3ce44a8d-693d-493e-b477-166a7faca4db" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: What is the capital of the United States?\n", - "Top-3 lexical search (BM25) hits\n", - "\t16.264\tCapital punishment (the death penalty) has existed in the United States since before the United States was a country. As of 2017, capital punishment is legal in 30 of the 50 states. The federal government (including the United States military) also uses capital punishment.\n", - "\t15.124\tIn 1783, it was the capital of the United States for a few months.\n", - "\t14.476\tNew York was the capital of the United States under the Articles of Confederation from 1785 to 1788. When the US Constitution was made, it stayed as the capital from 1789 until 1790. In 1789, the first President of the United States, George Washington, was inaugurated; the first United States Congress and the Supreme Court of the United States each met for the first time, and the United States Bill of Rights was written, all at Federal Hall on Wall Street. By 1790, New York grew bigger than Philadelphia, so it become the biggest city in the United States. By the end of 1790, because of the Residence Act, Philadelphia became the new capital.\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.999\tWashington, D.C. (also known as simply Washington or D.C., and officially as the District of Columbia) is the capital of the United States. It is a federal district. The President of the USA and many major national government offices are in the territory. This makes it the political center of the United States of America.\n", - "\t0.994\tNew York was the capital of the United States under the Articles of Confederation from 1785 to 1788. When the US Constitution was made, it stayed as the capital from 1789 until 1790. In 1789, the first President of the United States, George Washington, was inaugurated; the first United States Congress and the Supreme Court of the United States each met for the first time, and the United States Bill of Rights was written, all at Federal Hall on Wall Street. By 1790, New York grew bigger than Philadelphia, so it become the biggest city in the United States. By the end of 1790, because of the Residence Act, Philadelphia became the new capital.\n", - "\t0.993\tAs the national capital of the United States, Washington, D.C. has numerous media outlets in various mediums. Some of these media are known throughout the United States, including \"The Washington Post\" and various broadcasting networks headquartered in D.C.\n" - ] - } - ], - "source": [ - "search(query = \"What is the capital of the United States?\")" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "cVw7ZYI0mw3r", - "outputId": "e959d24c-a24a-49cd-f6aa-a129a5ee8b1d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: Number countries Europe\n", - "Top-3 lexical search (BM25) hits\n", - "\t16.963\tECoHR' has a number of judges. The number of judges is seven normally but at the case of dealing a great issue, the number will be 21 and the judges are equally from member countries of the Council of Europe. At present, there are forty seven member countries of the Council of Europe. Each country may have one judge in the ECoHR. But, judges work independently for the ECoHR, and not for their country.\n", - "\t14.560\tMost countries in Europe, and a few countries in Asia, have made some or all synthetic cannabinoids illegal.\n", - "\t14.165\tMany of these countries were members of the Western European Union. Many, such as Norway, are also in Northern Europe or in Central Europe or Southern Europe.\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.997\tThere are at least 43 countries in Europe (the European identities of 5 transcontinental countries:Cyprus, Georgia, Kazakhstan, Russia and Turkey are disputed). Most of these countries are members of the European Union.\n", - "\t0.987\tWithin these regions, there are up to 48 independent European countries (with the identities of 5 transcontinental countries being disputed). The largest is the Russian Federation, which covers 39% of Europe.\n", - "\t0.981\tEurope, the planet's 6th largest continent, includes 47 countries and assorted dependencies, islands and territories.\n" - ] - } - ], - "source": [ - "search(query = \"Number countries Europe\")" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "52p0BMsomxV2", - "outputId": "cade17e7-5bd8-44ed-d18d-2f986663ef5a" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: Elon Musk year birth\n", - "Top-3 lexical search (BM25) hits\n", - "\t22.568\tTesla, Inc. is a company based in Palo Alto, California which makes electric cars. It was started in 2003 by Martin Eberhard, Dylan Stott, and Elon Musk (who also co-founded PayPal and SpaceX and is the CEO of SpaceX). Eberhard no longer works there. Today, Elon Musk is the Chief Executive Officer (CEO). It started selling its first car, the Roadster in 2008.\n", - "\t20.492\tElon Musk complained via Twitter about Los Angeles traffic and the same day, December 17, 2016, founded the company. It built a short test tunnel in Los Angeles.\n", - "\t20.448\tAt the end of 2016, Musk founded The Boring Company which focuses on tunnelling and infrastructure. He mentioned Los Angeles traffic as the reason for starting this company. In March 2017 Elon Musk announced he has started another company which aims to merge human brains and computers, it is called Neuralink.\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.994\tElon Reeve Musk (born June 28, 1971) is a businessman and philanthropist. He was born in South Africa. He moved to Canada and later became an American citizen. Musk is the current CEO & Chief Product Architect of Tesla Motors, a company that makes electric vehicles. He is also the CEO of Solar City, a company that makes solar panels, and the CEO & CTO of SpaceX, an aerospace company. In August 2020, Bloomberg ranked Musk third among the richest people on the planet with net worth to be $115.4 billion.\n", - "\t0.602\tElon Musk and his brother started Zip2, a software company, in 1995. In 1999 he sold it and became a millionaire. He then started X.com, which merged with the company to make PayPal. X.com was then renamed to PayPal, and he focused on growing that part of the company. He then started SpaceX and became the CEO of Tesla.\n", - "\t0.474\tIn early 2002, Musk was seeking workers for his new space company, soon to be named SpaceX. Musk found a rocket engineer Tom Mueller (later SpaceX's CTO of Propulsion). He agreed to work for Musk. That was how SpaceX was born. The first headquarters of SpaceX was in a warehouse in El Segundo, California. The company has grown rapidly since it was founded in 2002, growing from 160 workers in November 2005 to 1,100 in 2010, 3,800 workers and contractors by October 2013, nearly 5,000 by late 2015, and about 6,000 in April 2017.\n" - ] - } - ], - "source": [ - "search(query = \"Elon Musk year birth\")" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "r38_cEjgmzmm", - "outputId": "1862a573-b7b0-4a3e-d720-c891fee45ea3" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: Which US president was killed?\n", - "Top-3 lexical search (BM25) hits\n", - "\t11.966\tHe came into office when the previous president, Cyprien Ntaryamira, was killed in a plane crash. It was an assassination in which the Rwandan president Juvénal Habyarimana was also killed. Ntibantunganya left office when he was deposed by Pierre Buyoya in a military coup of 1996.\n", - "\t11.697\tBurr killed Alexander Hamilton in a duel in 1804, when Burr was still Vice President.\n", - "\t11.482\tAfter President James A. Garfield died, vice-president Chester Arthur replaced him. The man who killed him expected the new President to pardon him. This did not happen.\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.984\tJames Abram Garfield (November 19, 1831 - September 19, 1881) was the 20th (1881) President of the United States and the 2nd President to be assassinated (killed while in office). President Garfield was in office from March to September of 1881. He was in office for a total of six months and fifteen days. For almost half that time he was bedridden as a result of an attempt to kill him. He was shot on July 2 and finally died in September the same year he got into office.\n", - "\t0.976\tPresident William McKinley was killed by anarchist Leon Czolgosz because Czolgosz believed president McKinley was against good working people, he considered McKinley responsible for falsifying the reasons for the war, and approving and waging an illegal, devastating Philippines war.\n", - "\t0.916\tOn the night that President Abraham Lincoln was killed, someone also tried to kill Seward. For the rest of his life, Seward had scars on his face from the attack. Later, the man who attacked him was caught and put to death.\n" - ] - } - ], - "source": [ - "search(query = \"Which US president was killed?\")" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "yKc8uwkGnPIu", - "outputId": "92aaeac2-e3ef-4621-edb1-4e1b136ed135" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: When is Chinese New Year\n", - "Top-3 lexical search (BM25) hits\n", - "\t18.606\tToday in China the Gregorian calendar is used for most activities. At the same time, the Chinese calendar is still used for traditional Chinese holidays like Chinese New Year or Lunar New Year.\n", - "\t18.151\tBefore that, the holiday was usually just called the \"NewYear\". Because the traditional Chinese calendar is mostly based on the changes in the moon, the Chinese New Year is also known in English as the \"Lunar New Year\" or \"Chinese Lunar New Year\". This name comes from \"Luna\", an old Latin name for the moon. The Indonesian name for the holiday is Imlek, which comes from the Hokkien word for the old Chinese calendar and is therefore also like saying \"Lunar New Year\".\n", - "\t18.011\tSpring Festival is the Chinese New Year.\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.999\tChinese New Year, known in China as the SpringFestival and in Singapore as the LunarNewYear, is a holiday on and around the new moon on the first day of the year in the traditional Chinese calendar. This calendar is based on the changes in the moon and is only sometimes changed to fit the seasons of the year based on how the Earth moves around the sun. Because of this, Chinese New Year is never on January1. It moves around between January21 and February20.\n", - "\t0.997\tChinese New Year always starts on a new moon, when the Moon is between the Earth and Sun and it looks all dark in the night sky. Because new moons happen about every 29.53 days but the year set by Pope GregoryXIII is 365.2425 days long, the Chinese holiday moves to different days each year. The Chinese calendar adds a 13th month every so often to keep the seasons in the right place, so the first day of the new year always happens between January21 and February20 on the 2nd or 3rd new moon after the 1st day of winter. The chart on the right gives the day of each Chinese New Year from 1996 to 2031.\n", - "\t0.996\tChinese New Year lasts fifteen days, including one week as a national holiday. It starts with the first day of the Chinese lunar year and ends with the full moon fifteen days later. It is always in the middle of winter, but is called the Spring Festival in Chinese because Chinese seasons are a little different from English ones. On the first day of the Chinese New Year, people call on friends and relatives. Because most people watch the special performances on CCTV all the night on New Year's Eve and don't go to bed until 12:00 AM, they usually get up later in the next day. The fifth day of the Chinese New Year is the day to welcome the god of Wealth (Chinese:财神爷), many people make and eat dumplings (Chinese:饺子. Pinyin: Jaozi). They believe that dumplings can hold the god of Wealth and bring luck. The last day of the Chinese New Year is the Lantern Festival. On this day, the moon becomes the full moon. People go out and watch the lantern festivals everywhere. After that, they eat sweet dumpling (Chinese:汤圆,元宵), a kind of dumpling which is round and looks like the full moon.\n" - ] - } - ], - "source": [ - "search(query=\"When is Chinese New Year\")" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "NT8_OxwInS1x", - "outputId": "5ac4aba7-f26b-4a84-9b7d-41a8ab733f02" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: How many people live in Paris\n", - "Top-3 lexical search (BM25) hits\n", - "\t16.277\tLive à Paris (English: \"Live in Paris\") is a live album by Canadian singer Céline Dion.\n", - "\t15.173\tÎle-de-France is a region of France. The capital city is Paris. It is also the capital city of France. In 2013 about 12 million people lived in the region. About 2.1 million people live in the city of Paris.\n", - "\t14.666\tGennevilliers is a town in France near Paris. It is in the region Île-de-France and the department of Hauts-de-Seine. About 41,000 people live there.\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.999\tParis (nicknamed the \"\"City of light\"\") is the capital city of France, and the largest city in France. The area is , and around 2.15 million people live there. If suburbs are counted, the population of the Paris area rises to 12 million people.\n", - "\t0.987\tÎle-de-France is a region of France. The capital city is Paris. It is also the capital city of France. In 2013 about 12 million people lived in the region. About 2.1 million people live in the city of Paris.\n", - "\t0.602\tEssonne is a department to the south of Paris in the Île-de-France region. Its prefecture is Évry. About 1,172,000 people live there (2006 estimation).\n" - ] - } - ], - "source": [ - "search(query=\"How many people live in Paris\")" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "bLkBz68fnUoS", - "outputId": "75800b8b-fe7a-4dcc-e622-b7ee7a19397a" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input question: Who is the director of The Matrix?\n", - "Top-3 lexical search (BM25) hits\n", - "\t16.253\tAn inverse matrix is a matrix that, when multiplied by another matrix, equals the identity matrix. For example:\n", - "\t16.072\tis an identity matrix. There is exactly one identity matrix for each square dimension set. An identity matrix is special because when multiplying any matrix by the identity matrix, the result is always the original matrix with no change.\n", - "\t15.353\tFirst, the system needs to be turned into an augmented matrix. In an augmented matrix, each linear equation becomes a row. On one side of the augmented matrix, the coefficients of each term in the linear equation become numbers in the matrix. On the other side of the augmented matrix are the constant terms each linear equation is equal to. For this system, the augmented matrix is:\n", - "\n", - "Top-3 hits by rank-API (100 BM25 hits re-ranked)\n", - "\t0.995\tThe Matrix is a science fiction action movie that was made in 1999. It was written and directed by the Wachowski Brothers. The main actors in the movie are Keanu Reeves, Laurence Fishburne, Carrie-Anne Moss, and Hugo Weaving. \"The Matrix\" was followed by two sequels: \"The Matrix Reloaded\" and \"The Matrix Revolutions\".\n", - "\t0.992\tHelmut Bakaitis (born 26 September 1944) is a German-born Australian director, actor and screenwriter. He is known for his role as The Architect in \"The Matrix\" movie series. Bakaitis was born in Lauban, Lower Silesia, Germany (now Lubań, Poland). Bakaitis started teaching directing at Australian Academy of Dramatic Art (AADA).\n", - "\t0.804\tThe Matrix Revolutions is a 2003 movie that was written and directed by the Wachowski brothers. It is the sequel to \"The Matrix Reloaded\".\n" - ] - } - ], - "source": [ - "search(query=\"Who is the director of The Matrix?\")" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.9.18" - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "405380d381534be1b0d92a5da1013632": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HBoxModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HBoxModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HBoxView", - "box_style": "", - "children": [ - "IPY_MODEL_54eb133663084cde8c32854b47558f83", - "IPY_MODEL_c74c928ca40d4215b600f617578c10b3", - "IPY_MODEL_71021babdbe140e480172a84d4d69749" - ], - "layout": "IPY_MODEL_9215306114b24fffa4223f896cd50e1d" - } - }, - "441c174815e443698552dd5ee5a82bb3": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "46a0ba39225d4884aad26d72d8bd0c72": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "54eb133663084cde8c32854b47558f83": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_46a0ba39225d4884aad26d72d8bd0c72", - "placeholder": "​", - "style": "IPY_MODEL_688a5aad1e8c4188ac5c5c705832b066", - "value": "100%" - } - }, - "688a5aad1e8c4188ac5c5c705832b066": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "70dc83747ccc4b85b4a7b90cdeb5a301": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "DescriptionStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "DescriptionStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "description_width": "" - } - }, - "71021babdbe140e480172a84d4d69749": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "HTMLModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "HTMLModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "HTMLView", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_441c174815e443698552dd5ee5a82bb3", - "placeholder": "​", - "style": "IPY_MODEL_70dc83747ccc4b85b4a7b90cdeb5a301", - "value": " 509663/509663 [00:17<00:00, 16838.02it/s]" - } - }, - "80e40812cfcf4a25bec8dc1d2e6a18ca": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "ProgressStyleModel", - "state": { - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "ProgressStyleModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "StyleView", - "bar_color": null, - "description_width": "" - } - }, - "9215306114b24fffa4223f896cd50e1d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "b1c5b6d6f4244c02964caa104dc0b170": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "1.2.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "1.2.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "1.2.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "overflow_x": null, - "overflow_y": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c74c928ca40d4215b600f617578c10b3": { - "model_module": "@jupyter-widgets/controls", - "model_module_version": "1.5.0", - "model_name": "FloatProgressModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/controls", - "_model_module_version": "1.5.0", - "_model_name": "FloatProgressModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/controls", - "_view_module_version": "1.5.0", - "_view_name": "ProgressView", - "bar_style": "success", - "description": "", - "description_tooltip": null, - "layout": "IPY_MODEL_b1c5b6d6f4244c02964caa104dc0b170", - "max": 509663, - "min": 0, - "orientation": "horizontal", - "style": "IPY_MODEL_80e40812cfcf4a25bec8dc1d2e6a18ca", - "value": 509663 - } - } - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Building_a_Chatbot.ipynb b/notebooks/llmu/Building_a_Chatbot.ipynb index 34096845..fb90f5f5 100644 --- a/notebooks/llmu/Building_a_Chatbot.ipynb +++ b/notebooks/llmu/Building_a_Chatbot.ipynb @@ -1,483 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "OnKdawu1MnkN" - }, - "source": [ - "# Building a Chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "CnKxQnzDMczK" - }, - "source": [ - "In this notebook, you’ll build a chatbot using Cohere’s Chat endpoint. By the end of this notebook, you’ll be able to build a simple chatbot that can respond to user messages and maintain the context of the conversation.\n", - "\n", - "*Read the accompanying [blog post here](https://docs.cohere.com/docs/building-a-chatbot).*" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Overview" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We'll do the following steps:\n", - "- **Step 1: Quickstart** - Learn the quickest and easiest way to call the Chat endpoint.\n", - "- **Step 2: Adding a preamble** - Steer a chatbot's response toward certain styles, personas, or other characteristics.\n", - "- **Step 3: Streaming the response** - Display a chatbot's response incrementally as it is generated, as opposed to waiting for the entire response to be completed.\n", - "- **Step 4: Building the Chat History** - Explore two different options for getting the chatbot to maintain the context of the conversation." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "P6YrR6WeO_O-" - }, - "source": [ - "## Step 1: Quickstart\n", - "\n", - "To get started with Chat, we add a user message to the `messages` parameter and define the model type in the `model` parameter. We then call the Chat endpoint through the client we created earlier.\n", - "\n", - "The response contains several objects. For simplicity, what we want right now is the `text` object.\n", - "\n", - "Here's an example of the assistant responding to a new hire's query asking for help to make introductions." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Building_a_Chatbot.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "Y0oUFu9hO23P", - "outputId": "1504ef60-cccd-4a9b-f708-ea4f23404af7" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a draft of an introduction message for your new colleagues at Co1t:\n", - "\n", - "\"Hello everyone!\n", - "\n", - "My name is [Your Name], and I am thrilled to introduce myself as the newest member of the Co1t team! Starting a new journey at a startup has been an exciting prospect, and I am eager to contribute to the company's success.\n", - "\n", - "A little about myself: I have a background in [Your Educational or Professional Background], and my expertise lies in [Your Skills or Areas of Expertise]. I am passionate about [Mention any relevant interests or hobbies related to the startup's industry]. I believe that my experience and enthusiasm will allow me to quickly integrate into the dynamic culture here.\n", - "\n", - "I am looking forward to meeting and collaborating with all of you. As a team, I am confident that we can achieve great milestones and create innovative solutions together. Please feel free to reach out if you need any assistance or if you'd like to grab a coffee and chat! I'm all for building strong connections and fostering a supportive work environment.\n", - "\n", - "Let's make Co1t a thriving and impactful startup!\n", - "\n", - "Best regards,\n", - "[Your Name]\"\n", - "\n", - "Feel free to customize and add more personal details to make the introduction more engaging and reflective of your personality. Good luck on your first day, and congratulations on joining the new venture!\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write an introduction message for my teammates.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}])\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Step 2: Creating a custom system message" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TogMI9nkPCer" - }, - "source": [ - "Optionally, you can add a system message to help steer a chatbot’s response toward certain characteristics.\n", - "\n", - "For example, if we want the chatbot to adopt a formal style, the preamble can be used to encourage the generation of more business-like and professional responses.\n", - "\n", - "The recommended approach is to use two H2 Markdown headers: \"Task and Context\" and \"Style Guide\" in the exact order.\n", - "\n", - "In the example below, the preamble provides context for the assistant's task (task and context) and encourages the generation of rhymes as much as possible (style guide)." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "h_a4AhLbO-KF", - "outputId": "93741452-f257-43e1-969f-344ae9ec91bd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a little note, to make a grand entrance,\n", - "Welcome, dear colleague, to Co1t's brilliance!\n", - "\n", - "I'm thrilled to join this team, a fresh face, so bright,\n", - "Excited to contribute and learn with all my might.\n", - "\n", - "Let's connect and collaborate, a partnership so rare,\n", - "Together, we'll conquer challenges, and success we'll share.\n", - "\n", - "Feel free to reach out, any time, any day,\n", - "Let's chat and get to know each other in our own way.\n", - "\n", - "A new adventure awaits, so let's make it grand,\n", - "Looking forward to being part of this amazing band!\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write an introduction message for my teammates.\"\n", - "\n", - "# Create a custom system message\n", - "system_message=\"\"\"## Task and Context\n", - "You are an assistant who assist new employees of Co1t with their first week.\n", - "\n", - "## Style Guide\n", - "Try to speak in rhymes as much as possible. Be professional.\"\"\"\n", - "\n", - "# Add the messages\n", - "messages = [{'role': 'system', 'content': system_message},\n", - " {'role': 'user', 'content': message}]\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "vGZdMxt0PHhI" - }, - "source": [ - "## Step 3: Streaming the response\n", - "\n", - "The Chat endpoint also provides streaming support. In a streamed response, the endpoint would return a response object for each token as it is being generated. This means you can display the text incrementally without having to wait for the full completion.\n", - "\n", - "To activate it, use `co.chat_stream()` instead of `co.chat()`.\n", - "\n", - "In streaming mode, the endpoint will generate a series of objects. To get the actual text contents, we take objects whose `event_type` is `text-generation`." - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "UGgXs5AtPEme", - "outputId": "33ca5c3d-4cc7-49cc-c1ed-22936f1eef3d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a draft of an introduction message for your new colleagues at Co1t:\n", - "\n", - "\"Hello everyone!\n", - "\n", - "My name is [Your Name], and I am thrilled to introduce myself as the newest member of the Co1t team! Starting my journey with you all today, I am excited to be a part of this innovative startup and contribute to its success.\n", - "\n", - "A little about myself: I have [mention your professional background or relevant experience], and I am passionate about [list some of your interests or skills related to the startup's industry]. I believe my expertise in [specific skill or knowledge area] will be a valuable asset to the company's growth.\n", - "\n", - "I am eager to collaborate with each of you and learn from your unique perspectives. As a firm believer in the power of teamwork, I am confident that together, we can achieve great milestones and create something extraordinary.\n", - "\n", - "Looking forward to getting to know you all, brainstorming ideas, and tackling the challenges ahead as a united front. Feel free to reach out if you'd like to connect and discuss any projects or just to say hi!\n", - "\n", - "Let's make Co1t a thriving success story!\n", - "\n", - "Best regards,\n", - "[Your Name]\"\n", - "\n", - "Feel free to customize and add any personal touches to make the message align perfectly with your personality and the company's culture. Good luck on your first day, and congratulations on joining Co1t!" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write an introduction message for my teammates.\"\n", - "\n", - "# Generate the response by streaming it\n", - "response = co.chat_stream(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}])\n", - "\n", - "for event in response:\n", - " if event.type == \"content-delta\":\n", - " print(event.delta.message.content.text, end=\"\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9Jd3fjC5PPI5" - }, - "source": [ - "## Step 4: Build the conversation memory" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "At the core of a conversation is a multi-turn dialog between the user and the chatbot. This requires the chatbot to have the state (or “memory”) of all the previous turns to maintain the state of the conversation.\n", - "\n", - "Let's start with the first turn in the conversation.\n", - "\n", - "Here, we are also adding a custom system message for generating concise response, just to keep the outputs brief for this tutorial." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hi everyone, I'm thrilled to join Co1t as a new team member, and I look forward to collaborating and contributing to our shared success!\"\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I'm joining a new startup called Co1t today. Could you help me write an introduction message for my teammates.\"\n", - "\n", - "# Create a custom system message\n", - "system_message=\"\"\"## Task and Context\n", - "Generate concise responses, with maximum one-sentence.\"\"\"\n", - "\n", - "# Add the messages\n", - "messages = [{'role': 'system', 'content': system_message},\n", - " {'role': 'user', 'content': message}]\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want the model to refine the earlier response. This requires the next generation to have access to the state, or memory, of the conversation.\n", - "\n", - "To do this, we append the `message` object from the previous response to the `messages` list.\n", - "\n", - "Then we append the next user message to the `messages` list.\n", - "\n", - "Looking at the response, we see that the model is able to get the context from the chat history. The model is able to capture that \"it\" in the user message refers to the introduction message it had generated earlier." - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hey there, super excited to be a part of the Co1t family! Can't wait to meet you all and dive into some awesome projects together!\"\n" - ] - } - ], - "source": [ - "# Append the previous response\n", - "messages.append({'role': 'assistant', 'content': response.message.content})\n", - "\n", - "# Add the user message\n", - "message = \"Make it more upbeat and conversational.\"\n", - "\n", - "# Append the user message\n", - "messages.append({'role': 'user', 'content': message})\n", - "\n", - "# Generate the response with the current chat history as the context\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "You can continue doing this for any number of turns by repeating the same steps of appending the chatbot and user messages." - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Hey [Manager's Name], just wanted to express my excitement about starting at Co1t today, and I'm grateful for the opportunity to work with you and the team!\"\n" - ] - } - ], - "source": [ - "# Append the previous response\n", - "messages.append({'role': 'assistant', 'content': response.message.content})\n", - "\n", - "# Add the user message\n", - "message = \"Thanks. Could you create another one for my DM to my manager.\"\n", - "\n", - "# Append the user message\n", - "messages.append({'role': 'user', 'content': message})\n", - "\n", - "# Generate the response with the current chat history as the context\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=messages)\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To look at the current chat history, you can print the `messages` list." - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'role': 'system', 'content': '## Task and Context\\nGenerate concise responses, with maximum one-sentence.'} \n", - "\n", - "{'role': 'user', 'content': \"I'm joining a new startup called Co1t today. Could you help me write an introduction message for my teammates.\"} \n", - "\n", - "{'role': 'assistant', 'content': [TextAssistantMessageResponseContentItem(type='text', text='\"Hi everyone, I\\'m thrilled to join Co1t as a new team member, and I look forward to collaborating and contributing to our shared success!\"')]} \n", - "\n", - "{'role': 'user', 'content': 'Make it more upbeat and conversational.'} \n", - "\n", - "{'role': 'assistant', 'content': [TextAssistantMessageResponseContentItem(type='text', text='\"Hey there, super excited to be a part of the Co1t family! Can\\'t wait to meet you all and dive into some awesome projects together!\"')]} \n", - "\n", - "{'role': 'user', 'content': 'Thanks. Could you create another one for my DM to my manager.'} \n", - "\n", - "{'role': 'assistant', 'content': [TextAssistantMessageResponseContentItem(type='text', text='\"Hey [Manager\\'s Name], just wanted to express my excitement about starting at Co1t today, and I\\'m grateful for the opportunity to work with you and the team!\"')]} \n", - "\n" - ] - } - ], - "source": [ - "# Append the previous response\n", - "messages.append({'role': 'assistant', 'content': response.message.content})\n", - "# View the chat history\n", - "for message in messages:\n", - " print(message,\"\\n\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Classify_Endpoint.ipynb b/notebooks/llmu/Classify_Endpoint.ipynb index aa1cdf0a..53f10ce1 100644 --- a/notebooks/llmu/Classify_Endpoint.ipynb +++ b/notebooks/llmu/Classify_Endpoint.ipynb @@ -1,286 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "xYo_6bTr21nz" - }, - "source": [ - "# The Classify Endpoint\n", - "\n", - "In the text classification space, a trend is emerging where developers and teams are leveraging large language models (LLMs) when building an AI-based classifier system. This is opposed to building a system from scratch on their own, which first, requires the team to have the know-how in machine learning and engineering, and second, requires a huge amount of labeled training data to build a working solution.\n", - "\n", - "With LLMs, instead of having to prepare thousands of training data points, you can get up and running with just a handful of examples per class, called few-shot classification.\n", - "\n", - "In this notebook, you'll learn how to build a classifier with Cohere's Classify endpoint through few-shot learning. This notebook accompanies the [Classify endpoint lesson](https://docs.cohere.com/docs/classify-endpoint/) of LLM University." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1ys2CHEgurfe" - }, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "QdEURifRRUgy" - }, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "from cohere import ClassifyExample" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DtHJ02d7Rz8q" - }, - "source": [ - "## Prepare Examples and Input\n", - "\n", - "A typical machine learning model requires many training examples to perform text classification, but with the Classify endpoint, you can get started with as few as 5 examples per class." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "-lSi_UmQEfy_" - }, - "outputs": [], - "source": [ - "# Create the training examples for the classifier\n", - "examples = [ClassifyExample(text=\"I’m so proud of you\", label=\"positive\"), \n", - " ClassifyExample(text=\"What a great time to be alive\", label=\"positive\"), \n", - " ClassifyExample(text=\"That’s awesome work\", label=\"positive\"), \n", - " ClassifyExample(text=\"The service was amazing\", label=\"positive\"), \n", - " ClassifyExample(text=\"I love my family\", label=\"positive\"), \n", - " ClassifyExample(text=\"They don't care about me\", label=\"negative\"), \n", - " ClassifyExample(text=\"I hate this place\", label=\"negative\"), \n", - " ClassifyExample(text=\"The most ridiculous thing I've ever heard\", label=\"negative\"), \n", - " ClassifyExample(text=\"I am really frustrated\", label=\"negative\"), \n", - " ClassifyExample(text=\"This is so unfair\", label=\"negative\"),\n", - " ClassifyExample(text=\"This made me think\", label=\"neutral\"), \n", - " ClassifyExample(text=\"The good old days\", label=\"neutral\"), \n", - " ClassifyExample(text=\"What's the difference\", label=\"neutral\"), \n", - " ClassifyExample(text=\"You can't ignore this\", label=\"neutral\"), \n", - " ClassifyExample(text=\"That's how I see it\", label=\"neutral\")]" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "QpC1Z4xEEWs0" - }, - "outputs": [], - "source": [ - "# Enter the inputs to be classified\n", - "inputs = [\"Hello, world! What a beautiful day\",\n", - " \"It was a great time with great people\",\n", - " \"Great place to work\",\n", - " \"That was a wonderful evening\",\n", - " \"Maybe this is why\",\n", - " \"Let's start again\",\n", - " \"That's how I see it\",\n", - " \"These are all facts\",\n", - " \"This is the worst thing\",\n", - " \"I cannot stand this any longer\",\n", - " \"This is really annoying\",\n", - " \"I am just plain fed up\"]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Generate Predictions" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "etTr200IRszm" - }, - "outputs": [], - "source": [ - "def classify_text(inputs, examples):\n", - " \"\"\"\n", - " Classifies a list of input texts given the examples\n", - " Arguments:\n", - " model (str): identifier of the model\n", - " inputs (list[str]): a list of input texts to be classified\n", - " examples (list[Example]): a list of example texts and class labels\n", - " Returns:\n", - " classifications (list): each result contains the text, labels, and conf values\n", - " \"\"\"\n", - " # Classify text by calling the Classify endpoint\n", - " response = co.classify(\n", - " model='embed-english-v2.0',\n", - " inputs=inputs,\n", - " examples=examples)\n", - "\n", - " classifications = response.classifications\n", - "\n", - " return classifications\n", - "\n", - "# Classify the inputs\n", - "predictions = classify_text(inputs, examples)" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Classify_Endpoint.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "kOdL3U0jRswU", - "outputId": "93ea6111-ef75-4593-971c-b20b5dfb3d22" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Input: Hello, world! What a beautiful day\n", - "Prediction: positive\n", - "Confidence: 0.84\n", - "----------\n", - "Input: It was a great time with great people\n", - "Prediction: positive\n", - "Confidence: 0.99\n", - "----------\n", - "Input: Great place to work\n", - "Prediction: positive\n", - "Confidence: 0.91\n", - "----------\n", - "Input: That was a wonderful evening\n", - "Prediction: positive\n", - "Confidence: 0.96\n", - "----------\n", - "Input: Maybe this is why\n", - "Prediction: neutral\n", - "Confidence: 0.70\n", - "----------\n", - "Input: Let's start again\n", - "Prediction: neutral\n", - "Confidence: 0.83\n", - "----------\n", - "Input: That's how I see it\n", - "Prediction: neutral\n", - "Confidence: 1.00\n", - "----------\n", - "Input: These are all facts\n", - "Prediction: neutral\n", - "Confidence: 0.78\n", - "----------\n", - "Input: This is the worst thing\n", - "Prediction: negative\n", - "Confidence: 0.93\n", - "----------\n", - "Input: I cannot stand this any longer\n", - "Prediction: negative\n", - "Confidence: 0.93\n", - "----------\n", - "Input: This is really annoying\n", - "Prediction: negative\n", - "Confidence: 0.99\n", - "----------\n", - "Input: I am just plain fed up\n", - "Prediction: negative\n", - "Confidence: 1.00\n", - "----------\n" - ] - } - ], - "source": [ - "# Display the classification outcomes\n", - "classes = [\"positive\", \"negative\", \"neutral\"]\n", - "for inp,pred in zip(inputs, predictions):\n", - " class_pred = pred.prediction\n", - " class_idx = classes.index(class_pred)\n", - " class_conf = pred.confidence\n", - "\n", - " print(f\"Input: {inp}\")\n", - " print(f\"Prediction: {class_pred}\")\n", - " print(f\"Confidence: {class_conf:.2f}\")\n", - " print(\"-\"*10)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Command_Model_Use_Case_Patterns.ipynb b/notebooks/llmu/Command_Model_Use_Case_Patterns.ipynb index 4d12e010..ce2d7d97 100644 --- a/notebooks/llmu/Command_Model_Use_Case_Patterns.ipynb +++ b/notebooks/llmu/Command_Model_Use_Case_Patterns.ipynb @@ -1,902 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "VBl2lBqo2Csf" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "C74GOs2fs6hK" - }, - "source": [ - "# Command Model Use Case Patterns" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1YFStK_W1JUD" - }, - "source": [ - "Large language models (LLMs) like the Command model are general-purpose and can be applied in infinite ways, but if one can’t recognize the patterns where they can be useful, it can feel overwhelming.\n", - "\n", - "In this notebook, we’ll go through several broad use case categories for the Command model.\n", - "\n", - "Read the accompanying [article here](https://cohere.com/llmu/use-case-patterns)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "qpmsBwBaFSkp", - "outputId": "6240628f-1035-4186-fa2a-1a80bbc720e3" - }, - "outputs": [ + "cells": [ { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Command_Model_Use_Case_Patterns.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import cohere\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "SV4ZkXbZnX8q" - }, - "source": [ - "# Function to generate text" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "h3eAX0ponbKH" - }, - "source": [ - "Let’s define a text generation function that we'll use throughout this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "6rv9T2aJP1zS", - "outputId": "aa9217b6-faa4-4505-f6d3-a9f318d13f39" - }, - "outputs": [], - "source": [ - "def generate_text(message, temperature):\n", - " # Generate the response by streaming it\n", - " response = co.chat_stream(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}],\n", - " temperature=temperature)\n", - "\n", - " for event in response:\n", - " if event.type == \"content-delta\":\n", - " print(event.delta.message.content.text, end=\"\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "huil2jEmnwCk" - }, - "source": [ - "# Define a text snippet for context" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nn-3n-nRntTW" - }, - "source": [ - "Our examples will revolve around a company’s activities for launching a new wireless headphone product, such as getting the word out, managing customer interactions, and so on. For this, let’s define a text snippet containing the product description. We’ll be utilizing this snippet in several examples throughout." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 71 - }, - "id": "DJXcGzBYhfri", - "outputId": "a82eafcd-5ae7-439a-c8b6-222f02babbac" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The CO-1T is a wireless headphone product that uses Bluetooth technology to connect to your devices. It has a long battery life and can be quickly charged using the included USB cable. The headphone is lightweight and comfortable, ideal for long periods of use. It has a built-in microphone for making calls, and a button to control the volume. The CO-1T is a great choice for anyone looking for a wireless headphone product with great battery life.\n" - ] - } - ], - "source": [ - "product=\"\"\"The CO-1T is a wireless headphone product that uses Bluetooth technology to connect to your devices. \\\n", - "It has a long battery life and can be quickly charged using the included USB cable. The headphone is \\\n", - "lightweight and comfortable, ideal for long periods of use. It has a built-in microphone for making calls, \\\n", - "and a button to control the volume. The CO-1T is a great choice for anyone looking for a wireless headphone \\\n", - "product with great battery life.\"\"\"\n", - "print(product)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "N7MkHhc7Vasb" - }, - "source": [ - "# Writing" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "P3uqafCkn42o" - }, - "source": [ - "We’ll start with the most general type of use case, which is writing. Let’s say we’re building an application for users to enter some bullet points and get a complete email written. We can set up the prompt in the following way: create a variable for the user to input some text and merge that, together with the product description, into the main prompt.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 321 - }, - "id": "mqSvO1rGnOfh", - "outputId": "96ec2fbe-7882-4f15-b3ce-739552b100c7" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Subject: Introducing the CO-1T: Your Ultimate Wireless Audio Companion\n", - "\n", - "Dear Valued Customers,\n", - "\n", - "We are thrilled to announce the launch of our newest innovation in wireless audio technology: the CO-1T headphones!\n", - "\n", - "As a brand dedicated to enhancing your daily audio experiences, we have crafted the CO-1T to be the ultimate companion for music lovers, remote workers, and anyone seeking a seamless wireless audio solution.\n", - "\n", - "**Unparalleled Convenience and Comfort:**\n", - "Experience the freedom of Bluetooth connectivity with your devices, allowing you to move effortlessly throughout your day without tangled wires. The CO-1T boasts an impressive battery life, ensuring you can enjoy your music, podcasts, or calls for extended periods without interruption. And when it's time to recharge, the included USB cable gets you back to full power in no time!\n", - "\n", - "Designed with your comfort in mind, these headphones are lightweight and ergonomically structured, making them perfect for extended wear during work, travel, or leisure.\n", - "\n", - "**Seamless Communication:**\n", - "Stay connected with the integrated microphone, enabling crystal-clear calls at the touch of a button. The intuitive controls also allow you to adjust the volume effortlessly, ensuring you're always in command of your audio experience.\n", - "\n", - "We believe the CO-1T will revolutionize the way you interact with your audio devices, offering a perfect blend of performance, convenience, and style.\n", - "\n", - "**Take Action and Experience the Difference:**\n", - "Don't miss out on this exciting launch! Be among the first to upgrade your audio experience by ordering the CO-1T today. Visit our website's product page to learn more about its features and specifications and place your order with just a few clicks.\n", - "\n", - "**Need Assistance?**\n", - "Our dedicated support team is here to help. If you have any questions or need guidance, feel free to reach out via our live chat feature on our website. Our experts are available to provide real-time assistance and ensure you have the best shopping experience.\n", - "\n", - "Thank you for choosing our brand, and we look forward to hearing your feedback on the CO-1T!\n", - "\n", - "Best regards,\n", - "[Your Company Name] Marketing Team" - ] - } - ], - "source": [ - "user_input =\"\"\"\n", - "- announce product launch\n", - "- create a call to action\n", - "- mention live chat for support\n", - "\"\"\"\n", - "\n", - "prompt = f\"\"\"{product}\n", - "Create an email about the product above mentioning the following:\n", - "{user_input}\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "biRqvt-VWXtF" - }, - "source": [ - "# Question Answering (Closed)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "myl3D7kioDmb" - }, - "source": [ - "This use case is about answering a question that a user asks, be it in a single-turn, question answering scenario or a multi-turn, chatbot setting.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8Oq6BJJcoGNY" - }, - "source": [ - "Question answering can take place in either a closed or open setting. In a closed-book question answering setting, we rely on the model to answer questions based on the general knowledge from which it has been trained." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 606 - }, - "id": "3GD2nyk0uPHu", - "outputId": "47aaed79-3134-4b6c-f187-56f528a67de7" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "When choosing a wireless headphone, there are several features you may want to consider to ensure a good user experience: \n", - "\n", - "- Sound Quality: This is arguably the most important feature. Look for headphones with a clear, balanced sound, good bass response, and clear highs. Noise cancellation or noise-isolation features can also enhance your listening experience by blocking out external noise. \n", - "\n", - "- Comfort: Headphones should be comfortable, especially if you plan on using them for extended periods. Look for headphones with soft, breathable ear pads and an adjustable headband for a secure yet comfortable fit. Over-ear or on-ear headphones tend to be more comfortable for long-term use than in-ear models. \n", - "\n", - "- Battery Life: Consider headphones with long battery life, ideally at least 15-20 hours of continuous playback. Some headphones also offer quick-charge features, giving you a few hours of playback from a short charge. It's also worth checking if the headphones can be used while charging, in case you forget to charge them. \n", - "\n", - "- Connectivity: Ensure the headphones use a current Bluetooth version (5.0 or above) for better connectivity, range, and power efficiency. Some headphones also offer multipoint pairing, which lets you connect to two devices simultaneously, like your phone and laptop. \n", - "\n", - "- Controls and Functionality: Easy-to-use controls are important for a seamless experience. Look for intuitive button layouts and the ability to control volume, playback, and calls directly from the headphones. Some models also offer touch controls or voice assistants, which some users may prefer. \n", - "\n", - "- Durability and Portability: Consider the build quality and materials used. Look for sturdy construction and, if you plan to use them outdoors or while exercising, some degree of water and sweat resistance. A carrying case is also a nice addition for portability and protection when not in use. \n", - "\n", - "- Price: Wireless headphones vary widely in price. Set a budget and look for the best combination of features within that range. Sometimes, spending a little more can get you significantly better quality and features. \n", - "\n", - "- Additional Features: Some headphones offer app support for customization, EQ settings, or firmware updates. Gaming-focused headphones might offer low-latency modes for better audio-visual sync. Also, consider any included accessories, like different sized ear tips or cables for wired listening. \n", - "\n", - "Remember to read reviews from trusted sources and, if possible, try the headphones on to ensure a good fit and comfort before making your final decision." - ] - } - ], - "source": [ - "user_input =\"What features should I consider when choosing a wireless headphone\"\n", - "prompt = user_input\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8CmGy0HpWXzy" - }, - "source": [ - "# Question Answering (Open)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nQvZ6DYgoP2U" - }, - "source": [ - "In an open setting, we can get the model to refer to specific knowledge bases to help it do its job well. This way, we can design a system that can handle questions that require factual responses." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "L7Ir2LWNzgPZ", - "outputId": "9592127f-8b9a-4cae-9e6a-c8af1bacab21" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "To control the sound levels on the CO-1T wireless headphones, simply use the button on the headphone to adjust the volume to your desired level." - ] - } - ], - "source": [ - "user_input =\"How do I control the sound levels\"\n", - "\n", - "prompt = f\"\"\"{product}\n", - "Answer this question based on the context provided above: {user_input}\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7ym6wmx8VYy4" - }, - "source": [ - "# Brainstorming" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "snxSrkHEoX4H" - }, - "source": [ - "Another form of writing is brainstorming, where we want the model to generate a list of options based on a given prompt. This can be for writing outlines, generating ideas, providing critical analysis, and so on. This use case forces the model to go broad and cover different perspectives of a situation." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 196 - }, - "id": "qP9m1BKCvX3W", - "outputId": "98659174-86e9-480e-8ebd-dff30d786d6d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here is a list of troubleshooting steps to advise the customer to try and resolve the Bluetooth connection issue with the CO-1T wireless headphones:\n", - "\n", - "- Ensure Bluetooth is Enabled:\n", - " - Check that the Bluetooth function is turned on your device (phone, computer, or tablet).\n", - " - Confirm that your device's Bluetooth is visible or discoverable.\n", - "\n", - "- Device Compatibility:\n", - " - Verify that your device is compatible with the headphone's Bluetooth version.\n", - " - Sometimes, older device models may not be compatible with newer Bluetooth headphones, and vice versa.\n", - "\n", - "- Proximity:\n", - " - Make sure you are within range. Bluetooth has a limited range, so ensure that you are not too far from the device you are trying to connect to.\n", - "\n", - "- Restart Devices:\n", - " - Power cycle both your headphone and the device you are trying to pair it with. Sometimes, a fresh restart can reset any minor glitches that may be causing the connection issue.\n", - "\n", - "- Correct Pairing Procedure:\n", - " - Refer to the headphone's manual or quick start guide to ensure you are following the correct pairing procedure. There may be a specific sequence of button presses or holding the power button for a certain duration to enter pairing mode.\n", - "\n", - "- Multiple Devices:\n", - " - If you have previously connected the headphone to multiple devices, ensure that you have selected the correct device to connect to. Sometimes, Bluetooth devices may try to connect to the last known device, which can cause confusion if multiple devices are in range.\n", - "\n", - "- Update Headphone Firmware (if applicable):\n", - " - Check the manufacturer's website or support page to see if there are any firmware updates available for your headphone model. Updated firmware can sometimes improve stability and connectivity.\n", - "\n", - "- Reset Headphone:\n", - " - As a last resort, try resetting your headphone to its factory settings and then attempt to reconnect.\n", - "\n", - "- Contact Customer Support:\n", - " - If all else fails, advise the customer to contact the manufacturer's customer support team, as there may be a warranty claim or further advanced troubleshooting that can be performed.\n", - "\n", - "By following these steps, the customer should be able to resolve most common Bluetooth connection issues with their CO-1T wireless headphones." - ] - } - ], - "source": [ - "user_input =\"I can't get the Bluetooth connection working\"\n", - "prompt = f\"\"\"{product}\n", - "A customer provided the following complaint about this product: {user_input}.\n", - "Provide a bulleted list of possible ways to troubleshoot so we can advise the customer accordingly.\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.1)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lHgDOw_YVZqq" - }, - "source": [ - "# Transforming" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VJbHkIwdobwn" - }, - "source": [ - "The first thing that comes to mind when thinking about generative models is their ability to write a fresh piece of text, but one aspect that is rather understated is their ability to synthesize an existing piece of text.\n", - "\n", - "One example is transforming a passage of text into a different form, making it reusable for different purposes." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 410 - }, - "id": "T7VksEa4hvUV", - "outputId": "034ed6de-260b-403f-dfed-1f97c9a00c2f" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here is a list of frequently asked questions about the CO-1T wireless headphones: \n", - "\n", - "**Q: How do the CO-1T headphones connect to my devices?**\n", - "A: The CO-1T headphones utilize Bluetooth technology to establish a wireless connection with your devices, such as your phone, tablet, or laptop. \n", - "\n", - "**Q: What is the battery life of the CO-1T headphones?**\n", - "A: Offering a long battery life, the CO-1T headphones provide extended periods of use without frequent charging. \n", - "\n", - "**Q: How do I charge the CO-1T headphones?**\n", - "A: These headphones can be quickly and conveniently charged using the included USB cable. \n", - "\n", - "**Q: Are the CO-1T headphones comfortable for extended wear?**\n", - "A: Yes, they are designed with your comfort in mind. The lightweight construction of the CO-1T headphones ensures they remain comfortable even during long periods of use. \n", - "\n", - "**Q: Can I make calls with the CO-1T headphones?**\n", - "A: Absolutely. The built-in microphone allows you to easily take calls, providing a seamless transition from listening to music to taking a phone call. \n", - "\n", - "**Q: How do I control the volume on the CO-1T headphones?**\n", - "A: There is a dedicated button on the headphones that allows you to adjust the volume to your preferred level. \n", - "\n", - "**Q: Who should consider purchasing the CO-1T headphones?**\n", - "A: The CO-1T headphones are an ideal choice for anyone seeking wireless headphones with superior battery life, comfort, and convenience. They combine ease of use with functionality, making them a great option for those who value both performance and comfort. \n", - "\n", - "Feel free to ask any further questions about the CO-1T wireless headphones, and I can provide additional details or answers to common queries." - ] - } - ], - "source": [ - "prompt =f\"\"\"Turn the following product description into a list of frequently asked questions (FAQ).\n", - "\n", - "Product description: {product}\n", - "\"\"\"\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lXZ5CMUJVZJ-" - }, - "source": [ - "# Summarizing" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IB3OmRxbogL5" - }, - "source": [ - "One popular use case for synthesizing text is summarization. Here we take a long passage of text and summarize it to its essence. These can be articles, conversation transcripts, reports, meeting notes, and so on.\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "ePESFJCRdtec", - "outputId": "3b55666f-6a73-4c88-ce34-1e380772e9aa" - }, - "outputs": [], - "source": [ - "user_input =\"\"\"Customer reviews of the CO-1T wireless headphones:\n", - "\n", - "\"The CO-1T is a great pair of headphones! The design is sleek and modern, and the headphones are \\\n", - "very comfortable to wear. The sound quality is excellent, and I can hear every detail of my music. \\\n", - "The built-in microphone means I can make calls without having to take my phone out of my pocket. I \\\n", - "highly recommend the CO-1T to anyone looking for a great pair of wireless headphones!\"\n", - "\n", - "\"I'm very disappointed with the CO-1T. The design is nice, but the battery life is terrible. I can \\\n", - "only use them for a few hours before they need to be recharged. This is very inconvenient, and I'm \\\n", - "not sure if I can recommend them to anyone.\"\n", - "\n", - "\"The CO-1T is a mixed bag. The speaker quality is great, but the built-in microphone's quality is \\\n", - "poor. I can hear every detail of my music, but my voice sounds distorted when I make calls. The \\\n", - "design is nice, and the headphones are comfortable to wear, but the battery life is only average. \\\n", - "I'm not sure if I can recommend them to anyone.\"\n", - "\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 88 - }, - "id": "jhiPxQH642PL", - "outputId": "f2694273-6d3a-4e57-8c09-baccdd3e92b1" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The CO-1T wireless headphones have received mixed reviews from customers. While the design and comfort of the headphones are generally praised, there are some concerns about the battery life and microphone quality. Some users have been disappointed with the short battery life, finding it inconvenient, while others have found the microphone's distortion an issue. However, the sound quality of the speakers and the modern, sleek design have been well-received. Overall, the CO-1T headphones seem to be a mixed bag, with some notable advantages and disadvantages that potential buyers should consider." - ] } - ], - "source": [ - "prompt = f\"\"\"Summarize the following.\n", - "\n", - "{user_input}\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "UaPZNikOVoyV" - }, - "source": [ - "# Rewriting" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "uDzG7eiXojGF" - }, - "source": [ - "Rewriting text is another useful use case where you need to modify some aspects of the text while maintaining its overall meaning." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 106 - }, - "id": "M6UlpY_en3V_", - "outputId": "a6ba58e1-56f6-4b80-8c8e-bea87c329c1d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a revised version: \n", - "\n", - "\"The CO-1T wireless headphones are the perfect study buddy for any college student. With Bluetooth connectivity, you can easily connect to your devices and switch between them seamlessly. The long-lasting battery life means you can listen all day without worrying about charging, and the quick charge feature and included USB cable give you that extra boost when you need it.\n", - "\n", - "These headphones are designed with your comfort in mind, ensuring you can focus without distractions. The lightweight build and comfortable fit make those long study sessions a breeze, and the built-in microphone lets you take calls and manage your music without having to reach for your phone. The volume control button gives you easy, instant control. \n", - "\n", - "The CO-1T headphones are a no-brainer for any student who wants to stay connected and focused. With their great battery life and comfortable design, you can stay in the zone and keep the music going.\" \n", - "\n", - "This revised version highlights the convenience and comfort of the CO-1T headphones, which are key features for busy college students who need to focus on their studies and manage their time effectively." - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "user_input = \"college students\"\n", - "\n", - "prompt = f\"\"\"Create a version of this product description that's tailored towards {user_input}.\n", - "\n", - "{product}\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VvrU1cNVVo6s" - }, - "source": [ - "# Extracting" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "xTBRKc2LomfC" - }, - "source": [ - "In information extraction, we leverage the model’s ability to capture the context of a piece of text to extract the right information as specified by the prompt." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 71 - }, - "id": "qLiKCYEX5LQj", - "outputId": "5cf50c4b-130d-4c1e-bf54-1f4d7fcd1370" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "- Product: CO-1T\n", - "- Refund Reason: Poor battery life\n", - "- Pick-up Address: 171 John Street, Toronto, ON, M5T 1X2" - ] - } - ], - "source": [ - "user_input =\"\"\"I am writing to request a refund for a recent CO-1T purchase I made on your platform. \\\n", - "Unfortunately, the produce has not met my expectations due to its poor battery life. \\\n", - "Please arrange for the pick-up at this address: to 171 John Street, Toronto ON, M5T 1X2.\"\"\"\n", - "\n", - "prompt =f\"\"\"Extract the product, refund reason and pick-up address from this email:\n", - "\n", - "{user_input}\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "A more robust approach for handling text extraction is to use the structured output generation feature of the API. You can specify a JSON schema that the model will match when generating its response." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{\n", - " \"product\": \"CO-1T\",\n", - " \"refund_reason\": \"Poor battery life\",\n", - " \"pickup_address\": \"171 John Street, Toronto, ON M5T 1X2\"\n", - "}\n" - ] - } - ], - "source": [ - "prompt =f\"\"\"Extract as JSON the product, refund reason and pick-up address from this email:\n", - "\n", - "{user_input}\n", - "\"\"\"\n", - "\n", - "response = co.chat(\n", - " model=\"command-r-plus\",\n", - " messages=[cohere.UserMessage(content=prompt)],\n", - " response_format={\n", - " \"type\": \"json_object\",\n", - " \"schema\": {\n", - " \"type\": \"object\",\n", - " \"required\": [\"product\", \"refund_reason\", \"pickup_address\"],\n", - " \"properties\": {\n", - " \"product\": { \"type\": \"string\" },\n", - " \"refund_reason\": { \"type\": \"string\" },\n", - " \"pickup_address\": { \"type\": \"string\" }\n", - " }\n", - " }\n", - " })\n", - " \n", - "print(response.message.content[0]['text'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "q4r37vhEW0zZ" - }, - "source": [ - "# Classifying" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "BQcDpD8AotJn" - }, - "source": [ - "One of the most widely deployed use cases in NLP is text classification. Here, the task is to classify a piece of text into one of a few predefined classes." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VBF46p9Aoxyd" - }, - "source": [ - "## Chat endpoint" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "DEFJDkZI0t4h", - "outputId": "a0c76a54-4437-40dd-daf5-c49ef510e8bb" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Support." - ] - } - ], - "source": [ - "user_input =\"The battery drains fast\"\n", - "\n", - "prompt =f\"\"\"The following is a user message to a customer support agent.\n", - "Classify the message into one of the following categories: Order, Support, or Refunds.\n", - "\n", - "{user_input}\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "CRyqSajqtQXu" - }, - "source": [ - "## Classify endpoint (a more streamlined option)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "HKmtCdvKo27p" - }, - "source": [ - "Alternatively, the Classify endpoint provides a simple API for running text classification. The endpoint leverages Cohere’s embeddings models and makes it easy to add training examples and even create custom models that are specifically tailored to your task." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "onisNMS4HI-H", - "outputId": "25071dd8-00e5-41aa-dffb-f1fababfce8b" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Support\n" - ] - } - ], - "source": [ - "from cohere import ClassifyExample\n", - "\n", - "response = co.classify(\n", - " inputs=[user_input],\n", - " examples=[ClassifyExample(text=\"I can\\'t connect to the bluetooth\", label=\"Support\"),\n", - " ClassifyExample(text=\"Why is max volume so low\", label=\"Support\"),\n", - " ClassifyExample(text=\"When will my order arrive\", label=\"Order\"),\n", - " ClassifyExample(text=\"How much is the shipping cost\", label=\"Order\"),\n", - " ClassifyExample(text=\"What is your refund policy\", label=\"Refunds\"),\n", - " ClassifyExample(text=\"How do I return my product\", label=\"Refunds\")])\n", - "print(response.classifications[0].predictions[0])" - ] - } - ], - "metadata": { - "colab": { - "provenance": [], - "toc_visible": true - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Constructing_Prompt_Commands.ipynb b/notebooks/llmu/Constructing_Prompt_Commands.ipynb index 5e53f613..5e4ccec5 100644 --- a/notebooks/llmu/Constructing_Prompt_Commands.ipynb +++ b/notebooks/llmu/Constructing_Prompt_Commands.ipynb @@ -1,969 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "8zk41AOxHDRJ" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kqO87yLG6peE" - }, - "source": [ - "# Constructing Prompts for the Command Model" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Ld3HJTuBsRsv" - }, - "source": [ - "Prompts can be as simple as a one-liner, or they can be as complex as multiple layers of specific information. The more specific your command is, the more likely you will get exactly what you need from the model.\n", - "\n", - "We’ll look at some tips and ideas for constructing the commands in your prompt to help you get to your intended outcome.\n", - "\n", - "Read the accompanying [article here](https://cohere.com/llmu/constructing-prompts)." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IKqOwwW6kxg5" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "z49_e7Ma2IgU" - }, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Ms0iYHLADZdx" - }, - "source": [ - "# Function to generate text" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mIpaglobni_M" - }, - "source": [ - "Let’s define a text generation function that we'll use throughout this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "3F5rb6Pj2mHq" - }, - "outputs": [], - "source": [ - "def generate_text(message, temperature):\n", - " # Generate the response by streaming it\n", - " response = co.chat_stream(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}],\n", - " temperature=temperature)\n", - "\n", - " for event in response:\n", - " if event.type == \"content-delta\":\n", - " print(event.delta.message.content.text, end=\"\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ZMhfcQc4yg8r" - }, - "source": [ - "# Instruction" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "dpUeCr7VlLy6" - }, - "source": [ - "At its core, prompting a Command model is about sending an instruction to a text generation model and getting a response back. Hence, the smallest unit of a perfectly complete prompt is a short line of instruction to the model." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 142 - }, - "id": "-EJx_8i4zTQ-", - "outputId": "2a68084b-fcab-453c-95ad-e3d1f2f65269" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "Sure! Here is a creative product description for the wireless headphone product named the CO-1T: \n", - "\n", - "**CO-1T Wireless Headphones: Immerse Yourself in Pure Audio Bliss**\n", - "\n", - "Step into the future of audio with the CO-1T wireless headphones, your ultimate sonic companion. Crafted with precision and an unwavering attention to detail, these headphones are an ode to the perfect marriage of style and function. The CO-1T is designed to elevate your listening experience, taking you on an auditory journey like no other.\n", - "\n", - "**Unparalleled Sound Quality**\n", - "\n", - "Immerse yourself in a rich tapestry of sound, where every note resonates with crystal clarity. Our custom-tuned drivers deliver a powerful acoustic performance, ensuring a balanced and dynamic range that brings your music to life. Experience deep, thumping bass that pulsates through your veins, crisp mids, and soaring highs that linger long after the song has ended.\n", - "\n", - "**Liberating Wireless Freedom**\n", - "\n", - "Bid farewell to tangled cords and embrace the wireless revolution. The CO-1T connects seamlessly to your device, offering uninterrupted audio streaming from up to 30 feet away. With a stable Bluetooth 5.0 connection, you're free to move and groove without missing a beat. And when you're on the go, the sleek carrying case ensures effortless portability and quick charging, keeping you connected wherever your adventures take you.\n", - "\n", - "**Ergonomic Design for Extended Comfort**\n", - "\n", - "We understand that true immersion means losing yourself in the experience, so we've crafted the CO-1T with your comfort in mind. The ergonomic design ensures a secure and comfortable fit, allowing you to wear them for extended periods without fatigue. The soft, cushioned ear pads gently envelope your ears, creating a seal that blocks out ambient noise, so it's just you and your favorite tunes.\n", - "\n", - "**Intuitive Touch Controls**\n", - "\n", - "The CO-1T wireless headphones offer effortless control right at your fingertips. With intuitive touch sensors, you can seamlessly play, pause, skip tracks, and adjust volume with a simple tap or swipe. Answer calls with crystal-clear clarity, thanks to the built-in microphone, and activate your favorite voice assistant with a touch, keeping you connected and in control, all without reaching for your device.\n", - "\n", - "**Aesthetic Appeal, Uncompromised**\n", - "\n", - "More than just a listening device, the CO-1T is a fashion statement. The sleek and minimalist design language ensures these headphones complement any style, from casual to professional. Choose from a range of eye-catching colors to match your unique personality and taste. The CO-1T is proof that form and function can coexist harmoniously.\n", - "\n", - "**Long-Lasting Battery Life**\n", - "\n", - "Say goodbye to constant charging woes. The CO-1T wireless headphones offer an impressive battery life of up to 24 hours on a single charge, ensuring you stay connected throughout the day. And when you need a quick energy boost, the fast-charging feature provides up to 3 hours of playback time with just a 15-minute charge, so you're never far from your favorite tunes.\n", - "\n", - "**Your Audio, Your Way**\n", - "\n", - "Tailor your listening experience to your unique preferences with the CO-1T companion app. Fine-tune the EQ settings to match your favorite genres, create custom presets, and discover a whole new world of audio enhancements. The app also provides helpful tutorials, firmware updates, and exclusive content to ensure you get the most out of your headphones.\n", - "\n", - "**The CO-1T Promise**\n", - "\n", - "We are committed to delivering an exceptional audio experience, and that promise extends beyond the headphones themselves. The CO-1T wireless headphones come with a one-year warranty, ensuring your peace of mind. Should you ever need assistance, our dedicated customer support team is always ready to help, ensuring your CO-1T journey is nothing short of exceptional.\n", - "\n", - "Elevate your audio game with the CO-1T wireless headphones. It's time to unlock a whole new world of immersive listening." - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Constructing_Prompt_Commands.ipynb." + ] } - ], - "source": [ - "user_input = \"a wireless headphone product named the CO-1T\"\n", - "prompt = f\"\"\"Write a creative product description for {user_input}\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lOR8iuPtzOi_" - }, - "source": [ - "# Specifics" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "xks4t5_3lQ4_" - }, - "source": [ - "A simple and short prompt can get you started, but in most cases, you’ll need to add specificity to your instructions." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "v2tp7SCjUUGZ" - }, - "source": [ - "### Single paragraph" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 178 - }, - "id": "WtSlvOirygmV", - "outputId": "a15ccefa-4911-43d0-9a66-04a932a1c91c" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Introducing the CO-1T Wireless Headphones: Your New Sanctuary from Distractions\n", - "\n", - "As a software developer, you know that focus is key. In noisy offices, where concentration can be hard to find, the CO-1T Wireless Headphones are your secret weapon. With these headphones, you can create your own personal sanctuary of calm and productivity, no matter the chaos around you.\n", - "\n", - "**Bluetooth Freedom:**\n", - "First and foremost, the CO-1T offers Bluetooth connectivity, freeing you from the tangle of wires. No more feeling tethered to your desk; move freely, take that call away from your screen, and stay connected up to 10 meters away. \n", - "\n", - "**Crystal Clear Audio:** \n", - "Experience superior sound quality with our custom-tuned drivers, delivering crisp, clear audio. Whether you're on a call or listening to music, every word and note is rendered with precision and clarity, ensuring you don't miss a beat. \n", - "\n", - "**Active Noise Cancellation:** \n", - "Our advanced active noise cancellation technology blocks out distractions, letting you focus on the task at hand. The world around you fades away, leaving you immersed in your work, your music, or your call. \n", - "\n", - "**Fast Charging:** \n", - "Time is precious, and we know you can't afford to be without your headphones. That's why the CO-1T headphones offer fast charging. Just 10 minutes of charging gives you up to 2 hours of playtime, ensuring you're never caught off guard. A full charge provides an impressive 24 hours of playtime, enough to power you through those long workdays and beyond. \n", - "\n", - "**Comfortable Design:** \n", - "We understand that long hours demand comfort. That's why we've designed the CO-1T with soft, breathable ear cushions that gently envelop your ears, ensuring all-day comfort. The adjustable headband provides a secure, personalized fit, so you can wear them with ease from morning to night. \n", - "\n", - "**Easy Controls:** \n", - "Seamlessly switch between your music and calls with intuitive, easy-to-use controls. The built-in microphone ensures that you can take calls with crystal-clear clarity, and the intuitive touch controls let you adjust volume, skip tracks, and answer calls with a simple tap. \n", - "\n", - "The CO-1T Wireless Headphones are the ultimate tool for software developers seeking focus and calm in their busy, noisy environments. So, create your sanctuary, block out the distractions, and let your productivity soar. \n", - "\n", - "Your headphones, your sanctuary." - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "user_input_product = \"a wireless headphone product named the CO-1T\"\n", - "user_input_keywords = '\"bluetooth\", \"wireless\", \"fast charging\"'\n", - "user_input_customer = \"a software developer who works in noisy offices\"\n", - "user_input_describe = \"benefits of this product\"\n", - "\n", - "prompt = f\"\"\"Write a creative product description for {user_input_product}\n", - "with the keywords {user_input_keywords} for {user_input_customer}, and describe {user_input_describe}.\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TZltmtYoUY_i" - }, - "source": [ - "### Structured" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "e1V8MaOzlVAh" - }, - "source": [ - "In the example above, we pack the additional details of the prompt in a single paragraph. Alternatively, we can also compose it to be more structured, like so:" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 321 - }, - "id": "mARUjKT0zeVY", - "outputId": "a09b5a1a-65ad-472d-a847-255a69323f11" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Escape the chaos of your office and enter a world of focus with the CO-1T wireless headphones. These headphones are specifically designed for software developers like yourself who need peace and quiet to write code and troubleshoot. With a busy work environment, the last thing you need is the hassle and restriction of tangled wires. The CO-1T headphones offer a seamless Bluetooth connection, providing you with true wireless freedom. No more feeling tethered to your desk—move around the office freely and stay connected to your tasks without any distractions.\n", - " \n", - " One of the standout features of the CO-1T headphones is their fast-charging capability. A quick 10-minute charge gives you up to 4 hours of playtime, ensuring you're never without your focus-inducing soundtrack. Need to block out the noise completely? The active noise cancellation feature does just that, allowing you to immerse yourself in your work and forget the chaos around you. When you need to collaborate, the built-in microphone has you covered, providing clear and crisp call quality.\n", - " \n", - " The benefits of the CO-1T wireless headphones are clear: freedom, focus, and flexibility. Free yourself from distractions and immerse yourself in a world tailored to your productivity. With these headphones, you can create your own quiet workspace, no matter how noisy your office is." - ] - } - ], - "source": [ - "user_input_product = \"a wireless headphone product named the CO-1T\"\n", - "user_input_keywords = '\"bluetooth\", \"wireless\", \"fast charging\"'\n", - "user_input_customer = \"a software developer who works in noisy offices\"\n", - "user_input_describe = \"benefits of this product\"\n", - "\n", - "prompt = f\"\"\"Write a creative product description for {user_input_product}.\n", - "Keywords: {user_input_keywords}\n", - "Audience: {user_input_customer}\n", - "Describe: {user_input_describe}\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LYexg4Fc1NiC" - }, - "source": [ - "# Context" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "guVdc3ZildZP" - }, - "source": [ - "While LLMs excel in text generation tasks, they struggle in context-aware scenarios. In real applications, being able to add context to a prompt is key because this is what enables personalized generative AI for a team or company. It makes many use cases possible, such as intelligent assistants, customer support, and productivity tools, that retrieve the right information from a wide range of sources and add it to the prompt." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "x5SeWgap1bHL" - }, - "source": [ - "### Without Context" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 499 - }, - "id": "qn_TF3kD1X1h", - "outputId": "285fdcf4-076c-4b84-e3fe-107bea32fee2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The CO-1T wireless headphone is an innovative and feature-rich audio device designed for immersive listening experiences. Here are its key features: \n", - "\n", - "- Superior Sound Quality: CO-1T headphones deliver exceptional audio performance with clear and powerful sound. Enhanced bass response and crisp treble ensure an enjoyable listening experience across various music genres. \n", - "\n", - "- Active Noise Cancellation (ANC): Advanced ANC technology effectively blocks out unwanted background noise, allowing you to focus on your music, podcasts, or calls without distractions. You can fully immerse yourself in your audio world, even in noisy environments. \n", - "\n", - "- Transparency Mode: This mode uses the headphone's built-in microphones to amplify ambient sounds, ensuring you remain aware of your surroundings. It's ideal for situations where you need to be attentive, like when walking on busy streets or waiting for important announcements. \n", - "\n", - "- Long-lasting Battery Life: The CO-1T offers impressive battery performance, providing up to 30 hours of continuous playback on a single charge with ANC turned on. Even with heavy usage, you can rely on these headphones to keep the music going throughout the day. \n", - "\n", - "- Fast Charging: A quick 10-minute charge gives you up to 5 hours of listening time, ensuring you're never without your music for long. \n", - "\n", - "- Comfortable Design: The headphones feature soft, padded earcups and an adjustable headband for a comfortable fit, making them ideal for extended listening sessions. \n", - "\n", - "- Touch Controls: Easy-to-use touch controls on the earcups let you adjust volume, play/pause music, answer calls, and activate voice assistants with simple gestures. \n", - "\n", - "- Multipoint Connection: Connect to two devices simultaneously and seamlessly switch between them. This feature is handy when you want to connect to both your phone and laptop without constantly pairing and unpairing. \n", - "\n", - "- Voice Assistant Integration: Seamlessly access popular voice assistants like Siri and Google Assistant with a simple touch, allowing for hands-free control and added convenience. \n", - "\n", - "- Foldable Design: The headphones fold down to a compact size, making them easy to carry and store in the included hard case. \n", - "\n", - "- Bluetooth 5.0: Ensures a stable and reliable wireless connection with reduced audio latency and improved power efficiency. \n", - "\n", - "The CO-1T wireless headphones offer a comprehensive set of features that cater to modern audio enthusiasts, providing an exceptional blend of sound quality, convenience, and flexibility." - ] - } - ], - "source": [ - "user_input =\"What are the key features of the CO-1T wireless headphone\"\n", - "prompt = user_input\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "I6PNX9TC1fIv" - }, - "source": [ - "### With Context" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 53 - }, - "id": "HeSNBlxu1nLd", - "outputId": "89dc8ab1-dd6b-4fc9-b592-197cab187698" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The key features of the CO-1T wireless headphones are: \n", - "\n", - "- Noise-canceling capabilities: This feature allows the user to block out surrounding chaos and work or relax in peace, making it ideal for busy offices or commutes. \n", - "\n", - "- Fast-charging capacity: The CO-1T headphones can quickly charge, ensuring they are ready for use whenever needed and providing an efficient and convenient way to keep the headphones powered. \n", - "\n", - "- Wireless Bluetooth connectivity: With Bluetooth, the headphones offer a seamless and wire-free listening experience, giving the user freedom of movement and ease of use. \n", - "\n", - "- Partnership-designed: These headphones are designed in partnership with software developers who work in busy, chaotic environments, ensuring the product is tailored to the needs of those seeking a quiet, focused work environment. \n", - "\n", - "The CO-1T headphones seem to be a great option for anyone seeking a peaceful and productive day, offering a break from the overwhelming noise of the world." - ] - } - ], - "source": [ - "context = f\"\"\"Think back to the last time you were working without any distractions in the office. That's right...I bet it's been a while.\n", - "\n", - "With the newly improved CO-1T noise-cancelling Bluetooth headphones, you can work in peace all day. Designed in partnership with software developers who work around the mayhem of tech startups, these headphones are finally the break you've been waiting for.\n", - "\n", - "With fast charging capacity and wireless Bluetooth connectivity, the CO-1T is the easy breezy way to get through your day without being overwhelmed by the chaos of the world.\"\"\"\n", - "\n", - "user_input = \"What are the key features of the CO-1T wireless headphone\"\n", - "\n", - "prompt = f\"\"\"Given the information above, answer this question: {user_input}\n", - "\n", - "{context}\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "oPUjDfEg00-m" - }, - "source": [ - "# Examples" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "XhzAj8Z3lkXo" - }, - "source": [ - "All our prompts so far use what is called zero-shot prompting, which means that we are providing instruction without any example. But in many cases, it is extremely helpful to provide examples to the model to guide its response. This is called few-shot prompting.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "PyoklM3--BQV" - }, - "source": [ - "### Without Examples (Zero-Shot)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "9BbLgfCd7wCF", - "outputId": "969e0e54-b3d8-47a9-e142-442aafdec156" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Sure! I have drafted the following message: \n", - "\n", - "\"Hey Alison! I was wondering if you could pick me up tonight so we can go to the concert together. Let me know if that works for you!\" \n", - "\n", - "Would you like to revise the message before I send it?" - ] - } - ], - "source": [ - "prompt=\"\"\"Turn the following message to a virtual assistant into the correct action:\n", - "Send a message to Alison to ask if she can pick me up tonight to go to the concert together\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Wl6TcH0f-Dec" - }, - "source": [ - "### With Examples (Few-Shot)" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 35 - }, - "id": "UznDzM5G8Anc", - "outputId": "f51db9e4-a41e-4be6-d2a1-6405bb549a24" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Action: Can you pick me up tonight so we can go to the concert together?" - ] - } - ], - "source": [ - "user_input = \"Send a message to Alison to ask if she can pick me up tonight to go to the concert together\"\n", - "\n", - "prompt=f\"\"\"Turn the following message to a virtual assistant into the correct action:\n", - "\n", - "Message: Ask my aunt if she can go to the JDRF Walk with me October 6th\n", - "Action: can you go to the jdrf walk with me october 6th\n", - "\n", - "Message: Ask Eliza what should I bring to the wedding tomorrow\n", - "Action: what should I bring to the wedding tomorrow\n", - "\n", - "Message: Send message to supervisor that I am sick and will not be in today\n", - "Action: I am sick and will not be in today\n", - "\n", - "Message: {user_input}\n", - "Action:\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6xZAMOYYl9tg" - }, - "source": [ - "# Chain of Thought" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "CPd5NFy-l6bn" - }, - "source": [ - "One specific way to provide examples in a prompt is to show responses that include a reasoning step. This way, we are asking the model to “think” first rather than going straight to the response." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1gKI42UbNmsO" - }, - "source": [ - "### Without Examples" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "gunqEO1KhrUG", - "outputId": "4224a762-7620-4a50-83ad-0011d3a3d92c" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "There are 14 red balls in the box.\n", - "\n", - "The box originally had 5 red balls, as half of the 10 balls were red. With the addition of 4 more red balls, the total number of red balls becomes 9. Therefore, there are 14 red balls in the box." - ] - } - ], - "source": [ - "prompt=f\"\"\"Q: Roger has 5 tennis balls. He buys 2 more cans of tennis balls. Each can has 3 tennis balls. \\\n", - "How many tennis balls does he have now?\n", - "A: The answer is 11.\n", - "---\n", - "Q: The cafeteria had 23 apples. If they used 20 to make lunch and bought 6 more, how many apples do they have?\n", - "A: The answer is 9.\n", - "---\n", - "Q: A box has 10 balls and a half of the balls are red balls. How many red balls are in the box if 4 red balls are added?\n", - "A:\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Uim2wOX0NuLc" - }, - "source": [ - "### With Examples" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "iT7mW_diOHHu", - "outputId": "9f895612-bd76-4c21-f6a5-ae0dfe7145dd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Initially, there are 12 x 1/2 = 6 red balls in the box. After adding 4 red balls, there are 6 + 4 = 10 red balls. So, there are 10 red balls in the box." - ] - } - ], - "source": [ - "prompt=f\"\"\"Q: Roger has 5 tennis balls. He buys 2 more cans of tennis balls. Each can has 3 tennis balls. \\\n", - "How many tennis balls does he have now?\n", - "A: Roger started with 5 balls. 2 cans of 3 tennis balls each is 6 tennis balls. 5 + 6 = 11. \\\n", - "The answer is 11.\n", - "---\n", - "Q: The cafeteria had 23 apples. If they used 20 to make lunch and bought 6 more, how many apples do they have?\n", - "A: The cafeteria started with 23 apples. They used 20 to make lunch, so they have 23 - 20 = 3 apples. They bought 6 more apples, so they have 3 + 6 = 9 apples. \\\n", - "The answer is 9.\n", - "---\n", - "Q: A box has 12 balls and a half of the balls are red balls. How many red balls are in the box if 4 red balls are added?\n", - "A:\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RvTwyE0J3bgw" - }, - "source": [ - "# Format" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2jDfyweJmKc2" - }, - "source": [ - "We can also get the model to generate responses in a certain format. Let’s look at a couple of them: markdown tables and JSON strings." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "dlGb8YCS-H5H" - }, - "source": [ - "### Table Format" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 106 - }, - "id": "mtFvXfOi8wfz", - "outputId": "88fa6654-4fa3-4c60-fd0e-ed0efdd68e88" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "| Invoice Number | Merchant Name | Account Number |\n", - "| --- | --- | --- |\n", - "| INVOICE #0521 | ALLBIRDS | XXX3846 |\n", - "| INVOICE #6781 | SHOPPERS | XXX9877 |\n", - "| INVOICE #0777 | CN TOWER | XXX3846 |" - ] - } - ], - "source": [ - "prompt=\"\"\"Turn the following information into a table with columns Invoice Number, Merchant Name, and Account Number.\n", - "Bank Invoice: INVOICE #0521 MERCHANT ALLBIRDS ACC XXX3846\n", - "Bank Invoice: INVOICE #6781 MERCHANT SHOPPERS ACC XXX9877\n", - "Bank Invoice: INVOICE #0777 MERCHANT CN TOWER ACC XXX3846\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "grTLFunv-KCB" - }, - "source": [ - "### JSON Format" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 356 - }, - "id": "3JzPmh9E9O9F", - "outputId": "6a1ae434-31e7-4c29-b13d-37be5aee8d9c" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "```json\n", - "[\n", - " {\n", - " \"Invoice Number\": \"INVOICE #0521\",\n", - " \"Merchant Name\": \"ALLBIRDS\",\n", - " \"Account Number\": \"XXX3846\"\n", - " },\n", - " {\n", - " \"Invoice Number\": \"INVOICE #6781\",\n", - " \"Merchant Name\": \"SHOPPERS\",\n", - " \"Account Number\": \"XXX9877\"\n", - " },\n", - " {\n", - " \"Invoice Number\": \"INVOICE #0777\",\n", - " \"Merchant Name\": \"CN TOWER\",\n", - " \"Account Number\": \"XXX3846\"\n", - " }\n", - "]\n", - "```" - ] - } - ], - "source": [ - "prompt=\"\"\"Turn the following information into a JSON string with the following keys: Invoice Number, Merchant Name, and Account Number.\n", - "Bank Invoice: INVOICE #0521 MERCHANT ALLBIRDS ACC XXX3846\n", - "Bank Invoice: INVOICE #6781 MERCHANT SHOPPERS ACC XXX9877\n", - "Bank Invoice: INVOICE #0777 MERCHANT CN TOWER ACC XXX3846\n", - "\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Df4lLD-P3aj_" - }, - "source": [ - "# Steps" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8O7f5E0FmW7S" - }, - "source": [ - "To steer the model toward generating higher-quality responses, it can be helpful to add instructions for the model to generate intermediate steps before generating the final output. The information generated during these steps helps enrich the model’s context before it generates the final response." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "McRoWYXzxxTS" - }, - "source": [ - "### Without Steps" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 463 - }, - "id": "lSnOS2kkALZj", - "outputId": "ba85c10e-daf2-4401-995a-3307ff583478" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here's a startup idea for the education industry:\n", - "\n", - "**Personalized Learning Platform**\n", - "\n", - "The idea is to create an online platform that offers personalized learning experiences for students. The platform would use advanced algorithms and machine learning techniques to adapt to the unique needs and learning style of each student.\n", - "\n", - "Here's how it could work:\n", - "\n", - "- **Assessment:** When a student signs up, they take an initial assessment to determine their current knowledge and skill level in a particular subject. The platform also evaluates their learning preferences, such as visual, auditory, or kinesthetic learning.\n", - "- **Personalized Curriculum:** Based on the assessment results, the platform generates a personalized curriculum for each student. This curriculum adapts as the student progresses, ensuring that the content and pace of learning are tailored to their individual needs.\n", - "- **Interactive Content:** The platform offers a variety of interactive content types, including videos, simulations, games, and virtual reality experiences, to engage students and cater to different learning styles.\n", - "- **Real-time Feedback:** The system provides immediate feedback to students as they complete assignments and quizzes, helping them understand their strengths and weaknesses and allowing them to adjust their learning strategies accordingly.\n", - "- **Adaptive Practice:** The platform uses spaced repetition and adaptive learning techniques to reinforce concepts and ensure that students retain information over time.\n", - "- **Teacher Support:** In addition to the personalized learning experience, the platform provides teachers with tools to track student progress, identify areas of improvement, and offer additional support and resources as needed.\n", - "- **Collaborative Features:** Students can also interact with their peers through discussion forums, study groups, and collaborative projects, fostering a sense of community and allowing them to learn from each other.\n", - "\n", - "The key advantage of this startup idea is its ability to personalize the learning experience for each student, ensuring that they receive education tailored to their unique needs and learning style. This can lead to improved academic performance, increased engagement, and a more enjoyable learning journey.\n", - "\n", - "To monetize the platform, you could consider subscription models for students or schools, offering different tiers of access and support. Partnerships with educational institutions and companies that provide interactive learning content could also be explored.\n", - "\n", - "This startup idea leverages technology to revolutionize the way students learn, making education more effective, engaging, and accessible to all." - ] - } - ], - "source": [ - "user_input = \"education\"\n", - "\n", - "prompt = f\"\"\"Generate a startup idea for this industry: {user_input}\"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.5)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nUfEbk8-xzLi" - }, - "source": [ - "### With Steps" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 392 - }, - "id": "aJ6TM2JS-gFG", - "outputId": "2ac18a82-152d-4db2-92e5-fd9a2b6fd3e0" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Industry: Education\n", - "\n", - "The Problem: Students often struggle to retain information and stay engaged during traditional lecture-based learning sessions. This can lead to lower academic performance and a lack of interest in the subject matter. The challenge is to make learning more interactive, immersive, and engaging to improve knowledge retention and academic outcomes.\n", - "\n", - "Audience: This startup idea targets two primary audiences. The first is students in middle school, high school, and college who are looking for alternative and more effective ways to learn and retain information. The second audience is educational institutions and teachers who are always seeking innovative methods to enhance their teaching practices and improve student engagement and outcomes.\n", - "\n", - "Startup Idea: Immersive Learning Experiences\n", - "\n", - "The startup idea is to create an educational platform that offers immersive and interactive learning experiences to students. The platform will utilize virtual reality (VR) and augmented reality (AR) technologies to develop interactive and engaging learning modules across various subjects.\n", - "\n", - "Here's how it works:\n", - "\n", - "- Students will be able to access the platform and choose from a range of interactive learning experiences tailored to different subjects and topics.\n", - "- Each learning module will be designed to be highly immersive and interactive. For example, a history lesson might involve a VR reconstruction of an ancient battlefield, allowing students to explore and interact with the environment while learning about key historical events.\n", - "- The platform will also incorporate gamification elements, such as quests, challenges, and leaderboards, to encourage student engagement and create a fun learning environment.\n", - "- The learning experiences will be developed in collaboration with subject matter experts and educators to ensure accuracy and pedagogical effectiveness.\n", - "- Teachers will also have the ability to customize and adapt the modules to suit the specific needs of their classrooms.\n", - "\n", - "By using immersive technologies and interactive storytelling, the startup will transform passive learners into active participants, greatly enhancing knowledge retention and making learning an enjoyable experience.\n", - "\n", - "Startup Name: ImmerseEdu" - ] - } - ], - "source": [ - "user_input = \"education\"\n", - "\n", - "prompt = f\"\"\"Generate a startup idea for this industry: {user_input}\n", - "First, describe the problem to be solved.\n", - "Next, describe the target audience of this startup idea.\n", - "Next, describe the startup idea and how it solves the problem for the target audience.\n", - "Next, provide a name for the given startup.\n", - "\n", - "Use the following format:\n", - "Industry: \n", - "The Problem: \n", - "Audience: \n", - "Startup Idea: \n", - "Startup Name: \"\"\"\n", - "\n", - "generate_text(prompt, temperature=0.9)" - ] - } - ], - "metadata": { - "colab": { - "collapsed_sections": [ - "IKqOwwW6kxg5" - ], - "provenance": [], - "toc_visible": true - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Embed_Endpoint.ipynb b/notebooks/llmu/Embed_Endpoint.ipynb index 3a816048..ed2e218b 100644 --- a/notebooks/llmu/Embed_Endpoint.ipynb +++ b/notebooks/llmu/Embed_Endpoint.ipynb @@ -1,751 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "_pmaIlTcugAd" - }, - "source": [ - "# The Embed Endpoint\n", - "\n", - "In this lab, we'll learn how to analyze a text dataset using Cohere's Embed cohere endpoint. This colab accompanies the [Embed endpoint lesson](https://docs.cohere.com/docs/embed-endpoint/) of LLM University." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "1ys2CHEgurfe" - }, - "source": [ - "# Setting Up\n", - "The first step is to install the Cohere Python SDK. Next, create an API key, which you can generate from the Cohere [dashboard](https://os.cohere.ai/register) or [CLI tool](https://docs.cohere.ai/cli-key)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "QdEURifRRUgy" - }, - "outputs": [], - "source": [ - "# Install the libraries\n", - "# TODO: upgrade to \"cohere>5\"\n", - "! pip install cohere altair umap-learn -q" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "YYi9JxxFRWX7" - }, - "outputs": [], - "source": [ - "# Import the libraries\n", - "import cohere\n", - "import pandas as pd\n", - "import numpy as np\n", - "import altair as alt\n", - "import textwrap as tr\n", - "\n", - "# Setup the Cohere client\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4un3lbJmRxNz" - }, - "source": [ - "# Analyzing Text" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "abSwokLuAofs" - }, - "source": [ - "Cohere’s Embed endpoint takes a piece of text and turns it into a vector embedding. Embeddings represent text in the form of numbers that capture its meaning and context. What it means is that it gives you the ability to turn unstructured text data into a structured form. It opens up ways to analyze and extract insights from them.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Lr-kAdCSoqmK" - }, - "source": [ - "## Get embeddings" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "_2xklTNZA5iC" - }, - "source": [ - "Here we have a list of 50 top web search terms about Hello, World! taken from a keyword tool. Let’s look at a few examples:" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "XEqrl7yoouG7", - "outputId": "b6edc12a-e716-4911-dbc1-6cbd91d638d2" - }, - "outputs": [ + "cells": [ { - "data": { - "text/html": [ - "\n", - "
    \n", - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    search_term
    0how to print hello world in python
    1what is hello world
    2how do you write hello world in an alert box
    3how to print hello world in java
    4how to write hello world in eclipse
    \n", - "
    \n", - "
    \n", - "\n", - "
    \n", - " \n", - "\n", - " \n", - "\n", - " \n", - "
    \n", - "\n", - "\n", - "
    \n", - " \n", - "\n", - "\n", - "\n", - " \n", - "
    \n", - "
    \n", - "
    \n" - ], - "text/plain": [ - " search_term\n", - "0 how to print hello world in python\n", - "1 what is hello world\n", - "2 how do you write hello world in an alert box\n", - "3 how to print hello world in java\n", - "4 how to write hello world in eclipse" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Embed_Endpoint.ipynb." ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Get a list of texts and add to a dataframe\n", - "df = pd.read_csv(\"https://github.com/cohere-ai/notebooks/raw/main/notebooks/data/hello-world-kw.csv\", names=[\"search_term\"])\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "x_CmphwPBI4S" - }, - "source": [ - "We use the Embed endpoint to get the embeddings for each of these serach terms." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "a0wH95UrpBqf" - }, - "outputs": [], - "source": [ - "# A function that classifies a list of inputs given the examples\n", - "def embed_text(texts):\n", - " \"\"\"\n", - " Turns a piece of text into embeddings\n", - " Arguments:\n", - " text(str): the text to be turned into embeddings\n", - " Returns:\n", - " embedding(list): the embeddings\n", - " \"\"\"\n", - " # Embed text by calling the Embed endpoint\n", - " output = co.embed(\n", - " model=\"embed-english-v3.0\",\n", - " input_type=\"search_document\",\n", - " texts=texts)\n", - " embedding = output.embeddings\n", - "\n", - " return embedding" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "nDfgCFclMYI3" - }, - "outputs": [], - "source": [ - "# Get embeddings of all search terms\n", - "df[\"search_term_embeds\"] = embed_text(df[\"search_term\"].tolist())\n", - "embeds = np.array(df[\"search_term_embeds\"].tolist())" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "EwboOr4qR284" - }, - "source": [ - "### Semantic Search\n", - "\n", - "**Note:** This semantic search section is not contained in the blog post, but we encourage you to still check it out if you'd like to see how the embedding is used for searching in the dataset!" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TcH4RUtFBVcQ" - }, - "source": [ - "We’ll look at a couple of example applications. The first example is semantic search. Given a new query, our \"search engine\" must return the most similar FAQs, where the FAQs are the 50 search terms we uploaded earlier.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "aCvHJMGupz_Y" - }, - "outputs": [], - "source": [ - "# Add a new query\n", - "new_query = \"what is the history of hello world\"\n", - "\n", - "# Get embeddings of the new query\n", - "new_query_embeds = embed_text([new_query])[0]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "inIpSZMUB7rz" - }, - "source": [ - "We use cosine similarity to compare the similarity of the new query with each of the FAQs" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "id": "Pz_zMHh5pyVN" - }, - "outputs": [], - "source": [ - "# Calculate cosine similarity\n", - "\n", - "from sklearn.metrics.pairwise import cosine_similarity\n", - "\n", - "def get_similarity(target, candidates):\n", - " \"\"\"\n", - " Computes the similarity between a target text and a list of other texts\n", - " Arguments:\n", - " target(list[float]): the target text\n", - " candidates(list[list[float]]): a list of other texts, or candidates\n", - " Returns:\n", - " sim(list[tuple]): candidate IDs and the similarity scores\n", - " \"\"\"\n", - " # Turn list into array\n", - " candidates = np.array(candidates)\n", - " target = np.expand_dims(np.array(target),axis=0)\n", - "\n", - " # Calculate cosine similarity\n", - " sim = cosine_similarity(target,candidates)\n", - " sim = np.squeeze(sim).tolist()\n", - "\n", - " # Sort by descending order in similarity\n", - " sim = list(enumerate(sim))\n", - " sim = sorted(sim, key=lambda x:x[1], reverse=True)\n", - "\n", - " # Return similarity scores\n", - " return sim" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0zM-Z_3zC-xJ" - }, - "source": [ - "Finally, we display the top 5 FAQs that match the new query" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "vtnMXIqzo72i", - "outputId": "317f0cfd-8ac1-4b7e-cf10-b439dc7a204a" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "New query:\n", - "what is the history of hello world \n", - "\n", - "Similar queries:\n", - "Similarity: 0.86; how did hello world originate\n", - "Similarity: 0.84; what is hello world\n", - "Similarity: 0.83; how to do hello world\n", - "Similarity: 0.81; why hello world\n", - "Similarity: 0.81; where did hello world come from\n" - ] } - ], - "source": [ - "# Get the similarity between the new query and existing queries\n", - "similarity = get_similarity(new_query_embeds,embeds)\n", - "\n", - "# Display the top 5 FAQs\n", - "print(\"New query:\")\n", - "print(new_query,'\\n')\n", - "\n", - "print(\"Similar queries:\")\n", - "for idx,score in similarity[:5]:\n", - " print(f\"Similarity: {score:.2f};\", df.iloc[idx][\"search_term\"])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2c3lK3bCR42j" - }, - "source": [ - "### Semantic Exploration" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "23MbL2j3DIbY" - }, - "source": [ - "In the second example, we take the same idea as semantic search and take a broader look, which is exploring huge volumes of text and analyzing their semantic relationships.\n", - "\n", - "We'll use the same 50 top web search terms about Hello, World! There are different techniques we can use to compress the embeddings down to just 2 dimensions while retaining as much information as possible. We'll use a technique called UMAP. And once we can get it down to 2 dimensions, we can plot these embeddings on a 2D chart." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "id": "SS7QVt_GRskI" - }, - "outputs": [], - "source": [ - "# Reduce the embeddings' dimensions to 2 using UMAP\n", - "import umap\n", - "reducer = umap.UMAP(n_neighbors=49)\n", - "umap_embeds = reducer.fit_transform(embeds)\n", - "\n", - "# Add the 2 dimensions to the dataframe\n", - "df['x'] = umap_embeds[:,0]\n", - "df['y'] = umap_embeds[:,1]" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 763 - }, - "id": "X132m02guyA1", - "outputId": "85750bb9-20f9-4721-839c-a33792f2b226" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" - ] - }, - "execution_count": 12, - "metadata": {}, - "output_type": "execute_result" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Plot the 2-dimension embeddings on a chart\n", - "chart = alt.Chart(df).mark_circle(size=500).encode(\n", - " x=\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - "\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - "\n", - " tooltip=['search_term']\n", - " )\n", - "\n", - "text = chart.mark_text(align='left', dx=15, size=12, color='black'\n", - " ).encode(text='search_term', color= alt.value('black'))\n", - "\n", - "result = (chart + text).configure(background=\"#FDF7F0\"\n", - " ).properties(\n", - " width=1000,\n", - " height=700,\n", - " title=\"2D Embeddings\"\n", - " )\n", - "\n", - "result.interactive()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "5XTtVliyNVyO" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3.10.0 64-bit ('3.10.0')", - "language": "python", - "name": "python3" - }, - "language_info": { - "name": "python", - "version": "3.11.4" }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/End_To_End_Wikipedia_Search.ipynb b/notebooks/llmu/End_To_End_Wikipedia_Search.ipynb index 30a30ed4..286a42ad 100644 --- a/notebooks/llmu/End_To_End_Wikipedia_Search.ipynb +++ b/notebooks/llmu/End_To_End_Wikipedia_Search.ipynb @@ -1,1091 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LSfTvkvzWDxd" - }, - "source": [ - "# Comparing different search methods for Wikipedia\n", - "\n", - "In this notebook we study several different ways to query a Wikipedia database, including:\n", - "- Keyword search \n", - "- Dense retrieval\n", - "- Reranking\n", - "\n", - "Furthermore, we combine the power of search with Cohere's Chat endpoint in order to output accurate answers in sentence format to a query.\n", - "\n", - "This notebook accompanies the [Semantic Search](https://docs.cohere.com/docs/intro-semantic-search) section of LLM University." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "D19hmGMAR78j" - }, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "j63JHf4QSEv1", - "outputId": "7b551cc4-15bc-49fc-90ed-f93fd38711eb" - }, - "outputs": [], - "source": [ - "! pip install cohere weaviate-client==4.5.4 -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "import weaviate\n", - "import cohere" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# Fill in your API key here. Remember to not share publicly\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Connect to the Weaviate demo database containing 10M wikipedia vectors\n", - "auth_config = weaviate.auth.AuthApiKey(api_key=\"76320a90-53d8-42bc-b41d-678647c6672e\")\n", - "client = weaviate.Client(\n", - " url=\"https://cohere-demo.weaviate.network/\",\n", - " auth_client_secret=auth_config,\n", - " additional_headers={\n", - " \"X-Cohere-Api-Key\": \"COHERE_API_KEY\",\n", - " }\n", - ")\n", - "\n", - "client.is_ready() # check if True" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YtKPrTy7SLrG" - }, - "source": [ - "# Keyword Search\n", - "\n", - "This section accompanies the [Keyword Search](https://docs.cohere.com/docs/keyword-search) chapter of LLM University.\n", - "\n", - "We'll search for two queries using keyword search.\n", - "- Simple query: \"Who discovered penicillin?\" (Answer: Alexander Fleming)\n", - "- Hard query: \"Who was the first person to win two Nobel prizes?\" (Answer: Marie Curie)\n", - "\n", - "You will notice that keyword search performs very well with the simple query, and not so well with the hard one." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "njgAkvn5R7Vx" - }, - "outputs": [], - "source": [ - "def keyword_search(query, results_lang='en', num_results=10):\n", - " properties = [\"text\", \"title\", \"url\", \"views\", \"lang\", \"_additional {distance}\"]\n", - "\n", - " where_filter = {\n", - " \"path\": [\"lang\"],\n", - " \"operator\": \"Equal\",\n", - " \"valueString\": results_lang\n", - " }\n", - "\n", - " response = (\n", - " client.query.get(\"Articles\", properties)\n", - " .with_bm25(\n", - " query=query\n", - " )\n", - " .with_where(where_filter)\n", - " .with_limit(num_results)\n", - " .do()\n", - " )\n", - " result = response['data']['Get']['Articles']\n", - " return result" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "DmYe2CYJSNdF" - }, - "outputs": [], - "source": [ - "def print_result(result):\n", - " \"\"\" Print results with colorful formatting \"\"\"\n", - " for item in result:\n", - " print(f\"\\033[95m{item['title']} ({item['views']}) \\033[0m\")\n", - " print(f\"\\033[4m{item['url']}\\033[0m\")\n", - " print(item['text'])\n", - " print()" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "0qoZVPO5SWrw", - "outputId": "beea4cb3-3bd4-4716-8331-528bc76897bb" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "When Alexander Fleming discovered the crude penicillin in 1928, one important observation he made was that many bacteria were not affected by penicillin. This phenomenon was realised by Ernst Chain and Edward Abraham while trying to identify the exact of penicillin. In 1940, they discovered that unsusceptible bacteria like \"Escherichia coli\" produced specific enzymes that can break down penicillin molecules, thus making them resistant to the antibiotic. They named the enzyme penicillinase. Penicillinase is now classified as member of enzymes called β-lactamases. These β-lactamases are naturally present in many other bacteria, and many bacteria produce them upon constant exposure to antibiotics. In most bacteria, resistance can be through three different mechanisms: reduced permeability in bacteria, reduced binding affinity of the penicillin-binding proteins (PBPs) or destruction of the antibiotic through the expression of β-lactamase. Using any of these, bacteria commonly develop resistance to different antibiotics, a phenomenon called multi-drug resistance.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "Enzymatic destruction by β-lactamases is the most important mechanism of penicillin resistance, and is described as \"the greatest threat to the usage [of penicillins]\". It was the first discovered mechanism of penicillin resistance. During the experiments when purification and biological activity tests of penicillin were performed in 1940, it was found that \"E. coli\" was unsusceptible. The reason was discovered as production of an enzyme penicillinase (hence, the first β-lactamase known) in \"E. coli\" that easily degraded penicillin. There are over 2,000 types of β-lactamases each of which has unique amino acid sequence, and thus, enzymatic activity. All of them are able to hydrolyse β-lactam rings but their exact target sites are different. They are secreted on the bacterial surface in large quantities in Gram-positive bacteria but less so in Gram-negative species. Therefore, in a mixed bacterial infection, the Gram-positive bacteria can protect the otherwise penicillin-susceptible Gram-negative cells.\n", - "\n", - "\u001b[95mAntibiotic (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=1805\u001b[0m\n", - "Ernst Chain, Howard Florey and Edward Abraham succeeded in purifying the first penicillin, penicillin G, in 1942, but it did not become widely available outside the Allied military before 1945. Later, Norman Heatley developed the back extraction technique for efficiently purifying penicillin in bulk. The chemical structure of penicillin was first proposed by Abraham in 1942 and then later confirmed by Dorothy Crowfoot Hodgkin in 1945. Purified penicillin displayed potent antibacterial activity against a wide range of bacteria and had low toxicity in humans. Furthermore, its activity was not inhibited by biological constituents such as pus, unlike the synthetic sulfonamides. (see below) The development of penicillin led to renewed interest in the search for antibiotic compounds with similar efficacy and safety. For their successful development of penicillin, which Fleming had accidentally discovered but could not develop himself, as a therapeutic drug, Chain and Florey shared the 1945 Nobel Prize in Medicine with Fleming.\n", - "\n", - "\u001b[95mAlexander Fleming (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=1937\u001b[0m\n", - "Fleming also discovered very early that bacteria developed antibiotic resistance whenever too little penicillin was used or when it was used for too short a period. Almroth Wright had predicted antibiotic resistance even before it was noticed during experiments. Fleming cautioned about the use of penicillin in his many speeches around the world. On 26 June 1945, he made the following cautionary statements: \"the microbes are educated to resist penicillin and a host of penicillin-fast organisms is bred out ... In such cases the thoughtless person playing with penicillin is morally responsible for the death of the man who finally succumbs to infection with the penicillin-resistant organism. I hope this evil can be averted.\" He cautioned not to use penicillin unless there was a properly diagnosed reason for it to be used, and that if it were used, never to use too little, or for too short a period, since these are the circumstances under which bacterial resistance to antibiotics develops.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "The term \"penicillin\" is defined as the natural product of \"Penicillium\" mould with antimicrobial activity. It was coined by Alexander Fleming on 7 March 1929 when he discovered the antibacterial property of \"Penicillium rubens\". Fleming explained in his 1929 paper in the \"British Journal of Experimental Pathology\" that \"to avoid the repetition of the rather cumbersome phrase 'Mould broth filtrate', the name 'penicillin' will be used.\" The name thus refers to the scientific name of the mould, as described by Fleming in his Nobel lecture in 1945:I have been frequently asked why I invented the name \"Penicillin\". I simply followed perfectly orthodox lines and coined a word which explained that the substance penicillin was derived from a plant of the genus Penicillium just as many years ago the word \"Digitalin\" was invented for a substance derived from the plant \"Digitalis\".In modern usage, the term penicillin is used more broadly to refer to any β-lactam antimicrobial that contains a thiazolidine ring fused to the β-lactam core and may or may not be a natural product. Like most natural products, penicillin is present in \"Penicillium\" moulds as a mixture of active constituents (gentamicin is another example of a natural product that is an ill-defined mixture of active components). The principal active components of \"Penicillium\" are listed in the following table:\"\"\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "Penicillin was discovered in 1928 by Scottish scientist Alexander Fleming as a crude extract of \"P. rubens\". Fleming's student Cecil George Paine was the first to successfully use penicillin to treat eye infection (Ophthalmia neonatorum) in 1930. The purified compound (penicillin F) was isolated in 1940 by a research team led by Howard Florey and Ernst Boris Chain at the University of Oxford. Fleming first used the purified penicillin to treat streptococcal meningitis in 1942. The 1945 Nobel Prize in Physiology or Medicine was shared by Chain, Fleming, and Florey.\n", - "\n", - "\u001b[95mStaphylococcus aureus (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=118212\u001b[0m\n", - "In 1880, Alexander Ogston, a Scottish surgeon, discovered that \"Staphylococcus\" can cause wound infections after noticing groups of bacteria in pus from a surgical abscess during a procedure he was performing. He named it \"Staphylococcus\" after its clustered appearance evident under a microscope. Then, in 1884, German scientist Friedrich Julius Rosenbach identified \"Staphylococcus aureus\", discriminating and separating it from \"Staphylococcus albus\", a related bacterium. In the early 1930s, doctors began to use a more streamlined test to detect the presence of an \"S. aureus\" infection by the means of coagulase testing, which enables detection of an enzyme produced by the bacterium. Prior to the 1940s, \"S. aureus\" infections were fatal in the majority of patients. However, doctors discovered that the use of penicillin could cure \"S. aureus\" infections. Unfortunately, by the end of the 1940s, penicillin resistance became widespread amongst this bacterium population and outbreaks of the resistant strain began to occur.\n", - "\n", - "\u001b[95mDoxycycline (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=660870\u001b[0m\n", - "After penicillin revolutionized the treatment of bacterial infections in WWII, many chemical companies moved into the field of discovering antibiotics by bioprospecting. American Cyanamid was one of these, and in the late 1940s chemists there discovered chlortetracycline, the first member of the tetracycline class of antibiotics. Shortly thereafter, scientists at Pfizer discovered terramycin and it was brought to market. Both compounds, like penicillin, were natural products and it was commonly believed that nature had perfected them, and further chemical changes could only degrade their effectiveness. Scientists at Pfizer led by Lloyd Conover modified these compounds, which led to the invention of tetracycline itself, the first semi-synthetic antibiotic. Charlie Stephens' group at Pfizer worked on further analogs and created one with greatly improved stability and pharmacological efficacy: doxycycline. It was clinically developed in the early 1960s and approved by the FDA in 1967.\n", - "\n", - "\u001b[95mRoaring Twenties (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=369155\u001b[0m\n", - "For decades biologists had been at work on the medicine that became penicillin. In 1928, Scottish biologist Alexander Fleming discovered a substance that killed a number of disease-causing bacteria. In 1929, he named the new substance penicillin. His publications were largely ignored at first, but it became a significant antibiotic in the 1930s. In 1930, Cecil George Paine, a pathologist at Sheffield Royal Infirmary, used penicillin to treat sycosis barbae, eruptions in beard follicles, but was unsuccessful. Moving to ophthalmia neonatorum, a gonococcal infection in infants, he achieved the first recorded cure with penicillin, on November 25, 1930. He then cured four additional patients (one adult and three infants) of eye infections, but failed to cure a fifth.\n", - "\n", - "\u001b[95mAlexander Fleming (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=1937\u001b[0m\n", - "The popular story of Winston Churchill's father paying for Fleming's education after Fleming's father saved young Winston from death is false. According to the biography, \"Penicillin Man: Alexander Fleming and the Antibiotic Revolution\" by Kevin Brown, Alexander Fleming, in a letter to his friend and colleague Andre Gratia, described this as \"A wondrous fable.\" Nor did he save Winston Churchill himself during World War II. Churchill was saved by Lord Moran, using sulphonamides, since he had no experience with penicillin, when Churchill fell ill in Carthage in Tunisia in 1943. \"The Daily Telegraph\" and \"The Morning Post\" on 21 December 1943 wrote that he had been saved by penicillin. He was saved by the new sulphonamide drug Sulphapyridine, known at the time under the research code M&B 693, discovered and produced by May & Baker Ltd, Dagenham, Essex – a subsidiary of the French group Rhône-Poulenc. In a subsequent radio broadcast, Churchill referred to the new drug as \"This admirable M&B\". It is highly probable that the correct information about the sulphonamide did not reach the newspapers because, since the original sulphonamide antibacterial, Prontosil, had been a discovery by the German laboratory Bayer, and as Britain was at war with Germany at the time, it was thought better to raise British morale by associating Churchill's cure with a British discovery, penicillin.\n", - "\n" - ] - } - ], - "source": [ - "simple_query = \"Who discovered penicillin?\"\n", - "keyword_search_results_simple = keyword_search(simple_query)\n", - "print_result(keyword_search_results_simple)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "R29ttbW6Se_7", - "outputId": "5a8ef067-2a29-44cf-9dee-5d6f9b39f289" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mNeutrino (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21485\u001b[0m\n", - "In the 1960s, the now-famous Homestake experiment made the first measurement of the flux of electron neutrinos arriving from the core of the Sun and found a value that was between one third and one half the number predicted by the Standard Solar Model. This discrepancy, which became known as the solar neutrino problem, remained unresolved for some thirty years, while possible problems with both the experiment and the solar model were investigated, but none could be found. Eventually, it was realized that both were actually correct and that the discrepancy between them was due to neutrinos being more complex than was previously assumed. It was postulated that the three neutrinos had nonzero and slightly different masses, and could therefore oscillate into undetectable flavors on their flight to the Earth. This hypothesis was investigated by a new series of experiments, thereby opening a new major field of research that still continues. Eventual confirmation of the phenomenon of neutrino oscillation led to two Nobel prizes, to R. Davis, who conceived and led the Homestake experiment, and to A.B. McDonald, who led the SNO experiment, which could detect all of the neutrino flavors and found no deficit.\n", - "\n", - "\u001b[95mWestern culture (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21208262\u001b[0m\n", - "By the will of the Swedish inventor Alfred Nobel the Nobel Prizes were established in 1895. The prizes in Chemistry, Literature, Peace, Physics, and Physiology or Medicine were first awarded in 1901. The percentage of ethnically European Nobel prize winners during the first and second halves of the 20th century were respectively 98 and 94 percent.\n", - "\n", - "\u001b[95mReality television (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=38539\u001b[0m\n", - "Game shows like \"Weakest Link\", \"Who Wants to Be a Millionaire?\", \"American Gladiators\" and \"Deal or No Deal\", which were popular in the 2000s, also lie in a gray area: like traditional game shows (e.g., \"The Price Is Right\", \"Jeopardy!\"), the action takes place in an enclosed television studio over a short period of time; however, they have higher production values, more dramatic background music, and higher stakes than traditional shows (done either through putting contestants into physical danger or offering large cash prizes). In addition, there is more interaction between contestants and hosts, and in some cases, they feature reality-style contestant competition or elimination as well. These factors, as well as these shows' rise in global popularity at the same time as the arrival of the reality craze, have led to such shows often being grouped under both the reality television and game show umbrellas. There have been various hybrid reality-competition shows, like the worldwide-syndicated \"Star Academy\", which combines the \"Big Brother\" and \"Idol\" formats, \"The Biggest Loser\", which combines competition with the self-improvement format, and \"American Inventor\", which uses the \"Idol\" format for products instead of people. Some reality shows that aired mostly during the early 2000s, such as \"Popstars\", \"Making the Band\" and \"Project Greenlight\", devoted the first part of the season to selecting a winner, and the second part to showing that person or group of people working on a project.\n", - "\n", - "\u001b[95mPeter Mullan (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=3242135\u001b[0m\n", - "Mullan is an art house movie director. He won a Golden Lion at 59th Venice International Film Festival for \"The Magdalene Sisters\" (2002), listed by many critics among the best films of 2003 and nominated for BAFTA Award for Best British Film and European Film Award for best film, and a Golden Shell at San Sebastián International Film Festival for \"Neds\" (2010). He is the only person to win top prizes both for acting (Cannes Best Actor award for \"My Name Is Joe\") and for the best film (Golden Lion for \"The Magdalene Sisters\") at major European film festivals.\n", - "\n", - "\u001b[95mIndiana Pacers (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=72875\u001b[0m\n", - "From 1989 to 1993, the Pacers would play at or near .500 and qualify for the playoffs; in 1989–90, the Pacers parlayed a fast start into the team's third playoff appearance under coach Bob Hill. But the Pacers were swept by the Detroit Pistons, who would go on to win their second consecutive NBA Championship. Reggie Miller became the first Pacer to play in the All-Star Game since 1976 on the strength of his 24.6 points-per-game average. Despite four straight first-round exits, this period was highlighted by a first-round series with the Boston Celtics in 1991 that went to Game 5. The next season, the Pacers returned to the playoffs in 1992 and met the Celtics for the second year in a row. But this time, the Celtics left no doubt who was the better team, as they swept the Pacers in three straight games. Chuck Person and point guard Micheal Williams were traded to the Minnesota Timberwolves in the off-season, and the Pacers got Pooh Richardson and Sam Mitchell in return. For the 1992–93 season, Detlef Schrempf moved from sixth man to the starter at small forward and was elected to his first All-Star game. Meanwhile, Miller became the Pacers' all-time NBA era leading scorer during this season (4th overall). The Pacers returned to the playoffs with a 41–41 record, but lost to the New York Knicks in the first round, three games to one.\n", - "\n", - "\u001b[95mWilliam Regal (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=690254\u001b[0m\n", - "On 30 June, Regal was sent to SmackDown! as part of an eleven-person trade during the draft. His first match on \"SmackDown!\" was on 7 July against Matt Morgan in what was to be Morgan's final WWE match. It was interrupted before Regal was even able to enter the ring by Mexicools. His first full match as part of the roster was a loss to Chris Benoit in a catch wrestling match on 16 July episode of \"Velocity\". On 4 August, Regal was scheduled to go one on one with Scotty 2 Hotty, but the Mexicools came in and attacked both men. Two weeks later, they teamed up on \"SmackDown!\" against Psicosis and Super Crazy with Juventud in their corner. Halfway through the match, Regal betrayed Scotty by refusing to tag him and walked out of the ring with a smirk on his face, turning heel as a result and allowing the Mexicools to pick up the win. Two days later, Regal cut a promo telling the crowd that he had returned to his former self, referring to himself as a \"scoundrel\" and a \"rogue\". The promo ended when Scotty ran to the ring and attacked Regal. The following week, a match between the two was cut short when the debuting Paul Burchill interfered to aid his countryman. Regal went on to take Burchill under his wing and tag with him on the hunt for the WWE Tag Team Championship, but the team's biggest exposure was a loss in a handicap match against Bobby Lashley at Armageddon.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The Nobel Foundation was founded as a private organization on 29 June 1900. Its function is to manage the finances and administration of the Nobel Prizes. In accordance with Nobel's will, the primary task of the foundation is to manage the fortune Nobel left. Robert and Ludvig Nobel were involved in the oil business in Azerbaijan, and according to Swedish historian E. Bargengren, who accessed the Nobel family archive, it was this \"decision to allow withdrawal of Alfred's money from Baku that became the decisive factor that enabled the Nobel Prizes to be established\". Another important task of the Nobel Foundation is to market the prizes internationally and to oversee informal administration related to the prizes. The foundation is not involved in the process of selecting the Nobel laureates. In many ways, the Nobel Foundation is similar to an investment company, in that it invests Nobel's money to create a solid funding base for the prizes and the administrative activities. The Nobel Foundation is exempt from all taxes in Sweden (since 1946) and from investment taxes in the United States (since 1953). Since the 1980s, the foundation's investments have become more profitable and as of 31 December 2007, the assets controlled by the Nobel Foundation amounted to 3.628 billion Swedish \"kronor\" (c. US$560 million).\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "In terms of the most prestigious awards in STEM fields, only a small proportion have been awarded to women. Out of 210 laureates in Physics, 181 in Chemistry and 216 in Medicine between 1901 and 2018, there were only three female laureates in physics, five in chemistry and 12 in medicine. Factors proposed to contribute to the discrepancy between this and the roughly equal human sex ratio include biased nominations, fewer women than men being active in the relevant fields, Nobel Prizes typically being awarded decades after the research was done (reflecting a time when gender bias in the relevant fields was greater), a greater delay in awarding Nobel Prizes for women's achievements making longevity a more important factor for women (one cannot be nominated for the Nobel Prize posthumously), and a tendency to omit women from jointly awarded Nobel Prizes. Despite these factors, Marie Curie is to date the only person awarded Nobel Prizes in two different sciences (Physics in 1903, Chemistry in 1911); she is one of only three people who have received two Nobel Prizes in sciences (see Multiple laureates below). Malala Yousafzai is the youngest person ever to be awarded the Nobel Peace Prize. When she received it in 2014, she was only 17 years old.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The Nobel Prizes ( ; ; ) are five separate prizes that, according to Alfred Nobel's will of 1895, are awarded to \"those who, during the preceding year, have conferred the greatest benefit to humankind.\" Alfred Nobel was a Swedish chemist, engineer, and industrialist most famously known for the invention of dynamite. He died in 1896. In his will, he bequeathed all of his \"remaining realisable assets\" to be used to establish five prizes which became known as \"Nobel Prizes.\" Nobel Prizes were first awarded in 1901.\n", - "\n", - "\u001b[95mNoble gas (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21140\u001b[0m\n", - "Ramsay continued his search for these gases using the method of fractional distillation to separate liquid air into several components. In 1898, he discovered the elements krypton, neon, and xenon, and named them after the Greek words (, \"hidden\"), (, \"new\"), and (, \"stranger\"), respectively. Radon was first identified in 1898 by Friedrich Ernst Dorn, and was named \"radium emanation\", but was not considered a noble gas until 1904 when its characteristics were found to be similar to those of other noble gases. Rayleigh and Ramsay received the 1904 Nobel Prizes in Physics and in Chemistry, respectively, for their discovery of the noble gases; in the words of J. E. Cederblom, then president of the Royal Swedish Academy of Sciences, \"the discovery of an entirely new group of elements, of which no single representative had been known with any certainty, is something utterly unique in the history of chemistry, being intrinsically an advance in science of peculiar significance\".\n", - "\n" - ] - } - ], - "source": [ - "hard_query = \"Who was the first person to win two nobel prizes?\"\n", - "keyword_search_results_hard = keyword_search(hard_query)\n", - "print_result(keyword_search_results_hard)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Hm-a_fTZSktj" - }, - "source": [ - "# Dense Retrieval\n", - "\n", - "This section accompanies the [Dense Retrieval](https://docs.cohere.com/docs/dense-retrieval) chapter of LLM University.\n", - "\n", - "Now we will use dense retrieval to search the answers for the two queries. Now you will notice that the results are good for both queries." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "VfgBUiFTShcR" - }, - "outputs": [], - "source": [ - "# This function performs dense retrieval\n", - "def dense_retrieval(query, results_lang='en', num_results=10):\n", - " \"\"\"\n", - " Query the vectors database and return the top results.\n", - "\n", - "\n", - " Parameters\n", - " ----------\n", - " query: str\n", - " The search query\n", - "\n", - " results_lang: str (optional)\n", - " Retrieve results only in the specified language.\n", - " The demo dataset has those languages:\n", - " en, de, fr, es, it, ja, ar, zh, ko, hi\n", - "\n", - " \"\"\"\n", - "\n", - " nearText = {\"concepts\": [query]}\n", - " properties = [\"text\", \"title\", \"url\", \"views\", \"lang\", \"_additional {distance}\"]\n", - " # To filter by language\n", - " where_filter = {\n", - " \"path\": [\"lang\"],\n", - " \"operator\": \"Equal\",\n", - " \"valueString\": results_lang\n", - " }\n", - " response = (\n", - " client.query\n", - " .get(\"Articles\", properties)\n", - " .with_near_text(nearText)\n", - " .with_where(where_filter)\n", - " .with_limit(num_results)\n", - " .do()\n", - " )\n", - "\n", - " result = response['data']['Get']['Articles']\n", - "\n", - " return result" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "TYs0NKxnTKM1", - "outputId": "cea390ff-ffb0-43e3-bed1-cb1a182b248d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mAlexander Fleming (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=1937\u001b[0m\n", - "Sir Alexander Fleming (6 August 1881 – 11 March 1955) was a Scottish physician and microbiologist, best known for discovering the world's first broadly effective antibiotic substance, which he named penicillin. His discovery in 1928 of what was later named benzylpenicillin (or penicillin G) from the mould \"Penicillium rubens\" is described as the \"single greatest victory ever achieved over disease.\" For this discovery, he shared the Nobel Prize in Physiology or Medicine in 1945 with Howard Florey and Ernst Boris Chain.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "Penicillin was discovered in 1928 by Scottish scientist Alexander Fleming as a crude extract of \"P. rubens\". Fleming's student Cecil George Paine was the first to successfully use penicillin to treat eye infection (Ophthalmia neonatorum) in 1930. The purified compound (penicillin F) was isolated in 1940 by a research team led by Howard Florey and Ernst Boris Chain at the University of Oxford. Fleming first used the purified penicillin to treat streptococcal meningitis in 1942. The 1945 Nobel Prize in Physiology or Medicine was shared by Chain, Fleming, and Florey.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "The term \"penicillin\" is defined as the natural product of \"Penicillium\" mould with antimicrobial activity. It was coined by Alexander Fleming on 7 March 1929 when he discovered the antibacterial property of \"Penicillium rubens\". Fleming explained in his 1929 paper in the \"British Journal of Experimental Pathology\" that \"to avoid the repetition of the rather cumbersome phrase 'Mould broth filtrate', the name 'penicillin' will be used.\" The name thus refers to the scientific name of the mould, as described by Fleming in his Nobel lecture in 1945:I have been frequently asked why I invented the name \"Penicillin\". I simply followed perfectly orthodox lines and coined a word which explained that the substance penicillin was derived from a plant of the genus Penicillium just as many years ago the word \"Digitalin\" was invented for a substance derived from the plant \"Digitalis\".In modern usage, the term penicillin is used more broadly to refer to any β-lactam antimicrobial that contains a thiazolidine ring fused to the β-lactam core and may or may not be a natural product. Like most natural products, penicillin is present in \"Penicillium\" moulds as a mixture of active constituents (gentamicin is another example of a natural product that is an ill-defined mixture of active components). The principal active components of \"Penicillium\" are listed in the following table:\"\"\n", - "\n", - "\u001b[95mAlexander Fleming (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=1937\u001b[0m\n", - "The laboratory in which Fleming discovered and tested penicillin is preserved as the Alexander Fleming Laboratory Museum in St. Mary's Hospital, Paddington. The source of the fungal contaminant was established in 1966 as coming from La Touche's room, which was directly below Fleming's.\n", - "\n", - "\u001b[95mRoaring Twenties (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=369155\u001b[0m\n", - "For decades biologists had been at work on the medicine that became penicillin. In 1928, Scottish biologist Alexander Fleming discovered a substance that killed a number of disease-causing bacteria. In 1929, he named the new substance penicillin. His publications were largely ignored at first, but it became a significant antibiotic in the 1930s. In 1930, Cecil George Paine, a pathologist at Sheffield Royal Infirmary, used penicillin to treat sycosis barbae, eruptions in beard follicles, but was unsuccessful. Moving to ophthalmia neonatorum, a gonococcal infection in infants, he achieved the first recorded cure with penicillin, on November 25, 1930. He then cured four additional patients (one adult and three infants) of eye infections, but failed to cure a fifth.\n", - "\n", - "\u001b[95mAlexander Fleming (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=1937\u001b[0m\n", - "Fleming's discovery of penicillin changed the world of modern medicine by introducing the age of useful antibiotics; penicillin has saved, and is still saving, millions of people around the world.\n", - "\n", - "\u001b[95mBiotechnology (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=4502\u001b[0m\n", - "Biotechnology has also led to the development of antibiotics. In 1928, Alexander Fleming discovered the mold \"Penicillium\". His work led to the purification of the antibiotic compound formed by the mold by Howard Florey, Ernst Boris Chain and Norman Heatley – to form what we today know as penicillin. In 1940, penicillin became available for medicinal use to treat bacterial infections in humans.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "6-APA was discovered by researchers at the Beecham Research Laboratories (later the Beecham Group) in Surrey in 1957 (published in 1959). Attaching different groups to the 6-APA 'nucleus' of penicillin allowed the creation of new forms of penicillins which are more versatile and better in activity.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "Fleming did not convince anyone that his discovery was important. This was largely because penicillin was so difficult to isolate that its development as a drug seemed impossible. It is speculated that had Fleming been more successful at making other scientists interested in his work, penicillin would possibly have been developed years earlier.\n", - "\n", - "\u001b[95mPenicillin (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23312\u001b[0m\n", - "Starting in the late 19th century there had been reports of the antibacterial properties of \"Penicillium\" mould, but scientists were unable to discern what process was causing the effect. Scottish physician Alexander Fleming at St. Mary's Hospital in London (now part of Imperial College) was the first to show that \"Penicillium rubens\" had antibacterial properties. On 3 September 1928 he observed that fungal contamination of a bacterial culture (\"Staphylococcus aureus\") appeared to kill the bacteria. He confirmed this observation with a new experiment on 28 September 1928. He published his experiment in 1929, and called the antibacterial substance (the fungal extract) penicillin.\n", - "\n" - ] - } - ], - "source": [ - "simple_query = \"Who discovered penicillin?\"\n", - "\n", - "dense_retrieval_results_simple = dense_retrieval(simple_query)\n", - "print_result(dense_retrieval_results_simple)" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "QxRhQdX0Uq_y", - "outputId": "38a71da5-6a00-4ed7-ecc7-3dad6619d987" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "Five people have received two Nobel Prizes. Marie Curie received the Physics Prize in 1903 for her work on radioactivity and the Chemistry Prize in 1911 for the isolation of pure radium, making her the only person to be awarded a Nobel Prize in two different sciences. Linus Pauling was awarded the 1954 Chemistry Prize for his research into the chemical bond and its application to the structure of complex substances. Pauling was also awarded the Peace Prize in 1962 for his activism against nuclear weapons, making him the only laureate of two unshared prizes. John Bardeen received the Physics Prize twice: in 1956 for the invention of the transistor and in 1972 for the theory of superconductivity. Frederick Sanger received the prize twice in Chemistry: in 1958 for determining the structure of the insulin molecule and in 1980 for inventing a method of determining base sequences in DNA. Karl Barry Sharpless was awarded the 2001 Chemistry Prize for his research into chirally catalysed oxidation reactions, and the 2022 Chemistry Prize for click chemistry.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "Although posthumous nominations are not presently permitted, individuals who died in the months between their nomination and the decision of the prize committee were originally eligible to receive the prize. This has occurred twice: the 1931 Literature Prize awarded to Erik Axel Karlfeldt, and the 1961 Peace Prize awarded to UN Secretary General Dag Hammarskjöld. Since 1974, laureates must be thought alive at the time of the October announcement. There has been one laureate, William Vickrey, who in 1996 died after the prize (in Economics) was announced but before it could be presented. On 3 October 2011, the laureates for the Nobel Prize in Physiology or Medicine were announced; however, the committee was not aware that one of the laureates, Ralph M. Steinman, had died three days earlier. The committee was debating about Steinman's prize, since the rule is that the prize is not awarded posthumously. The committee later decided that as the decision to award Steinman the prize \"was made in good faith\", it would remain unchanged.\n", - "\n", - "\u001b[95mUnited Nations (3000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=31769\u001b[0m\n", - "A number of agencies and individuals associated with the UN have won the Nobel Peace Prize in recognition of their work. Two secretaries-general, Dag Hammarskjöld and Kofi Annan, were each awarded the prize (in 1961 and 2001, respectively), as were Ralph Bunche (1950), a UN negotiator, René Cassin (1968), a contributor to the Universal Declaration of Human Rights, and the US Secretary of State Cordell Hull (1945), the latter for his role in the organization's founding. Lester B. Pearson, the Canadian Secretary of State for External Affairs, was awarded the prize in 1957 for his role in organizing the UN's first peacekeeping force to resolve the Suez Crisis. UNICEF won the prize in 1965, the International Labour Organization in 1969, the UN Peacekeeping Forces in 1988, the International Atomic Energy Agency (which reports to the UN) in 2005, and the UN-supported Organisation for the Prohibition of Chemical Weapons in 2013. The UN High Commissioner for Refugees was awarded in 1954 and 1981, becoming one of only two recipients to win the prize twice. The UN as a whole was awarded the prize in 2001, sharing it with Annan. In 2007, IPCC received the prize \"for their efforts to build up and disseminate greater knowledge about man-made climate change, and to lay the foundations for the measures that are needed to counteract such change.\"\n", - "\n", - "\u001b[95mNobel Prize in Literature (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23385442\u001b[0m\n", - "The Nobel Prize in Literature can be shared between two individuals. However, the Academy has been reluctant to award shared prizes, mainly because divisions are liable to be interpreted as a result of a compromise. The shared prizes awarded to Frederic Mistral and José Echegaray in 1904 and to Karl Gjellerup and Henrik Pontoppidan in 1917 were in fact both a result of compromises. The Academy has also hesitated to divide the prize between two authors as a shared prize runs the risk of being regarded as only half a laurel. Shared prizes are exceptional, and more recently the Academy has awarded a shared prize on only two occasions, to Shmuel Yosef Agnon and Nelly Sachs in 1966, and to Eyvind Johnson and Harry Martinson in 1974.\n", - "\n", - "\u001b[95mMarie Curie (3000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=20408\u001b[0m\n", - "Marie Curie was the first woman to win a Nobel Prize, the first person to win two Nobel Prizes, the only woman to win in two fields, and the only person to win in multiple sciences. Awards that she received include:\n", - "\n", - "\u001b[95mWestern culture (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21208262\u001b[0m\n", - "By the will of the Swedish inventor Alfred Nobel the Nobel Prizes were established in 1895. The prizes in Chemistry, Literature, Peace, Physics, and Physiology or Medicine were first awarded in 1901. The percentage of ethnically European Nobel prize winners during the first and second halves of the 20th century were respectively 98 and 94 percent.\n", - "\n", - "\u001b[95mLiterature (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=18963870\u001b[0m\n", - "Nobel Prizes in Literature have been awarded between 1901 and 2020 to 117 individuals: 101 men and 16 women. Selma Lagerlöf (1858 – 1940) was the first woman to win the Nobel Prize in Literature, which she was awarded in 1909. Additionally, she was the first woman to be granted a membership in The Swedish Academy in 1914.\n", - "\n", - "\u001b[95mMarie Curie (3000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=20408\u001b[0m\n", - "She was the first person to win or share two Nobel Prizes, and remains alone with Linus Pauling as Nobel laureates in two fields each. A delegation of celebrated Polish men of learning, headed by novelist Henryk Sienkiewicz, encouraged her to return to Poland and continue her research in her native country. Curie's second Nobel Prize enabled her to persuade the French government to support the Radium Institute, built in 1914, where research was conducted in chemistry, physics, and medicine. A month after accepting her 1911 Nobel Prize, she was hospitalised with depression and a kidney ailment. For most of 1912, she avoided public life but did spend time in England with her friend and fellow physicist, Hertha Ayrton. She returned to her laboratory only in December, after a break of about 14 months.\n", - "\n", - "\u001b[95mMarie Curie (3000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=20408\u001b[0m\n", - "Marie Salomea Skłodowska–Curie ( , , ; born Maria Salomea Skłodowska, ; 7 November 1867 – 4 July 1934) was a Polish and naturalized-French physicist and chemist who conducted pioneering research on radioactivity. She was the first woman to win a Nobel Prize, the first person and the only woman to win a Nobel Prize twice, and the only person to win a Nobel Prize in two scientific fields. Her husband, Pierre Curie, was a co-winner on her first Nobel Prize, making them the first ever married couple to win the Nobel Prize and launching the Curie family legacy of five Nobel Prizes. She was, in 1906, the first woman to become a professor at the University of Paris.\n", - "\n", - "\u001b[95mAlfred Nobel (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=851\u001b[0m\n", - "Nobel was elected a member of the Royal Swedish Academy of Sciences in 1884, the same institution that would later select laureates for two of the Nobel prizes, and he received an honorary doctorate from Uppsala University in 1893.\n", - "\n" - ] - } - ], - "source": [ - "hard_query = \"Who was the first person to win two Nobel prizes?\"\n", - "dense_retrieval_results_hard = dense_retrieval(hard_query)\n", - "print_result(dense_retrieval_results_hard)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "kbeNQtzAMagI" - }, - "source": [ - "### Searching in other languages\n", - "Changing the `results_lang` parameter to any of the following: en, de, fr, es, it, ja, ar, zh, ko, hi (the available languages in the demo) allows you to get results in any language you want. For example, here are the results to the hard query in Arabic." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "mkogocjILr6G", - "outputId": "29a2dbcf-dc5c-49b3-e1b2-b22c033d5a8d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mجائزة نوبل (1000) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=1979\u001b[0m\n", - "وقد حصل أربعة أشخاص على اثنتين من جوائز نوبل. حيث حصلت ماري كوري على جائزة نوبل في الفيزياء في عام 1903 بالمشاركة مع زوجها بيير كوري لعملهما على النشاط الإشعاعي، وحصلت وحدها كذلك على جائزة نوبل في الكيمياء عام 1911 لعزل الراديوم النقي، مما يجعلها المرأة الوحيدة التي تفوز بجائزة نوبل مرتين، والشخص الوحيد الذي فاز بجائزة نوبل في مجالين مختلفين في مجالات العلوم. وفاز لينوس باولنغ بجائزة الكيمياء لعام 1954 لأبحاثه في الروابط الكيميائية وتطبيقها على هيكل من المواد المعقدة، كما فاز باولنغ على جائزة نوبل للسلام في عام 1962 لنشاطه ضد الأسلحة النووية، مما يجعل منه الفائز الوحيد في جائزتين دون مشاركة الجائزة مع أحد. وحصل جون باردين على جائزة نوبل في الفيزياء مرتين: الأولى في عام 1956 لاختراع الترانزستور، والثانية في عام 1972 لنظرية التوصيل. وتلقى فردريك سانغر الجائزة مرتين في الكيمياء: الأولى في عام 1958 لتحديد بنية جزيء الأنسولين، والثانية في عام 1980 لاختراعه طريقة لتحديد تسلسل قاعدة في الحمض النووي.\n", - "\n", - "\u001b[95mقائمة الحاصلين على جائزة نوبل (800) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=1064904\u001b[0m\n", - "وقد تسلم ستة فائزون أكثر من جائزة واحدة، فقد تسلمت اللجنة الدولية للصليب الأحمر جائزة نوبل في السلام لثلاث مرات، وهي بذلك تعد أكثر من حازت جوائز نوبل. ومن بين الفائزين البالغ عددهم 892، كانت 48 منهم من النساء؛ وكانت أول امرأة تفوز بجائزة نوبل هي ماري كوري، والتي فازت بجائزة نوبل في الفيزياء في عام 1903. كما كانت أيضًا أول شخص (سواء من الرجال أو النساء) يفوز بجائزة نوبل مرتين، وكانت المرة الثانية في الكيمياء في سنة 1911.\n", - "\n", - "\u001b[95mامرأة (1000) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=21220\u001b[0m\n", - "تعتبر ماري كوري أول امرأة تحصل على جائزة نوبل في الفيزياء سنة 1903، وحصلت بعد ذلك مرة أخرى على جائزة نوبل في الكيمياء سنة 1911 وكانت الجائزتان لقاء عملها على في مجال النشاط الإشعاعي. ماري كوري هي أول شخص يفوز بجائزتي نوبل في مجالين علميين مختلفين (الفيزياء والكيمياء). 40 امرأة حصلت على جائزة نوبل بين 1901 و2010، منهنّ 16 حصلن على جائزة نوبل في الفيزياء والكيمياء والطب.\n", - "\n", - "\u001b[95mالمرأة في العلوم (100) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=3051265\u001b[0m\n", - "كانت ماري كوري أول امرأة تحصل على جائزة نوبل في الفيزياء سنة 1903، وحصلت بعد ذلك مرة أخرى على جائزة نوبل في الكيمياء سنة 1911 وكانت الجائزتان لقاء عملها على في مجال النشاط الإشعاعي. وقد حصلت 40 امرأة على جائزة نوبل في الفترة الممتدة بين عامي 1901 و 2010 في الفيزياء والكيمياء والطب.\n", - "\n", - "\u001b[95mماري كوري (1000) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=16622\u001b[0m\n", - "كانت ماري كوري أول امرأة تفوز بجائزة نوبل، وأول من يحصل عليها مرتين، والمرأة الوحيدة التي حصلت عليها في مجالين، والشخص الوحيد الذي يحصل على جائزة نوبل في مجالين علميين. شملت الجوائز التي حصلت عليها:\n", - "\n", - "\u001b[95mمحمد يونس (300) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=52332\u001b[0m\n", - "وكان محمد يونس أول بنغالي يحصل على جائزة نوبل. وبعد تلقي نبأ الجائزة الهامة، أعلن محمد يونس أنه سيستخدم جزءا من نصيبه من الجائزة (1,400,000 دولار) لإنشاء شركة لتقديم تكلفة منخفضة للمواد الغذائية للفقراء، في حين أن بقية الجائزة ستذهب لإقامة مستشفى العيون للفقراء في بنغلاديش.\n", - "\n", - "\u001b[95mجائزة نوبل (1000) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=1979\u001b[0m\n", - "حتى عام 2015، مُنِحَت الجائزة إلى 822 رجلًا و48 امرأة و26 منظمة. فازت 16 امرأة بجائزة نوبل للسلام و14 في مجال الأدب و12 في مجال الطب أو علم وظائف الأعضاء و4 في مجال الكيمياء واثنتان في مجال الفيزياء وفازت واحدة وهي إلينور أوستروم بجائزة نوبل التذكارية في العلوم الاقتصادية. وكانت ماري كوري هي أول امرأة تفوز بجائزة نوبل، وحصلت عليها في مجال الفيزياء عام 1903 مشاركة مع زوجها بيار كوري وهنري بيكريل. وتعتبر ماري أيضًا المرأة الوحيدة التي تفوز بالجائزة مرتين، حيث فازت أيضًا بجائزة نوبل للكيمياء عام 1911. وبفوز إيرين جوليو-كوري -ابنة ماري كوري- بجائزة نوبل في الكيمياء عام 1935، جعلهما ذلك أول ثنائي من أمٍ وابنتها يفوز بالجائزة. أما عن أكثر عامٍ شهد فوز النساء بجوائز نوبل فكان عام 2009، حينها توجت خمسة نساء بالجوائز. وآخر النساء فوزًا بالجوائز هم دونا ستريكلاند وفرانسيس أرنولد ونادية مراد (2018).\n", - "\n", - "\u001b[95mقائمة الحاصلين على جائزة نوبل في الكيمياء (300) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=2354241\u001b[0m\n", - "حصلت الكيمياء العضوية ما لا يقل عن 25 جائزة، أكثر من أي مجال كيميائي آخر. أيضا لم يسمح لحكومتي الحائزين على جائزة نوبل في الكيمياء، الألمان ريشارد كون (1938) وأدولف بوتنانت (1939)، من قبل حكومتهم بقبول الجائزة. إذ حصلوا على الميدالية والدبلوم، لكن تم حرمانهم من المبلغ المالي. فردريك سانغر هو واحد من اثنين من الحائزين على جائزة نوبل مرتين في نفس الموضوع، في عام 1958 و1980. جون باردين هو الآخر وحصل على جائزة نوبل في الفيزياء في عامي 1956 و1972. وحصل اثنان آخران على جائزة نوبل مرتين، واحد في الكيمياء وواحد في موضوع آخر: ماري كوري (الفيزياء في عام 1903، والكيمياء في عام 1911) ولينوس باولينغ (الكيمياء في عام 1954، والسلام في عام 1962). اعتبارا من عام 2018، تم منح الجائزة إلى 180 شخصًا، من بينهم سبع نساء: ماري كوري (1911)، وإيرين جوليو-كوري (1935)، ودوروثي هودجكن (1964)، وعادا يونات (2009)، وفرانسيس أرنولد (2018)، وإيمانويل شاربنتييه وجنيفر داودنا (2020). ولم تمنح جائزة نوبل في الكيمياء ثمان سنوات.\n", - "\n", - "\u001b[95mقائمة النساء الحاصلات على جائزة نوبل (300) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=943040\u001b[0m\n", - "حتى عام 2015، مُنِحَت الجائزة إلى 822 رجلًا و48 امرأة و26 منظمة. فازت 16 امرأة بجائزة نوبل للسلام و14 في مجال الأدب و12 في مجال الطب أو علم وظائف الأعضاء و4 في مجال الكيمياء واثنتان في مجال الفيزياء وفازت واحدة وهي إلينور أوستروم بجائزة نوبل التذكارية في العلوم الاقتصادية. وكانت ماري كوري هي أول امرأة تفوز بجائزة نوبل، وحصلت عليها في مجال الفيزياء عام 1903 مشاركة مع زوجها بيار كوري وهنري بيكريل. وتعتبر ماري أيضًا المرأة الوحيدة التي تفوز بالجائزة مرتين، حيث فازت أيضًا بجائزة نوبل للكيمياء عام 1911. وبفوز إيرين جوليو-كوري -ابنة ماري كوري- بجائزة نوبل في الكيمياء عام 1935، جعلهما ذلك أول ثنائي من أمٍ وابنتها يفوز بالجائزة. أما عن أكثر عامٍ شهد فوز النساء بجوائز نوبل فكان عام 2009، حينها توجت خمسة نساء بالجوائز.\n", - "\n", - "\u001b[95mقائمة المسيحيين الحاصلين على جائزة نوبل (90) \u001b[0m\n", - "\u001b[4mhttps://ar.wikipedia.org/wiki?curid=2167043\u001b[0m\n", - "جدير بالذكر أنّ الفرد نوبل المهندس ومخترع الديناميت والكيميائي السويدي، والذي سميَّت جائزة نوبل بإسمه؛ كان مسيحيًا ملتزمًا وعضوًا في الكنيسة اللوثرية السويديَّة.\n", - "\n" - ] - } - ], - "source": [ - "arabic_results = dense_retrieval(hard_query, results_lang='ar')\n", - "print_result(arabic_results)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AN5M-6SbNDBH" - }, - "source": [ - "The query can also be in any other language. Here are the French results to a query in Spanish." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "6GAxMo3aNBXd", - "outputId": "1f276d1a-d82a-4d0d-f49c-ff9d07273509" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mPénicilline (1000) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=92634\u001b[0m\n", - "La pénicilline (pénicilline G) fut découverte le , concentrée et surtout nommée par le Britannique Alexander Fleming. Elle a été introduite pour des thérapies à partir de 1941.\n", - "\n", - "\u001b[95mPénicilline (1000) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=92634\u001b[0m\n", - "La pénicilline a été redécouverte accidentellement le par Alexander Fleming. Le chercheur écossais travailla ensuite plusieurs années à essayer de purifier cet antibiotique.\n", - "\n", - "\u001b[95mAlexander Fleming (800) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=27093\u001b[0m\n", - "Huit ans plus tard, il découvrit la pénicilline par accident, lors de l'observation d'une moisissure qui tua les bactéries d'une de ses expériences, et surtout il comprit et fit comprendre son intérêt médical.\n", - "\n", - "\u001b[95mAlexander Fleming (800) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=27093\u001b[0m\n", - "Sur sa découverte, Fleming publia en 1929 dans le \"\" un article qui attira peu l'attention. Il continua ses recherches, mais constata qu'il était difficile de cultiver le penicillium et, même quand on y arrivait, il était encore plus difficile d'en extraire la pénicilline. Son impression était que, du fait de ce problème de production en grande quantité et parce que son action lui semblait lente, la pénicilline n'aurait guère d'importance dans le traitement des infections. Fleming s'était également persuadé que la pénicilline ne subsisterait pas assez longtemps dans le corps humain pour tuer des bactéries. Un grand nombre de tests cliniques se révélèrent peu concluants, probablement du fait qu'elle y était utilisée comme antiseptique.\n", - "\n", - "\u001b[95mAlexander Fleming (800) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=27093\u001b[0m\n", - "Ses découvertes les plus connues sont celle de l'antibiotique appelé pénicilline qu'il a isolée à partir du champignon \"Penicillium notatum\" en 1928, découverte pour laquelle il a partagé le prix Nobel de physiologie ou médecine avec Howard Walter Florey et Ernst Boris Chain en 1945, et celle de l'enzyme lysozyme en 1922.\n", - "\n", - "\u001b[95mAlexander Fleming (800) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=27093\u001b[0m\n", - "Sa découverte de la pénicilline avait révolutionné le monde des médicaments en ouvrant l'ère des antibiotiques ; la découverte de la pénicilline a sauvé et sauve toujours des millions de personnes.\n", - "\n", - "\u001b[95mAlexander Fleming (800) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=27093\u001b[0m\n", - "Ernst Chain trouva la façon d'isoler et de concentrer la pénicilline et il en théorisa correctement la structure. Peu de temps après que l'équipe eut publié ses premiers résultats en 1940, Fleming se présenta et demanda à voir où elle en était. Quand Chain lui eut demandé qui il était et que Fleming lui eut dit son nom, Chain s'écria\n", - "\n", - "\u001b[95mAlexander Fleming (800) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=27093\u001b[0m\n", - "Fleming était modeste quant à sa participation à cette découverte et, en évoquant sa gloire, parlait du ; il réservait ses louanges à Florey et Chain qui avaient su transformer cette trouvaille de laboratoire en un médicament utilisable. Fleming avait tout de même été le premier à isoler la substance active, et lui avait donné son nom : pénicilline. C'est lui aussi qui pendant douze ans avait conservé, cultivé et distribué la moisissure originale, et jusqu'en 1940 il avait continué à tenter de convaincre tout chimiste assez habile de la préparer sous une forme stable, susceptible d'être produite en masse. Beaucoup de tentatives échouèrent dans l'entourage de Fleming quand on voulut stabiliser la substance avant que Florey, en 1938, eût organisé à Oxford une équipe de recherche biochimique nombreuse et expérimentée. C'est seulement alors qu'on put commencer ce travail immense et révolutionnaire.\n", - "\n", - "\u001b[95mAntibiotique (1000) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=5128\u001b[0m\n", - "Le premier antibiotique identifié fut la pénicilline. Si dès la fin du Ernest Duchesne découvrit les propriétés curatives de \"Penicillium glaucum\", la découverte de la pénicilline est à mettre au crédit de Sir Alexander Fleming qui s’aperçut en 1928 que certaines de ses cultures bactériennes dans des boîtes oubliées avaient été contaminées par les expériences de son voisin de paillasse étudiant le champignon \"Penicillium notatum\" et que celui-ci inhibait leur reproduction. Mais l’importance de cette découverte, ses implications et ses utilisations médicales ne furent comprises et élaborées qu’après sa redécouverte, entre les deux grandes guerres notamment à la suite des travaux de Howard Walter Florey, Ernst Chain, et en 1939.\n", - "\n", - "\u001b[95mPlatine (1000) \u001b[0m\n", - "\u001b[4mhttps://fr.wikipedia.org/wiki?curid=22918\u001b[0m\n", - "Le curieux métal platine ne fut vraiment étudié pour lui-même que par le militaire et astronome Antonio de Ulloa (1716 – 1795), qui avec Jorge Juan y Santacilia (1713 – 1773), avait été chargé par le roi Philippe V d'Espagne de rejoindre la mission scientifique française au Pérou (1735 – 1745). Parmi d’autres objets selon lui inédits, Ulloa observa le \"platina del pinto\", un métal inexploitable trouvé avec l’or de Nouvelle-Grenade (Colombie).\n", - "\n" - ] - } - ], - "source": [ - "spanish_query = \"Quien descubrio la penicilina?\"\n", - "french_results = dense_retrieval(spanish_query, results_lang='fr')\n", - "print_result(french_results)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "198gdzLDVM2P" - }, - "source": [ - "# ReRank\n", - "\n", - "This section accompanies the [Reranking](https://docs.cohere.com/docs/reranking-2) chapter of LLM University.\n", - "\n", - "Rerank is a powerful method that will enhance any search model. In short, rerank takes a query and a set of responses (or documents), and will surface the ones that are the most relevant as answers to the query. We'll use Rerank to improve keyword search with the hard query." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "id": "Umxiz9V7WOQJ" - }, - "outputs": [], - "source": [ - "def rerank_responses(query, responses, num_responses=3):\n", - " reranked_responses = co.rerank(\n", - " query = query,\n", - " documents = responses,\n", - " top_n = num_responses,\n", - " model = 'rerank-english-v3.0',\n", - " return_documents=True\n", - " )\n", - " return reranked_responses" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "id": "QmJwcAl0H1F6" - }, - "outputs": [], - "source": [ - "hard_query = \"Who was the first person to win two nobel prizes?\"\n", - "keyword_searches_to_improve = keyword_search(hard_query, num_results = 100)" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "R-sAFqmIIwh2", - "outputId": "73b0fc6d-9aff-46f2-95e5-131b6b0fee73" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Neutrino : In the 1960s, the now-famous Homestake experiment made the first measurement of the flux of electron neutrinos arriving from the core of the Sun and found a value that was between one third and one half the number predicted by the Standard Solar Model. This discrepancy, which became known as the solar neutrino problem, remained unresolved for some thirty years, while possible problems with both the experiment and the solar model were investigated, but none could be found. Eventually, it was realized that both were actually correct and that the discrepancy between them was due to neutrinos being more complex than was previously assumed. It was postulated that the three neutrinos had nonzero and slightly different masses, and could therefore oscillate into undetectable flavors on their flight to the Earth. This hypothesis was investigated by a new series of experiments, thereby opening a new major field of research that still continues. Eventual confirmation of the phenomenon of neutrino oscillation led to two Nobel prizes, to R. Davis, who conceived and led the Homestake experiment, and to A.B. McDonald, who led the SNO experiment, which could detect all of the neutrino flavors and found no deficit.\n", - "Western culture : By the will of the Swedish inventor Alfred Nobel the Nobel Prizes were established in 1895. The prizes in Chemistry, Literature, Peace, Physics, and Physiology or Medicine were first awarded in 1901. The percentage of ethnically European Nobel prize winners during the first and second halves of the 20th century were respectively 98 and 94 percent.\n", - "Reality television : Game shows like \"Weakest Link\", \"Who Wants to Be a Millionaire?\", \"American Gladiators\" and \"Deal or No Deal\", which were popular in the 2000s, also lie in a gray area: like traditional game shows (e.g., \"The Price Is Right\", \"Jeopardy!\"), the action takes place in an enclosed television studio over a short period of time; however, they have higher production values, more dramatic background music, and higher stakes than traditional shows (done either through putting contestants into physical danger or offering large cash prizes). In addition, there is more interaction between contestants and hosts, and in some cases, they feature reality-style contestant competition or elimination as well. These factors, as well as these shows' rise in global popularity at the same time as the arrival of the reality craze, have led to such shows often being grouped under both the reality television and game show umbrellas. There have been various hybrid reality-competition shows, like the worldwide-syndicated \"Star Academy\", which combines the \"Big Brother\" and \"Idol\" formats, \"The Biggest Loser\", which combines competition with the self-improvement format, and \"American Inventor\", which uses the \"Idol\" format for products instead of people. Some reality shows that aired mostly during the early 2000s, such as \"Popstars\", \"Making the Band\" and \"Project Greenlight\", devoted the first part of the season to selecting a winner, and the second part to showing that person or group of people working on a project.\n", - "Peter Mullan : Mullan is an art house movie director. He won a Golden Lion at 59th Venice International Film Festival for \"The Magdalene Sisters\" (2002), listed by many critics among the best films of 2003 and nominated for BAFTA Award for Best British Film and European Film Award for best film, and a Golden Shell at San Sebastián International Film Festival for \"Neds\" (2010). He is the only person to win top prizes both for acting (Cannes Best Actor award for \"My Name Is Joe\") and for the best film (Golden Lion for \"The Magdalene Sisters\") at major European film festivals.\n", - "Indiana Pacers : From 1989 to 1993, the Pacers would play at or near .500 and qualify for the playoffs; in 1989–90, the Pacers parlayed a fast start into the team's third playoff appearance under coach Bob Hill. But the Pacers were swept by the Detroit Pistons, who would go on to win their second consecutive NBA Championship. Reggie Miller became the first Pacer to play in the All-Star Game since 1976 on the strength of his 24.6 points-per-game average. Despite four straight first-round exits, this period was highlighted by a first-round series with the Boston Celtics in 1991 that went to Game 5. The next season, the Pacers returned to the playoffs in 1992 and met the Celtics for the second year in a row. But this time, the Celtics left no doubt who was the better team, as they swept the Pacers in three straight games. Chuck Person and point guard Micheal Williams were traded to the Minnesota Timberwolves in the off-season, and the Pacers got Pooh Richardson and Sam Mitchell in return. For the 1992–93 season, Detlef Schrempf moved from sixth man to the starter at small forward and was elected to his first All-Star game. Meanwhile, Miller became the Pacers' all-time NBA era leading scorer during this season (4th overall). The Pacers returned to the playoffs with a 41–41 record, but lost to the New York Knicks in the first round, three games to one.\n", - "William Regal : On 30 June, Regal was sent to SmackDown! as part of an eleven-person trade during the draft. His first match on \"SmackDown!\" was on 7 July against Matt Morgan in what was to be Morgan's final WWE match. It was interrupted before Regal was even able to enter the ring by Mexicools. His first full match as part of the roster was a loss to Chris Benoit in a catch wrestling match on 16 July episode of \"Velocity\". On 4 August, Regal was scheduled to go one on one with Scotty 2 Hotty, but the Mexicools came in and attacked both men. Two weeks later, they teamed up on \"SmackDown!\" against Psicosis and Super Crazy with Juventud in their corner. Halfway through the match, Regal betrayed Scotty by refusing to tag him and walked out of the ring with a smirk on his face, turning heel as a result and allowing the Mexicools to pick up the win. Two days later, Regal cut a promo telling the crowd that he had returned to his former self, referring to himself as a \"scoundrel\" and a \"rogue\". The promo ended when Scotty ran to the ring and attacked Regal. The following week, a match between the two was cut short when the debuting Paul Burchill interfered to aid his countryman. Regal went on to take Burchill under his wing and tag with him on the hunt for the WWE Tag Team Championship, but the team's biggest exposure was a loss in a handicap match against Bobby Lashley at Armageddon.\n", - "Nobel Prize : The Nobel Foundation was founded as a private organization on 29 June 1900. Its function is to manage the finances and administration of the Nobel Prizes. In accordance with Nobel's will, the primary task of the foundation is to manage the fortune Nobel left. Robert and Ludvig Nobel were involved in the oil business in Azerbaijan, and according to Swedish historian E. Bargengren, who accessed the Nobel family archive, it was this \"decision to allow withdrawal of Alfred's money from Baku that became the decisive factor that enabled the Nobel Prizes to be established\". Another important task of the Nobel Foundation is to market the prizes internationally and to oversee informal administration related to the prizes. The foundation is not involved in the process of selecting the Nobel laureates. In many ways, the Nobel Foundation is similar to an investment company, in that it invests Nobel's money to create a solid funding base for the prizes and the administrative activities. The Nobel Foundation is exempt from all taxes in Sweden (since 1946) and from investment taxes in the United States (since 1953). Since the 1980s, the foundation's investments have become more profitable and as of 31 December 2007, the assets controlled by the Nobel Foundation amounted to 3.628 billion Swedish \"kronor\" (c. US$560 million).\n", - "Nobel Prize : In terms of the most prestigious awards in STEM fields, only a small proportion have been awarded to women. Out of 210 laureates in Physics, 181 in Chemistry and 216 in Medicine between 1901 and 2018, there were only three female laureates in physics, five in chemistry and 12 in medicine. Factors proposed to contribute to the discrepancy between this and the roughly equal human sex ratio include biased nominations, fewer women than men being active in the relevant fields, Nobel Prizes typically being awarded decades after the research was done (reflecting a time when gender bias in the relevant fields was greater), a greater delay in awarding Nobel Prizes for women's achievements making longevity a more important factor for women (one cannot be nominated for the Nobel Prize posthumously), and a tendency to omit women from jointly awarded Nobel Prizes. Despite these factors, Marie Curie is to date the only person awarded Nobel Prizes in two different sciences (Physics in 1903, Chemistry in 1911); she is one of only three people who have received two Nobel Prizes in sciences (see Multiple laureates below). Malala Yousafzai is the youngest person ever to be awarded the Nobel Peace Prize. When she received it in 2014, she was only 17 years old.\n", - "Nobel Prize : The Nobel Prizes ( ; ; ) are five separate prizes that, according to Alfred Nobel's will of 1895, are awarded to \"those who, during the preceding year, have conferred the greatest benefit to humankind.\" Alfred Nobel was a Swedish chemist, engineer, and industrialist most famously known for the invention of dynamite. He died in 1896. In his will, he bequeathed all of his \"remaining realisable assets\" to be used to establish five prizes which became known as \"Nobel Prizes.\" Nobel Prizes were first awarded in 1901.\n", - "Noble gas : Ramsay continued his search for these gases using the method of fractional distillation to separate liquid air into several components. In 1898, he discovered the elements krypton, neon, and xenon, and named them after the Greek words (, \"hidden\"), (, \"new\"), and (, \"stranger\"), respectively. Radon was first identified in 1898 by Friedrich Ernst Dorn, and was named \"radium emanation\", but was not considered a noble gas until 1904 when its characteristics were found to be similar to those of other noble gases. Rayleigh and Ramsay received the 1904 Nobel Prizes in Physics and in Chemistry, respectively, for their discovery of the noble gases; in the words of J. E. Cederblom, then president of the Royal Swedish Academy of Sciences, \"the discovery of an entirely new group of elements, of which no single representative had been known with any certainty, is something utterly unique in the history of chemistry, being intrinsically an advance in science of peculiar significance\".\n", - "Nobel Prize in Literature : The Nobel Prize in Literature is not the only literary prize for which all nationalities are eligible. Other notable international literary prizes include the Neustadt International Prize for Literature, the Franz Kafka Prize, the International Booker Prize when it was previously awarded for a writer's entire body of work, and in the 1960s the Formentor Prix International. In contrast to the other prizes mentioned, the Neustadt International Prize is awarded biennially. The journalist Hephzibah Anderson has noted that the International Booker Prize \"is fast becoming the more significant award, appearing an ever more competent alternative to the Nobel\". However since 2016 the International Booker Prize now recognises an annual book of fiction translated into English. Previous winners of the International Booker Prize who have gone on to win the Nobel Prize in Literature include Alice Munro and Olga Tokarczuk. The Neustadt International Prize for Literature is regarded as one of the most prestigious international literary prizes, often referred to as the American equivalent to the Nobel Prize. Like the Nobel Prize, it is awarded not for any one work, but for an entire body of work. It is frequently seen as an indicator of who may be awarded the Nobel Prize in Literature. Gabriel García Márquez (1972 Neustadt, 1982 Nobel), Czesław Miłosz (1978 Neustadt, 1980 Nobel), Octavio Paz (1982 Neustadt, 1990 Nobel), Tomas Tranströmer (1990 Neustadt, 2011 Nobel) were first awarded the Neustadt International Prize for Literature before being awarded the Nobel Prize in Literature.\n", - "D.C. United : Following Soehn's replacement, the organization hired Curt Onalfo as the head coach, who has recently been terminated as manager for Kansas City Wizards (now Sporting Kansas City). United had approached then University of Akron men's soccer head coach, Caleb Porter, but Porter rejected their offer. Recently retired club midfielder, Ben Olsen, joined Onalfo's staff as an assistant coach, along with Kris Kelderman, who served as an assistant to Onfalo at Kansas City. Additionally, Soehn's assistant coaches of Chad Ashton and Mark Simpson remained on Onalfo's coaching staff for the 2010 season. Ahead of the season the club saw the departures of Luciano Emilio and Fred, two key contributors to the club during the late 2000s. The club, marred by injuries, and poor tactics, had a historically poor start to the 2010 MLS season, having a record of 3-12-3 in the clubs first 16 matches. In August 2010, United fired Onalfo and named Ben Olsen as the club's interim manager for the remainder of the 2010 season. The club would finish the season out with a 3-8-1 record, finishing with a historically poor 6-20-4 record, the worst in MLS during the 2010 season. During the 2010 season, the highlights of the season included the rise of two homegrown signings, Bill Hamid, who took over as starting goalkeeper to Troy Perkins during the season, and became the club's first choice goalkeeper throughout the 2010s, along with Andy Najar, who won the MLS Rookie of the Year Award (now Young Player of the Year), becoming the first homegrown player to win the honor. Following the end of the 2010 season, long-time United striker Jamie Moreno retired from professional soccer.\n", - "Nobel Prize in Literature : Alfred Nobel stipulated in his last will and testament that his money be used to create a series of prizes for those who confer the \"greatest benefit on mankind\" in physics, chemistry, peace, physiology or medicine, and literature. Though Nobel wrote several wills during his lifetime, the last was written a little over a year before he died, and signed at the Swedish-Norwegian Club in Paris on 27 November 1895. Nobel bequeathed 94% of his total assets, 31 million Swedish \"kronor\" (US$198 million, €176 million in 2016), to establish and endow the five Nobel Prizes. Due to the level of scepticism surrounding the will, it was not until 26 April 1897 that the Storting (Norwegian Parliament) approved it. The executors of his will were Ragnar Sohlman and Rudolf Lilljequist, who formed the Nobel Foundation to take care of Nobel's fortune and organise the prizes.\n", - "2021–22 Manchester United F.C. season : November began with Manchester United playing host to their local rivals Manchester City at Old Trafford. Eric Bailly started in place of Varane, who had been injured in the Champions League match against Atalanta four days earlier, and it was the Ivorian defender who opened the scoring, albeit for the away side, as he put João Cancelo's cross into his own net. Bernardo Silva doubled City's lead just before half-time, when Bailly was substituted by Jadon Sancho. City remained the more likely of the two sides to score in the second half, but De Gea was able to keep them out for the remainder of the game, and United went into the international break nine points behind league leaders Chelsea as City won a league match against United for the first time since 24 April 2019. On 20 November 2021, United suffered a 4–1 defeat to newly promoted Watford, leaving the Red Devils seventh in the table. United academy graduate Joshua King opened the scoring before De Gea saved a penalty from Ismaïla Sarr (as well as the original, which had to be retaken for encroachment); however, Sarr did eventually score just before half-time. Donny van de Beek scored his first goal of the season five minutes into the second half, but Maguire was sent off for a second yellow card midway through the period. As United searched for an equaliser, João Pedro and Emmanuel Dennis scored in added time to confirm Watford's victory. It was announced the following day that Solskjær had left his role by mutual consent and that Michael Carrick had replaced him as caretaker manager. In Carrick's first Premier League match in charge, which made him the first English manager to lead United in a league match since Ron Atkinson in November 1986, United visited Chelsea at Stamford Bridge. United opened the scoring after Jorginho miscontrolled a long clearance from Fernandes, allowing Sancho to take advantage of a two-on-one with Édouard Mendy and score his first league goal for United; however, Jorginho made up for his mistake from the penalty spot, after Aaron Wan-Bissaka had fouled Thiago Silva in the penalty area, and the match finished 1–1, making Chelsea still seeking their first league win against United since November 2017.\n", - "Nobel Prize : Alfred Nobel left his fortune to finance annual prizes to be awarded \"to those who, during the preceding year, shall have conferred the greatest benefit on mankind\". He stated that the Nobel Prizes in Physics should be given \"to the person who shall have made the most important 'discovery' or 'invention' within the field of physics\". Nobel did not emphasise discoveries, but they have historically been held in higher respect by the Nobel Prize Committee than inventions: 77% of the Physics Prizes have been given to discoveries, compared with only 23% to inventions. Christoph Bartneck and Matthias Rauterberg, in papers published in \"Nature\" and \"Technoetic Arts\", have argued this emphasis on discoveries has moved the Nobel Prize away from its original intention of rewarding the greatest contribution to society.\n", - "Nobel Prize : Nobel wrote several wills during his lifetime. He composed the last over a year before he died, signing it at the Swedish–Norwegian Club in Paris on 27 November 1895. To widespread astonishment, Nobel's last will specified that his fortune be used to create a series of prizes for those who confer the \"greatest benefit on mankind\" in physics, chemistry, physiology or medicine, literature, and peace. Nobel bequeathed 94% of his total assets, 31 million SEK (c. US$186 million, €150 million in 2008), to establish the five Nobel Prizes. Owing to skepticism surrounding the will, it was not approved by the Storting in Norway until 26 April 1897. The executors of the will, Ragnar Sohlman and Rudolf Lilljequist, formed the Nobel Foundation to take care of the fortune and to organise the awarding of prizes.\n", - "Zach LaVine : On July 6, 2018, the restricted free agent LaVine received a four-year, $80 million offer sheet from the Sacramento Kings. Two days later, the Bulls exercised their right of first refusal and matched the offer sheet extended to LaVine by the Kings. LaVine scored at least 30 points in each of the Bulls' first three games of the season, becoming the third Chicago player to do so, joining Michael Jordan (1986) and Bob Love (1971). On October 24, he made two free throws with 0.5 seconds left to lift the Bulls to a 112–110 win over the Charlotte Hornets. He finished with 32 points for his fourth straight 30-point game to start the season. On November 5, he scored a career-high 41 points, including the game-winning free throw with 0.2 seconds left, as the Bulls beat the New York Knicks 116–115 in double overtime. On November 10, he scored 24 points in a 99–98 win over the Cleveland Cavaliers, thus scoring 20 or more points in each of Chicago's 13 games to begin the season and in a career-high 14 straight overall, dating to his final game of 2017–18. The last Bulls player to score 20-plus points in 14 consecutive games was Jimmy Butler, who did it 15 straight times in 2016. He scored 26 points against the Dallas Mavericks on November 12 for 15 straight, before a 10-point game on November 14 against the Boston Celtics ended the streak. On December 26, after missing five games with a sprained left ankle, LaVine had 28 points in 26 minutes off the bench in a 119–94 loss to the Timberwolves.\n", - "2011 Formula One World Championship : At the , Vettel took once again pole position, beating Hamilton by half a second, but it was the fourth-starting Fernando Alonso who led the race going into the first corner. Vitantonio Liuzzi made contact with Kovalainen and lost control, sliding off the grass and crashing heavily into Rosberg and Petrov and triggering the safety car. Vettel took the lead from Alonso one lap after the restart, while Schumacher overtook Hamilton. Mark Webber attempted to pass Felipe Massa, but the two made contact, pitching Massa into a spin. Webber continued with a broken front wing, crashing at Parabolica as he attempted to return to pit. Further down the order, Schumacher received several carefully worded instructions from team principal Ross Brawn, reminding him not to cut across the track to defend against Hamilton. Schumacher's duel with Hamilton slowed them down enough for Jenson Button to catch up; where Hamilton took thirty laps to pass Schumacher, Button passed him on his first attempt and started catching the second-placed Alonso. Vettel went on to win the race, extending his lead enough that he would need just one more win to win his second World Championship. Webber's failure to finish meant that he fell to fourth in the drivers' standings, behind Alonso and Button, while Hamilton's fourth place meant he fell further behind in the championship.\n", - "2021–22 Manchester United F.C. season : As a Premier League side, Manchester United entered the FA Cup in the Third Round Proper. The draw took place on 6 December, and United were given a home draw with Aston Villa. The match was played on 10 January 2022. Villa had two goals disallowed for offside as Scott McTominay scored the only goal in a 1–0 win for United. United drew Championship side Middlesbrough in the Fourth Round Proper. Cristiano Ronaldo missed a penalty five minutes before Jadon Sancho opened the scoring. A handball by former United academy player Duncan Watmore was correctly ruled accidental by referee Anthony Taylor and video assistant referee Stuart Attwell per the new IFAB rule, meaning the 64th-minute equaliser from Matt Crooks, who also formerly a United academy player, stood. After going through extra time, United and Middlesbrough scored all their first seven penalties in the shoot-out, including one from former United defender Paddy McNair. Lee Peltier scored the eighth penalty before Anthony Elanga missed his and condemned United to their earliest FA Cup exit since the third round defeat in the 2013–14 season and the first time in the fourth round since 2011–12. This was also United's first FA Cup elimination by a lower division side since their elimination by Leeds United, then a Championship side as well, in the 2009–10 third round and the first FA Cup defeat on penalties since the 2008–09 semi-finals, as they are yet to win an FA Cup match shoot-out. For Middlesbrough, this was their second consecutive cup triumph over United, having also won on penalties at Old Trafford in the 2015–16 League Cup fourth round.\n", - "Christians : Christians have made noted contributions to a range of fields, including philosophy, science and technology, medicine, fine arts and architecture, politics, literatures, music, and business. According to \"100 Years of Nobel Prizes\" a review of the Nobel Prizes award between 1901 and 2000 reveals that (65.4%) of Nobel Prizes Laureates, have identified Christianity in its various forms as their religious preference.\n" - ] - } - ], - "source": [ - "for r in keyword_searches_to_improve[:20]:\n", - " print(r['title'], ':', r['text'])" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "id": "thziTh7eKB0-" - }, - "outputs": [], - "source": [ - "reranked_keyword_responses = rerank_responses(hard_query, keyword_searches_to_improve, num_responses=3)" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Document Rank: 1, Document Index: 30\n", - "Title: Nobel Prize\n", - "URL: https://en.wikipedia.org/wiki?curid=21201\n", - "Document: Five people have received two Nobel Prizes. Marie Curie received the Physics Prize in 1903 for her work on radioactivity and the Chemistry Prize in 1911 for the isolation of pure radium, making her the only person to be awarded a Nobel Prize in two different sciences. Linus Pauling was awarded the 1954 Chemistry Prize for his research into the chemical bond and its application to the structure of complex substances. Pauling was also awarded the Peace Prize in 1962 for his activism against nuclear weapons, making him the only laureate of two unshared prizes. John Bardeen received the Physics Prize twice: in 1956 for the invention of the transistor and in 1972 for the theory of superconductivity. Frederick Sanger received the prize twice in Chemistry: in 1958 for determining the structure of the insulin molecule and in 1980 for inventing a method of determining base sequences in DNA. Karl Barry Sharpless was awarded the 2001 Chemistry Prize for his research into chirally catalysed oxidation reactions, and the 2022 Chemistry Prize for click chemistry.\n", - "Relevance Score: 1.00\n", - "\n", - "\n", - "Document Rank: 2, Document Index: 7\n", - "Title: Nobel Prize\n", - "URL: https://en.wikipedia.org/wiki?curid=21201\n", - "Document: In terms of the most prestigious awards in STEM fields, only a small proportion have been awarded to women. Out of 210 laureates in Physics, 181 in Chemistry and 216 in Medicine between 1901 and 2018, there were only three female laureates in physics, five in chemistry and 12 in medicine. Factors proposed to contribute to the discrepancy between this and the roughly equal human sex ratio include biased nominations, fewer women than men being active in the relevant fields, Nobel Prizes typically being awarded decades after the research was done (reflecting a time when gender bias in the relevant fields was greater), a greater delay in awarding Nobel Prizes for women's achievements making longevity a more important factor for women (one cannot be nominated for the Nobel Prize posthumously), and a tendency to omit women from jointly awarded Nobel Prizes. Despite these factors, Marie Curie is to date the only person awarded Nobel Prizes in two different sciences (Physics in 1903, Chemistry in 1911); she is one of only three people who have received two Nobel Prizes in sciences (see Multiple laureates below). Malala Yousafzai is the youngest person ever to be awarded the Nobel Peace Prize. When she received it in 2014, she was only 17 years old.\n", - "Relevance Score: 0.97\n", - "\n", - "\n", - "Document Rank: 3, Document Index: 46\n", - "Title: Nobel Prize in Literature\n", - "URL: https://en.wikipedia.org/wiki?curid=23385442\n", - "Document: There are also prizes for honouring the lifetime achievement of writers in specific languages, like the Miguel de Cervantes Prize (for Spanish language, established in 1976) and the Camões Prize (for Portuguese language, established in 1989). Nobel laureates who were also awarded the Miguel de Cervantes Prize include Octavio Paz (1981 Cervantes, 1990 Nobel); Mario Vargas Llosa (1994 Cervantes, 2010 Nobel); and Camilo José Cela (1995 Cervantes, 1989 Nobel). José Saramago is the only author to receive both the Camões Prize (1995) and the Nobel Prize (1998) to date.\n", - "Relevance Score: 0.87\n", - "\n", - "\n" - ] - } - ], - "source": [ - "for idx, r in enumerate(reranked_keyword_responses.results):\n", - " print(f\"Document Rank: {idx + 1}, Document Index: {r.index}\")\n", - " print(f\"Title: {r.document.title}\")\n", - " print(f\"URL: {r.document.url}\")\n", - " print(f\"Document: {r.document.text}\")\n", - " print(f\"Relevance Score: {r.relevance_score:.2f}\")\n", - " print(\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ZiKHq4eeXu0d" - }, - "source": [ - "# Generating responses\n", - "\n", - "This section accompanies the [Generating Answers](https://docs.cohere.com/docs/generating-answers) chapter of LLM University.\n", - "\n", - "Generative models are great at talking, but when it comes to answer questions with facts, they are prone to hallucinations. In other words, they can answer with the wrong answer. To prevent this, we first search for the documents that are relevant to the query (using dense retrieval, but we can use any method). We then feed them to the generative model, and instruct it to answer the question from the information from those documents.\n", - "\n", - "The query is \"How many people have won more than one Nobel prize?\". You will notice that the model generates wrong answers, but when combined with search, it'll generate the correct answers." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": { - "id": "LVOj-KJ1X0fl" - }, - "outputs": [], - "source": [ - "query = \"How many people have won more than one Nobel prize?\"" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": { - "id": "D0HdGsq4YKI8" - }, - "outputs": [], - "source": [ - "prediction_without_search = [\n", - " co.chat(\n", - " messages=[{\"role\": \"user\", \"content\": query}],\n", - " model=\"command-r-plus-08-2024\",\n", - " max_tokens=50,\n", - " ) for _ in range(5)\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "3YbNpIKygBck", - "outputId": "15316bd2-dad3-4ace-804a-b64bb03a97b2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "As of my information cutoff in January 2024, five people have been awarded the Nobel Prize more than once.\n", - "\n", - "Marie Curie is the first person to win a second Nobel Prize, receiving the Physics Prize in 1903\n", - "As of my information cutoff in January 2024, five people have been awarded the Nobel Prize more than once:\n", - "\n", - "- **Marie Curie**: She was the first person to win two Nobel Prizes, and the only person to win a\n", - "As of my information cutoff date in January 2024, five people have been awarded the Nobel Prize more than once:\n", - "\n", - "- **Marie Curie**: She was awarded the Nobel Prize in Physics in 1903 for her work\n", - "As of my information cutoff in January 2024, five people have been awarded the Nobel Prize more than once:\n", - "\n", - "- **Marie Curie**: She was the first person to win two Nobel Prizes, and the only person to win the\n", - "As of my information cutoff date of January 2024, eight people have won more than one Nobel Prize. They are:\n", - "\n", - "1. Marie Curie: She won the Nobel Prize in Physics in 1903 for her work on\n" - ] - } - ], - "source": [ - "for p in prediction_without_search:\n", - " print(p.message.content[0].text)" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ezjlg35SYL0h", - "outputId": "d0b988cb-691d-4992-a0c6-b21b09209b42" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[95mNobel Peace Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=26230922\u001b[0m\n", - ", the Peace Prize has been awarded to 110 individuals and 27 organizations. 18 women have won the Nobel Peace Prize, more than any other Nobel Prize. Only two recipients have won multiple Prizes: the International Committee of the Red Cross has won three times (1917, 1944, and 1963) and the Office of the United Nations High Commissioner for Refugees has won twice (1954 and 1981). Lê Đức Thọ is the only person who refused to accept the Nobel Peace Prize.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The strict rule against awarding a prize to more than three people is also controversial. When a prize is awarded to recognise an achievement by a team of more than three collaborators, one or more will miss out. For example, in 2002, the prize was awarded to Koichi Tanaka and John Fenn for the development of mass spectrometry in protein chemistry, an award that did not recognise the achievements of Franz Hillenkamp and Michael Karas of the Institute for Physical and Theoretical Chemistry at the University of Frankfurt.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "Candidates can receive multiple nominations the same year. Gaston Ramon received a total of 155 nominations in physiology or medicine from 1930 to 1953, the last year with public nomination data for that award . He died in 1963 without being awarded. Pierre Paul Émile Roux received 115 nominations in physiology or medicine, and Arnold Sommerfeld received 84 in physics. These are the three most nominated scientists without awards in the data published . Otto Stern received 79 nominations in physics 1925–1943 before being awarded in 1943.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The prize ceremonies take place annually. Each recipient (known as a \"laureate\") receives a gold medal, a diploma, and a monetary award. In 2021, the Nobel Prize monetary award is 10,000,000 SEK. A prize may not be shared among more than three individuals, although the Nobel Peace Prize can be awarded to organizations of more than three people. Although Nobel Prizes are not awarded posthumously, if a person is awarded a prize and dies before receiving it, the prize is presented.\n", - "\n", - "\u001b[95mNobel Prize in Literature (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23385442\u001b[0m\n", - "The Nobel Prize in Literature has been awarded 115 times between 1901 and 2022 to 119 individuals: 102 men and 17 women. The prize has been shared between two individuals on four occasions. It was not awarded on seven occasions. The laureates have included writers in 25 different languages. The youngest laureate was Rudyard Kipling, who was 41 years old when he was awarded in 1907. The oldest laureate to receive the prize was Doris Lessing, who was 88 when she was awarded in 2007. It has been awarded posthumously once, to Erik Axel Karlfeldt in 1931. On some occasions the awarding institution the Swedish Academy have awarded the prize to its own members; Verner von Heidenstam in 1916, the posthumous prize to Karlfeldt in 1931, Pär Lagerkvist in 1951 and the shared prize to Eyvind Johnson and Harry Martinson in 1974. Selma Lagerlöf was elected a member of the Swedish Academy in 1914, five years after she was awarded the Nobel Prize in 1909. Two writers have declined the prize, Boris Pasternak in 1958 (\"Accepted first, later caused by the authorities of his country (Soviet Union) to decline the Prize\", according to the Nobel Foundation) and Jean-Paul Sartre in 1964.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The Nobel Prizes, beginning in 1901, and the Nobel Memorial Prize in Economic Sciences, beginning in 1969, have been awarded 609 times to 975 people and 25 organizations. Five individuals and two organisations have received more than one Nobel Prize.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The laureates are given a sum of money when they receive their prizes, in the form of a document confirming the amount awarded. The amount of prize money depends upon how much money the Nobel Foundation can award each year. The purse has increased since the 1980s, when the prize money was 880,000 SEK per prize (c. 2.6 million SEK altogether, US$350,000 today). In 2009, the monetary award was 10 million SEK (US$1.4 million). In June 2012, it was lowered to 8 million SEK. If two laureates share the prize in a category, the award grant is divided equally between the recipients. If there are three, the awarding committee has the option of dividing the grant equally, or awarding one-half to one recipient and one-quarter to each of the others. It is common for recipients to donate prize money to benefit scientific, cultural, or humanitarian causes.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The institutions meet to choose the laureate or laureates in each field by a majority vote. Their decision, which cannot be appealed, is announced immediately after the vote. A maximum of three laureates and two different works may be selected per award. Except for the Peace Prize, which can be awarded to institutions, the awards can only be given to individuals.\n", - "\n", - "\u001b[95mUnited Nations (3000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=31769\u001b[0m\n", - "A number of agencies and individuals associated with the UN have won the Nobel Peace Prize in recognition of their work. Two secretaries-general, Dag Hammarskjöld and Kofi Annan, were each awarded the prize (in 1961 and 2001, respectively), as were Ralph Bunche (1950), a UN negotiator, René Cassin (1968), a contributor to the Universal Declaration of Human Rights, and the US Secretary of State Cordell Hull (1945), the latter for his role in the organization's founding. Lester B. Pearson, the Canadian Secretary of State for External Affairs, was awarded the prize in 1957 for his role in organizing the UN's first peacekeeping force to resolve the Suez Crisis. UNICEF won the prize in 1965, the International Labour Organization in 1969, the UN Peacekeeping Forces in 1988, the International Atomic Energy Agency (which reports to the UN) in 2005, and the UN-supported Organisation for the Prohibition of Chemical Weapons in 2013. The UN High Commissioner for Refugees was awarded in 1954 and 1981, becoming one of only two recipients to win the prize twice. The UN as a whole was awarded the prize in 2001, sharing it with Annan. In 2007, IPCC received the prize \"for their efforts to build up and disseminate greater knowledge about man-made climate change, and to lay the foundations for the measures that are needed to counteract such change.\"\n", - "\n", - "\u001b[95mNobel Peace Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=26230922\u001b[0m\n", - "In 2009, a record 205 nominations were received, but the record was broken again in 2010 with 237 nominations; in 2011, the record was broken once again with 241 nominations. The statutes of the Nobel Foundation do not allow information about nominations, considerations, or investigations relating to awarding the prize to be made public for at least 50 years after a prize has been awarded. Over time, many individuals have become known as \"Nobel Peace Prize Nominees\", but this designation has no official standing, and means only that one of the thousands of eligible nominators suggested the person's name for consideration. Indeed, in 1939, Adolf Hitler received a satirical nomination from a member of the Swedish parliament, mocking the (serious but unsuccessful) nomination of Neville Chamberlain. Nominations from 1901 to 1967 have been released in a database.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The Curie family has received the most prizes, with four prizes awarded to five individual laureates. Marie Curie received the prizes in Physics (in 1903) and Chemistry (in 1911). Her husband, Pierre Curie, shared the 1903 Physics prize with her. Their daughter, Irène Joliot-Curie, received the Chemistry Prize in 1935 together with her husband Frédéric Joliot-Curie. In addition, the husband of Marie Curie's second daughter, Henry Labouisse, was the director of UNICEF when he accepted the Nobel Peace Prize in 1965 on that organisation's behalf.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "Other high-profile individuals with widely recognised contributions to peace have been overlooked. In 2009, an article in \"Foreign Policy\" magazine identified seven people who \"never won the prize, but should have\". The list consisted of Gandhi, Eleanor Roosevelt, Václav Havel, Ken Saro-Wiwa, Sari Nusseibeh, Corazon Aquino, and Liu Xiaobo. Liu Xiaobo would go on to win the 2010 Nobel Peace Prize while imprisoned.\n", - "\n", - "\u001b[95mUnitarianism (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=32164\u001b[0m\n", - "Eleven Nobel Prizes have been awarded to Unitarians: Robert Millikan and John Bardeen (twice) in physics; Emily Green Balch, Albert Schweitzer and Linus Pauling for peace; George Wald and David H. Hubel in medicine; Linus Pauling in chemistry; and Herbert A. Simon in economics.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "Five people have received two Nobel Prizes. Marie Curie received the Physics Prize in 1903 for her work on radioactivity and the Chemistry Prize in 1911 for the isolation of pure radium, making her the only person to be awarded a Nobel Prize in two different sciences. Linus Pauling was awarded the 1954 Chemistry Prize for his research into the chemical bond and its application to the structure of complex substances. Pauling was also awarded the Peace Prize in 1962 for his activism against nuclear weapons, making him the only laureate of two unshared prizes. John Bardeen received the Physics Prize twice: in 1956 for the invention of the transistor and in 1972 for the theory of superconductivity. Frederick Sanger received the prize twice in Chemistry: in 1958 for determining the structure of the insulin molecule and in 1980 for inventing a method of determining base sequences in DNA. Karl Barry Sharpless was awarded the 2001 Chemistry Prize for his research into chirally catalysed oxidation reactions, and the 2022 Chemistry Prize for click chemistry.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "The interval between the award and the accomplishment it recognises varies from discipline to discipline. The Literature Prize is typically awarded to recognise a cumulative lifetime body of work rather than a single achievement. The Peace Prize can also be awarded for a lifetime body of work. For example, 2008 laureate Martti Ahtisaari was awarded for his work to resolve international conflicts. However, they can also be awarded for specific recent events. For instance, Kofi Annan was awarded the 2001 Peace Prize just four years after becoming the Secretary-General of the United Nations. Similarly Yasser Arafat, Yitzhak Rabin, and Shimon Peres received the 1994 award, about a year after they successfully concluded the Oslo Accords. The most recent controversy was caused by awarding the 2009 Nobel Peace Prize to Barack Obama in his first year as US president.\n", - "\n", - "\u001b[95mFrance (4000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=5843419\u001b[0m\n", - "As of 2022, France ranks fourth in the number of Nobel laureates, with 70 French people having been awarded a Nobel Prize. Twelve French mathematicians have received a Fields Medal, considered the most prestigious award in the field, making up one-fifth of total recipients, and second only to the United States.\n", - "\n", - "\u001b[95mNobel Prize (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=21201\u001b[0m\n", - "Although posthumous nominations are not presently permitted, individuals who died in the months between their nomination and the decision of the prize committee were originally eligible to receive the prize. This has occurred twice: the 1931 Literature Prize awarded to Erik Axel Karlfeldt, and the 1961 Peace Prize awarded to UN Secretary General Dag Hammarskjöld. Since 1974, laureates must be thought alive at the time of the October announcement. There has been one laureate, William Vickrey, who in 1996 died after the prize (in Economics) was announced but before it could be presented. On 3 October 2011, the laureates for the Nobel Prize in Physiology or Medicine were announced; however, the committee was not aware that one of the laureates, Ralph M. Steinman, had died three days earlier. The committee was debating about Steinman's prize, since the rule is that the prize is not awarded posthumously. The committee later decided that as the decision to award Steinman the prize \"was made in good faith\", it would remain unchanged.\n", - "\n", - "\u001b[95mLiterature (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=18963870\u001b[0m\n", - "Nobel Prizes in Literature have been awarded between 1901 and 2020 to 117 individuals: 101 men and 16 women. Selma Lagerlöf (1858 – 1940) was the first woman to win the Nobel Prize in Literature, which she was awarded in 1909. Additionally, she was the first woman to be granted a membership in The Swedish Academy in 1914.\n", - "\n", - "\u001b[95mProtestantism (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=25814008\u001b[0m\n", - "According to \"100 Years of Nobel Prize (2005)\", a review of Nobel prizes awarded between 1901 and 2000, 65% of Nobel Prize Laureates, have identified Christianity in its various forms as their religious preference (423 prizes). While 32% have identified with Protestantism in its various forms (208 prizes), although Protestants are 12% to 13% of the world's population.\n", - "\n", - "\u001b[95mNobel Prize in Literature (2000) \u001b[0m\n", - "\u001b[4mhttps://en.wikipedia.org/wiki?curid=23385442\u001b[0m\n", - "The prize's focus on European men, and Swedes in particular, has been the subject of criticism, even from Swedish newspapers. The majority of laureates have been European, with Sweden itself receiving more prizes (8) than all of Asia (7, if Turkish Orhan Pamuk is included), as well as all of Latin America (7, if Saint Lucian Derek Walcott is included). In 2009, Horace Engdahl, then the permanent secretary of the Academy, declared that \"Europe still is the centre of the literary world\" and that \"the US is too isolated, too insular. They don't translate enough and don't really participate in the big dialogue of literature.\"\n", - "\n" - ] - } - ], - "source": [ - "responses = dense_retrieval(query, num_results=20)\n", - "print_result(responses)" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "3CNlHTXSYYRG", - "outputId": "7031ede3-925f-47ac-997f-026a6e504f87" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "[', the Peace Prize has been awarded to 110 individuals and 27 organizations. 18 women have won the Nobel Peace Prize, more than any other Nobel Prize. Only two recipients have won multiple Prizes: the International Committee of the Red Cross has won three times (1917, 1944, and 1963) and the Office of the United Nations High Commissioner for Refugees has won twice (1954 and 1981). Lê Đức Thọ is the only person who refused to accept the Nobel Peace Prize.',\n", - " 'The strict rule against awarding a prize to more than three people is also controversial. When a prize is awarded to recognise an achievement by a team of more than three collaborators, one or more will miss out. For example, in 2002, the prize was awarded to Koichi Tanaka and John Fenn for the development of mass spectrometry in protein chemistry, an award that did not recognise the achievements of Franz Hillenkamp and Michael Karas of the Institute for Physical and Theoretical Chemistry at the University of Frankfurt.',\n", - " 'Candidates can receive multiple nominations the same year. Gaston Ramon received a total of 155 nominations in physiology or medicine from 1930 to 1953, the last year with public nomination data for that award . He died in 1963 without being awarded. Pierre Paul Émile Roux received 115 nominations in physiology or medicine, and Arnold Sommerfeld received 84 in physics. These are the three most nominated scientists without awards in the data published . Otto Stern received 79 nominations in physics 1925–1943 before being awarded in 1943.',\n", - " 'The prize ceremonies take place annually. Each recipient (known as a \"laureate\") receives a gold medal, a diploma, and a monetary award. In 2021, the Nobel Prize monetary award is 10,000,000 SEK. A prize may not be shared among more than three individuals, although the Nobel Peace Prize can be awarded to organizations of more than three people. Although Nobel Prizes are not awarded posthumously, if a person is awarded a prize and dies before receiving it, the prize is presented.',\n", - " 'The Nobel Prize in Literature has been awarded 115 times between 1901 and 2022 to 119 individuals: 102 men and 17 women. The prize has been shared between two individuals on four occasions. It was not awarded on seven occasions. The laureates have included writers in 25 different languages. The youngest laureate was Rudyard Kipling, who was 41 years old when he was awarded in 1907. The oldest laureate to receive the prize was Doris Lessing, who was 88 when she was awarded in 2007. It has been awarded posthumously once, to Erik Axel Karlfeldt in 1931. On some occasions the awarding institution the Swedish Academy have awarded the prize to its own members; Verner von Heidenstam in 1916, the posthumous prize to Karlfeldt in 1931, Pär Lagerkvist in 1951 and the shared prize to Eyvind Johnson and Harry Martinson in 1974. Selma Lagerlöf was elected a member of the Swedish Academy in 1914, five years after she was awarded the Nobel Prize in 1909. Two writers have declined the prize, Boris Pasternak in 1958 (\"Accepted first, later caused by the authorities of his country (Soviet Union) to decline the Prize\", according to the Nobel Foundation) and Jean-Paul Sartre in 1964.',\n", - " 'The Nobel Prizes, beginning in 1901, and the Nobel Memorial Prize in Economic Sciences, beginning in 1969, have been awarded 609 times to 975 people and 25 organizations. Five individuals and two organisations have received more than one Nobel Prize.',\n", - " 'The laureates are given a sum of money when they receive their prizes, in the form of a document confirming the amount awarded. The amount of prize money depends upon how much money the Nobel Foundation can award each year. The purse has increased since the 1980s, when the prize money was 880,000 SEK per prize (c. 2.6\\xa0million SEK altogether, US$350,000 today). In 2009, the monetary award was 10\\xa0million SEK (US$1.4\\xa0million). In June 2012, it was lowered to 8\\xa0million SEK. If two laureates share the prize in a category, the award grant is divided equally between the recipients. If there are three, the awarding committee has the option of dividing the grant equally, or awarding one-half to one recipient and one-quarter to each of the others. It is common for recipients to donate prize money to benefit scientific, cultural, or humanitarian causes.',\n", - " 'The institutions meet to choose the laureate or laureates in each field by a majority vote. Their decision, which cannot be appealed, is announced immediately after the vote. A maximum of three laureates and two different works may be selected per award. Except for the Peace Prize, which can be awarded to institutions, the awards can only be given to individuals.',\n", - " 'A number of agencies and individuals associated with the UN have won the Nobel Peace Prize in recognition of their work. Two secretaries-general, Dag Hammarskjöld and Kofi Annan, were each awarded the prize (in 1961 and 2001, respectively), as were Ralph Bunche (1950), a UN negotiator, René Cassin (1968), a contributor to the Universal Declaration of Human Rights, and the US Secretary of State Cordell Hull (1945), the latter for his role in the organization\\'s founding. Lester B. Pearson, the Canadian Secretary of State for External Affairs, was awarded the prize in 1957 for his role in organizing the UN\\'s first peacekeeping force to resolve the Suez Crisis. UNICEF won the prize in 1965, the International Labour Organization in 1969, the UN Peacekeeping Forces in 1988, the International Atomic Energy Agency (which reports to the UN) in 2005, and the UN-supported Organisation for the Prohibition of Chemical Weapons in 2013. The UN High Commissioner for Refugees was awarded in 1954 and 1981, becoming one of only two recipients to win the prize twice. The UN as a whole was awarded the prize in 2001, sharing it with Annan. In 2007, IPCC received the prize \"for their efforts to build up and disseminate greater knowledge about man-made climate change, and to lay the foundations for the measures that are needed to counteract such change.\"',\n", - " 'In 2009, a record 205 nominations were received, but the record was broken again in 2010 with 237 nominations; in 2011, the record was broken once again with 241 nominations. The statutes of the Nobel Foundation do not allow information about nominations, considerations, or investigations relating to awarding the prize to be made public for at least 50 years after a prize has been awarded. Over time, many individuals have become known as \"Nobel Peace Prize Nominees\", but this designation has no official standing, and means only that one of the thousands of eligible nominators suggested the person\\'s name for consideration. Indeed, in 1939, Adolf Hitler received a satirical nomination from a member of the Swedish parliament, mocking the (serious but unsuccessful) nomination of Neville Chamberlain. Nominations from 1901 to 1967 have been released in a database.']" - ] - }, - "execution_count": 27, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "context = [r['text'] for r in responses]\n", - "context[:10]" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": { - "id": "HklTHYw1aVWU" - }, - "outputs": [], - "source": [ - "prompt = f\"\"\"\n", - "Use the information provided below to answer the questions at the end. If the answer to the question is not contained in the provided information, say \"The answer is not in the context\".\n", - "---\n", - "Context information:\n", - "{context}\n", - "---\n", - "Question: How many people have won more than one Nobel prize?\n", - "\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": { - "id": "lloV1wYJaoBH" - }, - "outputs": [], - "source": [ - "prediction_with_search = [\n", - " co.chat(\n", - " messages=[{\"role\": \"user\", \"content\": prompt}],\n", - " model=\"command-r-plus-08-2024\",\n", - " max_tokens=50,\n", - " ) for _ in range(5)\n", - "]" - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Five individuals have received more than one Nobel Prize. Marie Curie, Linus Pauling, John Bardeen, Frederick Sanger, and Karl Barry Sharpless have all been awarded two Nobel Prizes.\n", - "Five individuals have received more than one Nobel Prize. Marie Curie received the Physics Prize in 1903 and the Chemistry Prize in 1911. Linus Pauling was awarded the Chemistry Prize in 1954 and the Peace\n", - "Five individuals have received more than one Nobel Prize. Marie Curie, Linus Pauling, John Bardeen, Frederick Sanger, and Karl Barry Sharpless have all won two Nobel Prizes.\n", - "Five individuals have received more than one Nobel Prize. Marie Curie received the Physics Prize in 1903 and the Chemistry Prize in 1911. Linus Pauling was awarded the 1954 Chemistry Prize and the 1\n", - "Five people have received two Nobel Prizes. Marie Curie received the Physics Prize in 1903 for her work on radioactivity and the Chemistry Prize in 1911 for the isolation of pure radium, making her the only person to\n" - ] - } - ], - "source": [ - "for p in prediction_with_search:\n", - " print(p.message.content[0].text)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/End_To_End_Wikipedia_Search.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Fine_Tuning_for_Chat.ipynb b/notebooks/llmu/Fine_Tuning_for_Chat.ipynb index 71e33264..49421ebf 100644 --- a/notebooks/llmu/Fine_Tuning_for_Chat.ipynb +++ b/notebooks/llmu/Fine_Tuning_for_Chat.ipynb @@ -1,710 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "HZ_ZFietTx7o" - }, - "source": [ - "# Fine-Tuning for Chat\n", - "\n", - "Our ready-to-use large language models, such as [Command](https://cohere.com/models/command), are very good at producing responses to natural language prompts. However, there are many cases in which getting the best model performance requires performing an additional round of training on custom user data. Creating a custom model using this process is called **fine-tuning**.\n", - "\n", - "Fine-tuning is recommended when you want to teach the model a new task, or leverage your company's unique knowledge base. Fine-tuning models is also helpful for generating a specific writing style or format, or leveraging a new data type.\n", - "\n", - "In this notebook, you will fine-tune a chatbot on custom conversational data to improve its performance at a specific task.\n", - "\n", - "_Read the [accompanying blog post here](https://docs.cohere.com/docs/fine-tuning-for-chat)._" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Overview" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We'll do the following steps:\n", - "- **Step 1: Prepare the Dataset** - Download the dataset, select a subset, and prepare it for the Chat endpoint.\n", - "- **Step 2: Fine-Tune the Model** - Kick off a fine-tuning job, and confirm when the model has completed training.\n", - "- **Step 3: Use/Evaluate the Fine-Tuned Model** - Evaluate the fine-tuned model's performance on the test dataset, and confirm it is a competent participant in multi-turn conversations." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Fine_Tuning_for_Chat.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "DcGt_h00Tx7q", - "outputId": "d28e180f-87b6-407e-c727-85b6cd3ced99" - }, - "outputs": [], - "source": [ - "! pip install cohere jsonlines -q" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import os\n", - "import json\n", - "import jsonlines\n", - "\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "MX02UXCFTx7r" - }, - "source": [ - "## Step 1: Prepare and Validate the Dataset\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qOdgYOPfT98F" - }, - "source": [ - "### Download the dataset\n", - "\n", - "We will work with the [CoEdIT dataset](https://huggingface.co/datasets/grammarly/coedit) of text editing examples (Raheja, et al). In each example, the user asks a writing assistant to rewrite text to suit a specific task (editing fluency, coherence, clarity, or style) and receives a response. " - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 573 - }, - "id": "sCdFjMBGTx7t", - "outputId": "5f567449-22d2-4545-b0cf-9b59fa3a0ce7" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "--2024-08-01 14:26:26-- https://huggingface.co/datasets/grammarly/coedit/resolve/main/train.jsonl\n", - "Resolving huggingface.co (huggingface.co)... 2600:9000:2668:bc00:17:b174:6d00:93a1, 2600:9000:2668:1800:17:b174:6d00:93a1, 2600:9000:2668:4c00:17:b174:6d00:93a1, ...\n", - "Connecting to huggingface.co (huggingface.co)|2600:9000:2668:bc00:17:b174:6d00:93a1|:443... connected.\n", - "HTTP request sent, awaiting response... 302 Found\n", - "Location: https://cdn-lfs.huggingface.co/repos/30/91/3091c2c741f77a2f5aa8986b13e4fb2c3658ab3ebc30ecaa5f6890e60939bdf9/2913249158d6a178dc638e870212ff8a432d128eb6b4bdbe969ee805e6063ce3?response-content-disposition=inline%3B+filename*%3DUTF-8%27%27train.jsonl%3B+filename%3D%22train.jsonl%22%3B&Expires=1722752787&Policy=eyJTdGF0ZW1lbnQiOlt7IkNvbmRpdGlvbiI6eyJEYXRlTGVzc1RoYW4iOnsiQVdTOkVwb2NoVGltZSI6MTcyMjc1Mjc4N319LCJSZXNvdXJjZSI6Imh0dHBzOi8vY2RuLWxmcy5odWdnaW5nZmFjZS5jby9yZXBvcy8zMC85MS8zMDkxYzJjNzQxZjc3YTJmNWFhODk4NmIxM2U0ZmIyYzM2NThhYjNlYmMzMGVjYWE1ZjY4OTBlNjA5MzliZGY5LzI5MTMyNDkxNThkNmExNzhkYzYzOGU4NzAyMTJmZjhhNDMyZDEyOGViNmI0YmRiZTk2OWVlODA1ZTYwNjNjZTM%7EcmVzcG9uc2UtY29udGVudC1kaXNwb3NpdGlvbj0qIn1dfQ__&Signature=d8zcgEBzs4ie5IPm%7ErqAptkOBOgBs4RUxMewiMWle1NxiCNNl1Unae3AEBBne8AF0%7EVpY4ZxgK%7E6Tb9Ioj0nj8Q8-600Y5fJES1buheS94G%7ExN5Kz-EDfRcQaLQGPV9Fy2HABegYAGcUc4XNXZn8EiP5b-pZh1Qaintg37IfMKqJYrSVyVUB3mteQv9NfqeLEfFyQZcTJy7w9xJAuPi-S2zyLv2gfBI6MmG8WfyIfn8zlEDa3fEHYBv-7cLIF1q%7EPU0xNI8rPGF4sjJnYjDWx0ZDTbg12NHCqq1TQEMGhjTKKk2AjvlKQPPoTiZdY6i-MtQ5un63vmlUy3T-unh9Tg__&Key-Pair-Id=K3ESJI6DHPFC7 [following]\n", - "--2024-08-01 14:26:27-- https://cdn-lfs.huggingface.co/repos/30/91/3091c2c741f77a2f5aa8986b13e4fb2c3658ab3ebc30ecaa5f6890e60939bdf9/2913249158d6a178dc638e870212ff8a432d128eb6b4bdbe969ee805e6063ce3?response-content-disposition=inline%3B+filename*%3DUTF-8%27%27train.jsonl%3B+filename%3D%22train.jsonl%22%3B&Expires=1722752787&Policy=eyJTdGF0ZW1lbnQiOlt7IkNvbmRpdGlvbiI6eyJEYXRlTGVzc1RoYW4iOnsiQVdTOkVwb2NoVGltZSI6MTcyMjc1Mjc4N319LCJSZXNvdXJjZSI6Imh0dHBzOi8vY2RuLWxmcy5odWdnaW5nZmFjZS5jby9yZXBvcy8zMC85MS8zMDkxYzJjNzQxZjc3YTJmNWFhODk4NmIxM2U0ZmIyYzM2NThhYjNlYmMzMGVjYWE1ZjY4OTBlNjA5MzliZGY5LzI5MTMyNDkxNThkNmExNzhkYzYzOGU4NzAyMTJmZjhhNDMyZDEyOGViNmI0YmRiZTk2OWVlODA1ZTYwNjNjZTM%7EcmVzcG9uc2UtY29udGVudC1kaXNwb3NpdGlvbj0qIn1dfQ__&Signature=d8zcgEBzs4ie5IPm%7ErqAptkOBOgBs4RUxMewiMWle1NxiCNNl1Unae3AEBBne8AF0%7EVpY4ZxgK%7E6Tb9Ioj0nj8Q8-600Y5fJES1buheS94G%7ExN5Kz-EDfRcQaLQGPV9Fy2HABegYAGcUc4XNXZn8EiP5b-pZh1Qaintg37IfMKqJYrSVyVUB3mteQv9NfqeLEfFyQZcTJy7w9xJAuPi-S2zyLv2gfBI6MmG8WfyIfn8zlEDa3fEHYBv-7cLIF1q%7EPU0xNI8rPGF4sjJnYjDWx0ZDTbg12NHCqq1TQEMGhjTKKk2AjvlKQPPoTiZdY6i-MtQ5un63vmlUy3T-unh9Tg__&Key-Pair-Id=K3ESJI6DHPFC7\n", - "Resolving cdn-lfs.huggingface.co (cdn-lfs.huggingface.co)... 2600:9000:2666:e400:11:f807:5180:93a1, 2600:9000:2666:ae00:11:f807:5180:93a1, 2600:9000:2666:6600:11:f807:5180:93a1, ...\n", - "Connecting to cdn-lfs.huggingface.co (cdn-lfs.huggingface.co)|2600:9000:2666:e400:11:f807:5180:93a1|:443... connected.\n", - "HTTP request sent, awaiting response... 200 OK\n", - "Length: 19695735 (19M) [binary/octet-stream]\n", - "Saving to: ‘train.jsonl’\n", - "\n", - "train.jsonl 100%[===================>] 18.78M 1.36MB/s in 18s \n", - "\n", - "2024-08-01 14:26:45 (1.02 MB/s) - ‘train.jsonl’ saved [19695735/19695735]\n", - "\n" - ] - } - ], - "source": [ - "# Download the dataset\n", - "from datasets import load_dataset\n", - "\n", - "! wget \"https://huggingface.co/datasets/grammarly/coedit/resolve/main/train.jsonl\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "PAnUk94HTx7t" - }, - "source": [ - "### Get a subset of the dataset\n", - "\n", - "Instead of using the full dataset, we will use a subset focused on making text coherent: 927 total conversations." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Total number of examples: 927\n", - "Number of examples in training set: 800\n", - "Number of examples in the test set: 127\n" - ] - } - ], - "source": [ - "# we will use subset of the dataset focused on making text more coherent\n", - "phrase = \"coherent\"\n", - "\n", - "# instantiate python list where we will store correct subset of dataset\n", - "dataset_list = []\n", - "\n", - "# create subset of dataset\n", - "with jsonlines.open('train.jsonl') as f:\n", - " for line in f.iter():\n", - " if phrase in line['src'].split(\":\")[0]:\n", - " dataset_list.append(line)\n", - "\n", - "# Split data into training and test\n", - "dataset_list_train = dataset_list[:800]\n", - "dataset_list_test = dataset_list[800:]\n", - "\n", - "print(\"Total number of examples:\", len(dataset_list))\n", - "print(\"Number of examples in training set:\", len(dataset_list_train))\n", - "print(\"Number of examples in the test set:\", len(dataset_list_test))" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 71 - }, - "id": "rtduxw5QTx7u", - "outputId": "20df8e3f-b92b-41c3-91d5-71bc8d7441c5" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Total number of examples: 927\n", - "Number of examples in training set: 800\n", - "Number of examples in the test set: 127\n" - ] - } - ], - "source": [ - "# we will use subset of the dataset focused on making text more coherent\n", - "phrase = \"coherent\"\n", - "\n", - "# instantiate python list where we will store correct subset of dataset\n", - "dataset_list = []\n", - "\n", - "# create subset of dataset\n", - "with jsonlines.open('train.jsonl') as f:\n", - " for line in f.iter():\n", - " if phrase in line['src'].split(\":\")[0]:\n", - " dataset_list.append(line)\n", - "\n", - "# Split data into training and test\n", - "dataset_list_train = dataset_list[:800]\n", - "dataset_list_test = dataset_list[800:]\n", - "\n", - "print(\"Total number of examples:\", len(dataset_list))\n", - "print(\"Number of examples in training set:\", len(dataset_list_train))\n", - "print(\"Number of examples in the test set:\", len(dataset_list_test))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2cQqlA2gTx7v" - }, - "source": [ - "### Preview the dataset\n", - "\n", - "We will use the `src` and `tgt` fields from each example, which correspond to the user’s prompt and the writing assistant’s response, respectively." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "jNhdIa8OTx7v", - "outputId": "40c01c60-eec3-42f7-b714-49948eec989d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Make the text coherent: The Bank's main strategy is to further expand its network and increase its lending activities with particular focus on the SME sector. The EBRD helps Bank, by developing and financing Bank's portfolio of and strengthening the bank's funding base.\n", - "The Bank's main strategy is to further expand its network and increase its lending activities with particular focus on the SME sector. The EBRD helps Union Bank, by developing and financing its portfolio of and strengthening the bank's funding base.\n", - "--------------------------------------------------\n", - "Make the text coherent: It was not illegal under international law ; captured foreign sailors were released. Confederates went to prison camps.\n", - "It was not illegal under international law ; captured foreign sailors were released, while Confederates went to prison camps.\n", - "--------------------------------------------------\n", - "Make the text coherent: The Union blockade was a powerful weapon that eventually ruined the Southern economy, at the cost of very few lives. The measure of the blockade's success was not the few ships that slipped through, but the thousands that never tried Union.\n", - "The Union blockade was a powerful weapon that eventually ruined the Southern economy, at the cost of very few lives. The measure of the blockade's success was not the few ships that slipped through, but the thousands that never tried it.\n", - "--------------------------------------------------\n", - "Make the text more coherent: It lasted for 60 minutes. It featured the three men taking questions from a studio audience.\n", - "Lasting for 60 minutes, it featured the three men taking questions from a studio audience.\n", - "--------------------------------------------------\n", - "Make the text more coherent: The Security Council could not decide on a Secretary-General. The Third World countries would not nominate any other candidates as long as Salim remained in the race.\n", - "The Security Council could not decide on a Secretary-General, but the Third World countries would not nominate any other candidates as long as Salim remained in the race.\n", - "--------------------------------------------------\n", - "Make the text coherent: All of the 2011 inductees lost their lives in the 1961 crash of Sabena Flight 548, considered to be the most tragic event in figure skating history. inductees were honored posthumously in observance of the fiftieth anniversary of the tragedy.\n", - "All of the 2011 inductees lost their lives in the 1961 crash of Sabena Flight 548, considered to be the most tragic event in figure skating history. They were honored posthumously in observance of the fiftieth anniversary of the tragedy.\n", - "--------------------------------------------------\n", - "Make the text more coherent: Foreign Service personnel stationed in nations with inadequate public infrastructure also face greater risk of injury or death due to fire, traffic accidents, and natural disasters. An FSO was one of the first identified victims of the 2010 Haiti earthquake.\n", - "Foreign Service personnel stationed in nations with inadequate public infrastructure also face greater risk of injury or death due to fire, traffic accidents, and natural disasters. For instance, an FSO was one of the first identified victims of the 2010 Haiti earthquake.\n", - "--------------------------------------------------\n", - "Make the text more coherent: The Federalist Party made a relatively strong showing, winning seats in both chambers while supporting a competitive challenge to the incumbent Democratic-Republican President. The Democratic-Republican continued Democratic-Republican's control of the Presidency and both houses of Congress.\n", - "The Federalist Party made a relatively strong showing, winning seats in both chambers while supporting a competitive challenge to the incumbent Democratic-Republican President. However, the Democratic-Republican Party continued its control of the Presidency and both houses of Congress.\n", - "--------------------------------------------------\n", - "Make the text coherent: Since the 1990s, Loughborough University operated a satellite higher education campus in Peterborough. This closed in 2003, leaving the city as one of the largest urban areas in the country without a dedicated provision of higher education.\n", - "Since the 1990s, Loughborough University operated a satellite higher education campus in Peterborough. However, this closed in 2003, leaving the city as one of the largest urban areas in the country without a dedicated provision of higher education.\n", - "--------------------------------------------------\n", - "Make the text coherent: The cancer center is named after Monroe Dunaway Anderson, a banker and cotton trader from Jackson, Tennessee. Monroe Dunaway Anderson was a banker of a business partnership with Monroe Dunaway Anderson's brother-in-law Will Clayton.\n", - "The cancer center is named after Monroe Dunaway Anderson, a banker and cotton trader from Jackson, Tennessee. He was a member of a business partnership with his brother-in-law Will Clayton.\n", - "--------------------------------------------------\n" - ] - } - ], - "source": [ - "# print the first ten prompts and corresponding responses\n", - "for item in dataset_list_train[:10]:\n", - " print(item[\"src\"])\n", - " print(item[\"tgt\"])\n", - " print(\"-\"*50)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Du8c0guSTx7v" - }, - "source": [ - "### Prepare the dataset for Cohere's Chat endpoint\n", - "\n", - "To format the dataset for the Chat endpoint, we create a `.jsonl` where each JSON object is a conversation containing a series of messages.\n", - "- A `System` message in the beginning that guides the whole conversation\n", - "- Multiple pairs of `User` and `Chatbot` messages, representing the conversation that takes place between a human user and a chatbot" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 321 - }, - "id": "wgg438PATx7x", - "outputId": "55d52c19-6723-4a57-9f4f-0bb7ed79346e" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'messages': [{'role': 'System', 'content': 'You are a writing assistant that helps the user write coherent text.'}, {'role': 'User', 'content': \"Make the text coherent: The Bank's main strategy is to further expand its network and increase its lending activities with particular focus on the SME sector. The EBRD helps Bank, by developing and financing Bank's portfolio of and strengthening the bank's funding base.\"}, {'role': 'Chatbot', 'content': \"The Bank's main strategy is to further expand its network and increase its lending activities with particular focus on the SME sector. The EBRD helps Union Bank, by developing and financing its portfolio of and strengthening the bank's funding base.\"}]}\n", - "{'messages': [{'role': 'System', 'content': 'You are a writing assistant that helps the user write coherent text.'}, {'role': 'User', 'content': 'Make the text coherent: It was not illegal under international law ; captured foreign sailors were released. Confederates went to prison camps.'}, {'role': 'Chatbot', 'content': 'It was not illegal under international law ; captured foreign sailors were released, while Confederates went to prison camps.'}]}\n", - "{'messages': [{'role': 'System', 'content': 'You are a writing assistant that helps the user write coherent text.'}, {'role': 'User', 'content': \"Make the text coherent: The Union blockade was a powerful weapon that eventually ruined the Southern economy, at the cost of very few lives. The measure of the blockade's success was not the few ships that slipped through, but the thousands that never tried Union.\"}, {'role': 'Chatbot', 'content': \"The Union blockade was a powerful weapon that eventually ruined the Southern economy, at the cost of very few lives. The measure of the blockade's success was not the few ships that slipped through, but the thousands that never tried it.\"}]}\n" - ] - } - ], - "source": [ - "# arranges the data to suit Cohere's format\n", - "def create_chat_ft_data(system_message, user_message, chatbot_message):\n", - " formatted_data = {\n", - " \"messages\": [\n", - " {\n", - " \"role\": \"System\",\n", - " \"content\": system_message\n", - " },\n", - " {\n", - " \"role\": \"User\",\n", - " \"content\": user_message\n", - " },\n", - " {\n", - " \"role\": \"Chatbot\",\n", - " \"content\": chatbot_message\n", - " }\n", - " ]\n", - " }\n", - "\n", - " return formatted_data\n", - "\n", - "system_message = \"You are a writing assistant that helps the user write coherent text.\"\n", - "\n", - "# creates jsonl file from list of examples\n", - "def create_jsonl_from_list(file_name, dataset_segment, system_message):\n", - " path = f'{file_name}.jsonl'\n", - " if not os.path.isfile(path):\n", - " with open(path, 'w+') as file:\n", - " for item in dataset_segment:\n", - " user_message = item[\"src\"]\n", - " chatbot_message = item[\"tgt\"]\n", - " formatted_data = create_chat_ft_data(system_message, user_message, chatbot_message)\n", - " file.write(json.dumps(formatted_data) + '\\n')\n", - " file.close()\n", - "\n", - "# Create training jsonl file\n", - "file_name = \"coedit_coherence_train\"\n", - "create_jsonl_from_list(file_name, dataset_list_train, system_message)\n", - "\n", - "# List the first 3 items in the JSONL file\n", - "with jsonlines.open(f'{file_name}.jsonl') as f:\n", - " [print(line) for _, line in zip(range(3), f)]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "u3sVbOAfTx7y" - }, - "source": [ - "## Step 2: Fine-Tune the Model" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "A_kESxXgUCFt" - }, - "source": [ - "We kick off a fine-tuning job by navigating to the [fine-tuning tab of the Dashboard](https://dashboard.cohere.com/fine-tuning). Under \"Chat\", click on \"Create a Chat model\".\n", - "\n", - "\n", - "\n", - "Next, upload the `.jsonl` file you just created as the training set by clicking on the \"TRAINING SET\" button. When ready, click on \"Review data\" to proceed to the next step.\n", - "\n", - "\n", - "\n", - "Then, you'll see a preview of how the model will ingest your data. If anything is wrong with the data, the page will also provide suggested changes to fix the training file. Otherwise, if everything looks good, you can proceed to the next step.\n", - "\n", - "\n", - "\n", - "Finally, you'll see an estimated cost of fine-tuning, followed by a page where you'll provide a nickname to your model. We used `coedit-coherence-ft` as the nickname for our model. This page also allows you to provide custom values for the hyperparameters used during training, but we'll keep them at the default values for now. \n", - "\n", - "\n", - "\n", - "Once you have filled in a name, click on \"Start training\" to kick off the fine-tuning process. This will navigate you to a page where you can monitor the status of the model. A model that has finished fine-tuning will show the status as `READY`.\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "FgtQcmzmTx7z" - }, - "source": [ - "## Step 3: Use/Evaluate the Fine-Tuned Model\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once the model has completed the fine-tuning process, it’s time to evaluate its performance. \n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "R2eVldwyjDD3" - }, - "source": [ - "### With Test Data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "When you're ready to use the fine-tuned model, navigate to the API tab. There, you'll see the model ID that you should use when calling `co.chat()`.\n", - "\n", - "\n", - "\n", - "In the following code, we supply the first three messages from the test dataset to both the pre-trained and fine-tuned models for comparison." - ] - }, - { - "cell_type": "code", - "execution_count": 46, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "qTbH0eeCTx7z", - "outputId": "5f48f63b-b2e1-402e-d915-6b9efca35cdd" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "User: Make the text more coherent: We do know that at the end of the Muromachi period it stopped appearing in written records. That Muromachi burned down many times, the last we know of in 1405. \n", - "-----\n", - "Desired response: We do know that at the end of the Muromachi period it stopped appearing in written records and that it burned down many times, the last we know of in 1405. \n", - "-----\n", - "Default model's response: We do know that towards the end of the Muromachi period, it stopped appearing in written records. Muromachi burned down several times, the last major fire being in 1405. This could have contributed to the lack of written records and the subsequent mystery surrounding the topic. It is intriguing to speculate on the reasons for this disappearance and the potential impact on historical understanding. \n", - "-----\n", - "Fine-tuned model's response: We do know that at the end of the Muromachi period it stopped appearing in written records, and that it burned down many times, the last we know of in 1405.\n", - "---------------------------------------------------------------------------------------------------- \n", - "\n", - "\n" - ] - } - ], - "source": [ - "for item in dataset_list_test[:1]:\n", - " # User prompt\n", - " user_message = item[\"src\"]\n", - " # Desired/target response from dataset\n", - " tgt_message = item[\"tgt\"]\n", - "\n", - " # Get default model response\n", - " response_pretrained=co.chat(\n", - " model=\"command-r-plus\",\n", - " messages=[cohere.UserMessage(content=system_message),\n", - " cohere.UserMessage(content=user_message)],\n", - " )\n", - "\n", - " # Get fine-tuned model response\n", - " response_finetuned = co.chat(\n", - " model=\"4708865e-3870-42bf-99fa-ffe84e81fd5f-ft\",\n", - " messages=[cohere.UserMessage(content=system_message),\n", - " cohere.UserMessage(content=user_message)],\n", - " \n", - " )\n", - "\n", - " print(f\"User: {user_message}\",\"\\n-----\")\n", - " print(f\"Desired response: {tgt_message}\",\"\\n-----\")\n", - " print(f\"Default model's response: {response_pretrained.message.content[0]['text']}\",\"\\n-----\")\n", - " print(f\"Fine-tuned model's response: {response_finetuned.message.content[0]['text']}\")\n", - "\n", - "\n", - " print(\"-\"*100,\"\\n\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this example, both models provide reasonable answers that are an improvement over the user’s original text. However, the fine-tuned model’s response better matches the style of the fine-tuning data, because it is more succinct. " - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bRkgtYAHTx7z" - }, - "source": [ - "### In the Chat Context" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We have demonstrated that the fine-tuned model can provide good answers to individual questions. But it is also a competent participant in longer, multi-turn conversations." - ] - }, - { - "cell_type": "code", - "execution_count": 47, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"4708865e-3870-42bf-99fa-ffe84e81fd5f-ft\"\n", - "\n", - "def run_chat(user_message, messages=[]):\n", - "\n", - " messages = messages\n", - "\n", - " if not any(m.role == 'system' for m in messages):\n", - " messages.append(cohere.SystemMessage(content=system_message))\n", - " \n", - " # Generate response\n", - " response = co.chat(model=model,\n", - " messages=[cohere.UserMessage(content=user_message)])\n", - " \n", - " print(response.message.content[0]['text'])\n", - " \n", - " # Append the turn to the chat history\n", - " messages.extend([cohere.UserMessage(content=user_message),\n", - " response.message])\n", - " \n", - " return messages" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "messages = run_chat(\"Hello\")" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "messages = run_chat(\"I'm fine. Can I ask you for help with some tasks?\", messages)" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "messages = run_chat(\"Make this more coherent: Manuel now has to decide-will he let his best friend be happy with her Prince Charming. Or will he fight for the love that has kept him alive for the last 16 years?\", messages)" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here is a possible continuation: \n", - "\n", - "She left Benaras with a heavy heart. The conditions back home in her village were dire, with a severe drought having ravaged the land. Crops had failed, livestock had perished, and people were struggling to survive. She knew that returning home would be challenging, but she couldn't bear the thought of her family suffering while she remained in the relative comfort of the city.\n", - "\n", - "As she boarded the train, she said a silent prayer for strength and resilience. The journey back was long and arduous, the parched landscape a stark reminder of the hardships that lay ahead. Upon arriving, she was greeted by the all-too-familiar sight of cracked earth and withered trees.\n", - "\n", - "However, despite the bleak surroundings, her determination burned brightly. She rolled up her sleeves and set to work, helping her family however she could. They conserved water, shared what little food they had, and worked together to find creative solutions to their problems.\n", - "\n", - "It was a difficult road, but with perseverance and the support of their community, they slowly began to recover. Little by little, life returned to the land, and hope blossomed once more in their hearts.\n", - "\n", - "In the end, her decision to leave Benaras and return home had been the right one. Though it had been a challenging journey, she knew that together, they would weather any storm and rise again.\n" - ] - } - ], - "source": [ - "messages = run_chat(\"Help me with this one - She left Benaras. Conditions back home were bad.\", messages)" - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "London is a great city to visit all year round! However, the best time to visit London depends on what you want to do and see during your trip. Here are some factors to consider when planning your visit:\n", - "\n", - "1. Weather: London has a mild temperate climate, which means you can expect cool winters and mild summers. If you're looking for warmer weather, the best time to visit London is during the summer months (June, July, and August). Keep in mind that this is also the busiest tourist season, so you may experience higher accommodation prices and longer lines at popular attractions.\n", - "\n", - "2. Tourist Season: If you want to avoid the peak tourist crowds, consider visiting London during the shoulder seasons (spring and autumn). The weather is still pleasant, and you'll find shorter lines and better accommodation deals. Spring (March to May) is particularly lovely as the city's parks and gardens come to life with blooming flowers.\n", - "\n", - "3. Cultural Events: London has a packed calendar of cultural events and festivals throughout the year. If you're interested in specific events, plan your visit around them. For example, if you want to experience the festive season in London, come in November or December. If you're a fan of theatre, consider visiting during the London Theatre Season, which runs from September to April and offers a wide range of plays and musicals.\n", - "\n", - "4. Budget: Accommodation prices tend to be higher during the summer and around major holidays. If you're travelling on a budget, consider visiting London during the off-season (January to March) when you can find better deals on flights and hotels. Keep in mind that some attractions may have reduced hours or be closed for maintenance during this time.\n", - "\n", - "Ultimately, the best time to visit London is whenever works best for you! The city has something to offer no matter the season. With careful planning and a bit of flexibility, you can have a wonderful trip to London any time of the year.\n" - ] - } - ], - "source": [ - "messages = run_chat(\"What's a good time to visit London\", messages)" - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Critically, the album has not been as well-received as some of Browne's other recordings, despite it being his only album to reach number one on the Billboard chart.\n" - ] - } - ], - "source": [ - "messages = run_chat(\"Could you help with this please: Make the text coherent: Critically the album has not been as well received as other Browne recordings. It remains his only album to date to reach number 1 on the Billboard chart.\", messages)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Note the fine-tuned model is still able to respond to prompts like “Hello”, “I’m fine. Can I ask you for help with some tasks?”, and “What’s a good time to visit London” instead of strictly following the fine-tuning objective of editing text.\n", - "\n", - "The model also did a good job with context switching; it can hold a conversation when the user switches from friendly greetings, to a request for writing help, to travel planning, and finally back to writing assistance. It can also infer when the user is asking for help with making a text coherent, even if it is not explicitly stated (e.g., “Help me with this one”) or if the request is buried slightly (e.g., with “Could you help me with this please”)." - ] - } - ], - "metadata": { - "colab": { - "provenance": [], - "toc_visible": true - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Fine_Tuning_for_Classify.ipynb b/notebooks/llmu/Fine_Tuning_for_Classify.ipynb index a852b41f..1fe89905 100644 --- a/notebooks/llmu/Fine_Tuning_for_Classify.ipynb +++ b/notebooks/llmu/Fine_Tuning_for_Classify.ipynb @@ -1,513 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NOoAYv5ThsHg" - }, - "source": [ - "# Fine-Tuning for Classify" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AVf0QmYJiJ22" - }, - "source": [ - "Cohere's large language models (LLMs) have been pre-trained with a vast amount of training data, allowing them to capture how words are being used and how their meaning changes depending on the context. \n", - "\n", - "However, there are many cases in which getting the best model performance requires performing an additional round of training on custom user data. Creating a custom model using this process is called fine-tuning.\n", - "\n", - "Fine-tuning allows you to alter the model itself and customize it to excel at your specific task. In this notebook, you'll learn how to fine-tune a model for classification." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Overview\n", - "\n", - "We'll do the following steps:\n", - "- **Step 1: Prepare the Dataset** - Download the dataset, select a subset, and prepare it for the Classify endpoint.\n", - "- **Step 2: Fine-Tune the Model** - Kick off a fine-tuning job, and confirm when the model has completed training.\n", - "- **Step 3: Use/Evaluate the Fine-Tuned Model** - Evaluate the fine-tuned model's performance on the test dataset." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "QVrCaQDaQNoM" - }, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "29uwe-jzJ9rh" - }, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "y9-RyLu7KHII" - }, - "outputs": [], - "source": [ - "# Import the required modules\n", - "import os\n", - "import json\n", - "import cohere\n", - "import numpy as np\n", - "import pandas as pd\n", - "from cohere import ClassifyExample\n", - "from sklearn.model_selection import train_test_split\n", - "from sklearn.metrics import accuracy_score\n", - "from sklearn.metrics import f1_score" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "qrdm__9-AT04" - }, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "AW4RsVSE4j74" - }, - "outputs": [], - "source": [ - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import os\n", - "co = cohere.ClientV2(os.getenv(\"COHERE_API_KEY\"))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "laIkCcKa40PR" - }, - "source": [ - "## Step 1: Prepare the Dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0Fil-WkzipV_" - }, - "source": [ - "We'll use the [Airline Travel Information System (ATIS)](https://www.kaggle.com/datasets/hassanamin/atis-airlinetravelinformationsystem?select=atis_intents_train.csv) intent classification dataset [[source](https://aclanthology.org/H90-1021/)]. For demonstration purposes, we’ll take just a small portion of the dataset: 1,000 data points in total." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 224 + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Fine_Tuning_for_Classify.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "gI7wMIdOrbiK", - "outputId": "5cb28300-2cbb-40da-80a4-fe9f22241f74" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Number of entries: 1000\n" - ] - }, - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    queryintent
    0i want to fly from boston at 838 am and arriv...atis_flight
    1what flights are available from pittsburgh to...atis_flight
    2what is the arrival time in san francisco for...atis_flight_time
    3cheapest airfare from tacoma to orlandoatis_airfare
    4round trip fares from pittsburgh to philadelp...atis_airfare
    \n", - "
    " - ], - "text/plain": [ - " query intent\n", - "0 i want to fly from boston at 838 am and arriv... atis_flight\n", - "1 what flights are available from pittsburgh to... atis_flight\n", - "2 what is the arrival time in san francisco for... atis_flight_time\n", - "3 cheapest airfare from tacoma to orlando atis_airfare\n", - "4 round trip fares from pittsburgh to philadelp... atis_airfare" - ] - }, - "execution_count": 4, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Load the dataset to a dataframe\n", - "df = pd.read_csv('https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/atis_subset.csv', names=['query','intent'])\n", - "print(\"Number of entries:\", len(df))\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "CJIkKk9-AT07" - }, - "source": [ - "The first thing we need is to create a training dataset, to be used for building the classifier, and a test dataset, to be used for testing the classifier performance. We will use 800 and 200 data points for these datasets, respectively." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "cM-2KGlQe80t" - }, - "outputs": [], - "source": [ - "# Split the dataset into training and test portions\n", - "df_train, df_test = train_test_split(df, test_size=200, random_state=21)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "xSfHL3OnAT07" - }, - "source": [ - "Our goal is to train the classifier so it can predict the class of a new customer inquiry out of eight classes, as follows:" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "-J-T5ZKP5s0t", - "outputId": "5d3eb630-2822-4643-f7ae-e5cd597a6365" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['atis_flight', 'atis_airfare', 'atis_ground_service', 'atis_flight_time', 'atis_airline', 'atis_quantity', 'atis_abbreviation', 'atis_aircraft']\n" - ] - } - ], - "source": [ - "# View the list of all available categories\n", - "intents = df_train.intent.unique().tolist()\n", - "print(intents)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "8k5CoStyVEGe" - }, - "source": [ - "We transform the data to JSONL format to match the style expected by the Classification endpoint ([documentation](https://docs.cohere.com/docs/classify-preparing-the-data))." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "DidOiKmnU9nn", - "outputId": "1947518f-ccdb-448e-e8c7-6b2e1b6404d8" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Creating jsonl file ...\n", - "Done\n" - ] - } - ], - "source": [ - "def create_classification_data(text, label):\n", - " formatted_data = {\n", - " \"text\": text,\n", - " \"label\": label\n", - " }\n", - " return formatted_data\n", - "\n", - "if not os.path.isfile(\"data.jsonl\"):\n", - " print(\"Creating jsonl file ...\")\n", - " with open(\"data.jsonl\", 'w+') as file:\n", - " for row in df_train.itertuples():\n", - " formatted_data = create_classification_data(row.query, row.intent)\n", - " file.write(json.dumps(formatted_data) + '\\n')\n", - " file.close()\n", - " print(\"Done\")\n", - "else:\n", - " print(\"data.jsonl file already exists\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RkxARuVbZJww" - }, - "source": [ - "The JSONL file has a row for each example. Each example has \"text\" and \"label\" fields." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "-2l3HpVEZHEe", - "outputId": "530880a8-6364-4fef-a605-f601aad990fa" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{\"text\": \" what flights go from newark to boston after 5 pm\", \"label\": \"atis_flight\"}\n", - "{\"text\": \" can you show me economy class one way fares for flights from oakland to dallas on december sixteenth\", \"label\": \"atis_airfare\"}\n", - "{\"text\": \" show me the latest flight from salt lake city to phoenix\", \"label\": \"atis_flight\"}\n", - "{\"text\": \" pittsburgh to denver\", \"label\": \"atis_flight\"}\n", - "{\"text\": \" show me all flights from san francisco to atlanta\", \"label\": \"atis_flight\"}\n" - ] - } - ], - "source": [ - "# Load jsonl file and print first 5 lines\n", - "N = 5\n", - "with open(\"data.jsonl\") as f:\n", - " for i in range(0, N):\n", - " print(f.readline(), end = '')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2hg1F5GfZRZa" - }, - "source": [ - "## Step 2: Fine-Tune the Model\n", - "\n", - "We kick off a fine-tuning job by navigating to the [fine-tuning tab of the Dashboard](https://dashboard.cohere.com/fine-tuning). Under \"Classify\", click on \"Create a Classify model\".\n", - "\n", - "\n", - "\n", - "Next, upload the `.jsonl` file you just created as the training set by clicking on the \"TRAINING SET\" button. When ready, click on \"Review data\" to proceed to the next step.\n", - "\n", - "\n", - "\n", - "Then, you'll see a preview of how the model will ingest your data. If anything is wrong with the data, the page will also provide suggested changes to fix the training file. Otherwise, if everything looks good, you can proceed to the next step.\n", - "\n", - "\n", - "\n", - "Finally, you'll see a page where you'll provide a nickname to your model. We used `atis-classify-ft` as the nickname for our model. Under \"BASE MODEL\", ensure \"english\" is selected.\n", - "\n", - "\n", - "\n", - "Then click on \"Start training\" to kick off the fine-tuning process. This will navigate you to a page where you can monitor the status of the model. A model that has finished fine-tuning will show the status as `READY`.\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DJDDq9kdCMvH" - }, - "source": [ - "## Step 3: Use/Evaluate the Fine-Tuned Model\n", - "\n", - "Once the model has finished fine-tuning, it’s time to evaluate its performance. Navigate to the API tab of the fine-tuned model. There, you'll see the model ID that you should use when calling `co.classify()`.\n", - "\n", - "\n", - "\n", - "We fill in the model ID to generate test predictions." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "id": "Mq8sj5Fn0OuE" - }, - "outputs": [], - "source": [ - "# Generate classification predictions on the test dataset using the finetuned model\n", - "\n", - "# Classification function\n", - "def classify_text_finetune(texts):\n", - " classifications = co.classify(\n", - " model='b2c94ac3-7a74-4de7-a11a-9808a3b8ef59-ft',\n", - " inputs=texts,\n", - " examples=None\n", - " ).classifications\n", - " return [c.predictions[0] for c in classifications]\n", - "\n", - "# Create batches of texts and classify them\n", - "BATCH_SIZE = 90 # The API accepts a maximum of 96 inputs\n", - "y_pred = []\n", - "for i in range(0, len(df_test), BATCH_SIZE):\n", - " batch_texts = df_test[\"query\"][i:i+BATCH_SIZE].tolist()\n", - " y_pred.extend(classify_text_finetune(batch_texts))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "P0jYvZK9AT1E" - }, - "source": [ - "Next, we calculate the model's test accuracy and F1 score." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "qJ961ixH9kbf", - "outputId": "610b9ae4-3c62-4315-a572-ec1c81167eec", - "scrolled": true - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy: 98.00\n", - "F1-score: 98.05\n" - ] - } - ], - "source": [ - "# Compute metrics on the test dataset\n", - "accuracy = accuracy_score(df_test[\"intent\"], y_pred)\n", - "f1 = f1_score(df_test[\"intent\"], y_pred, average='weighted')\n", - "\n", - "print(f'Accuracy: {100*accuracy:.2f}')\n", - "print(f'F1-score: {100*f1:.2f}')" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Fine_Tuning_for_Rerank.ipynb b/notebooks/llmu/Fine_Tuning_for_Rerank.ipynb index c3a69635..3296642d 100644 --- a/notebooks/llmu/Fine_Tuning_for_Rerank.ipynb +++ b/notebooks/llmu/Fine_Tuning_for_Rerank.ipynb @@ -1,602 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "060191b1", - "metadata": { - "id": "060191b1" - }, - "source": [ - "# Fine-Tuning for Rerank\n", - "\n", - "Cohere's Rerank endpoint is a sophisticated semantic relevance scoring and ranking system that optimizes search results by evaluating the contextual relationship between queries and passages.\n", - "\n", - "However, complex domains are a special challenge due to their intricate terminology, context, and domain-specific knowledge requirements. These domains include legal documents, medical research papers, scientific literature, technical manuals, developer documentation, code, financial reports, and other fields that demand a deep understanding of specific jargon and intricate concepts. These domains often necessitate fine-tuning on custom data to ensure the models capture the nuances and expertise essential for accurate comprehension.\n", - "\n", - "To understand the importance of domain-specific training, we will work with a code example utilizing a dataset in the legal domain. You'll see how fine-tuning can dramatically increase model accuracy." - ] - }, - { - "cell_type": "markdown", - "id": "09d21f23", - "metadata": { - "id": "09d21f23" - }, - "source": [ - "## Overview\n", - "\n", - "We'll do the following steps:\n", - "- **Step 1: Prepare the Dataset** - Download the dataset, select a subset, and prepare it for the Rerank endpoint.\n", - "- **Step 2: Assess the Pre-Trained Model** - Calculate the test accuracy of the pre-trained model.\n", - "- **Step 3: Fine-Tune the Model** - Kick off a fine-tuning job, and confirm when the model has completed training.\n", - "- **Step 4: Evaluate the Fine-Tuned Model** - Evaluate the fine-tuned model's performance on the test dataset.\n", - "\n", - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "2d454a21", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Fine_Tuning_for_Rerank.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "2d454a21", - "outputId": "e1e55d7a-ba32-4456-d0eb-0ee301ee808c" - }, - "outputs": [], - "source": [ - "! pip install cohere jsonlines datasets -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "58778a78", - "metadata": { - "id": "58778a78" - }, - "outputs": [], - "source": [ - "import os\n", - "import cohere\n", - "import json\n", - "import jsonlines\n", - "import pandas as pd\n", - "from sklearn.model_selection import train_test_split\n", - "from datasets import load_dataset" - ] - }, - { - "cell_type": "markdown", - "id": "53fef793", - "metadata": { - "id": "53fef793" - }, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "1e511ab6", - "metadata": { - "id": "1e511ab6" - }, - "outputs": [], - "source": [ - "# Paste your API key here. Remember to not share publicly\n", - "co = cohere.Client(\"COHERE_API_KEY\")" - ] - }, - { - "cell_type": "markdown", - "id": "6188f278", - "metadata": { - "id": "6188f278" - }, - "source": [ - "## Step 1: Prepare the Dataset\n", - "\n", - "We begin by downloading [the CaseHOLD dataset](https://huggingface.co/datasets/casehold/casehold) from Hugging Face. CaseHOLD is a multiple choice Q&A task consisting of legal decisions referencing other decisions as precedents, called a holding statement. It's a challenging task that demands specialized legal expertise to solve.\n", - "\n", - "\n", - "\n", - "We define it as an [IterableDataset](https://huggingface.co/docs/datasets/en/about_mapstyle_vs_iterable) to load only a small fraction of examples at a time and avoid loading the entire dataset in memory." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "45c7f8e0", - "metadata": { - "id": "45c7f8e0" - }, - "outputs": [], - "source": [ - "iterable_dataset = load_dataset(\"casehold/casehold\", split=\"train\", streaming=True, trust_remote_code=True)" - ] - }, - { - "cell_type": "markdown", - "id": "cdc0f31d", - "metadata": { - "id": "cdc0f31d" - }, - "source": [ - "For this example, we'll use a subset of only 420 data points, to be split across training, validation and test sets.\n", - "\n", - "The data is stored in a Pandas DataFrame `df` with 5 columns:\n", - "- `\"query\"` - The search query or question (in the image above, this corresponds to the \"citing text\" or \"prompt\")\n", - "- `\"docs\"` - A list of five documents, where only one correctly answers the query (in the image above, all five \"holding statements\")\n", - "- `\"label\"` - The index of the document that correctly answers the query (in the example in the image above, would be \"0\", corresponding to holding statement 0)\n", - "- `\"relevant_passages\"` - The document that correctly answers the query\n", - "- `\"hard_negatives\"`- The four documents that don't correctly answer the query" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "ad4f4077", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 293 - }, - "id": "ad4f4077", - "outputId": "05f55617-e671-4220-e4d1-50c7125309ff" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    querydocslabelrelevant_passageshard_negatives
    0Drapeau’s cohorts, the cohort would be a “vict...[holding that possession of a pipe bomb is a c...0[holding that possession of a pipe bomb is a c...[holding that bank robbery by force and violen...
    1Colameta used customer information that he too...[recognizing that even if a plaintiff claims c...1[holding that included among trade secrets emp...[recognizing that even if a plaintiff claims c...
    2property tax sale. In reviewing section 6323(b...[holding that where there is a conflict betwee...4[holding that a specific statutory provision p...[holding that where there is a conflict betwee...
    3They also rely on Oswego Laborers’ Local 214 P...[holding that plaintiff stated a 349 claim wh...0[holding that plaintiff stated a 349 claim wh...[holding that plaintiff stated a claim for bre...
    4did not affect the defendant’s guideline range...[holding that united states v booker 543 us 22...3[holding that united states v booker 543 us 22...[holding that united states v booker 543 us 22...
    \n", - "
    " - ], - "text/plain": [ - " query \\\n", - "0 Drapeau’s cohorts, the cohort would be a “vict... \n", - "1 Colameta used customer information that he too... \n", - "2 property tax sale. In reviewing section 6323(b... \n", - "3 They also rely on Oswego Laborers’ Local 214 P... \n", - "4 did not affect the defendant’s guideline range... \n", - "\n", - " docs label \\\n", - "0 [holding that possession of a pipe bomb is a c... 0 \n", - "1 [recognizing that even if a plaintiff claims c... 1 \n", - "2 [holding that where there is a conflict betwee... 4 \n", - "3 [holding that plaintiff stated a 349 claim wh... 0 \n", - "4 [holding that united states v booker 543 us 22... 3 \n", - "\n", - " relevant_passages \\\n", - "0 [holding that possession of a pipe bomb is a c... \n", - "1 [holding that included among trade secrets emp... \n", - "2 [holding that a specific statutory provision p... \n", - "3 [holding that plaintiff stated a 349 claim wh... \n", - "4 [holding that united states v booker 543 us 22... \n", - "\n", - " hard_negatives \n", - "0 [holding that bank robbery by force and violen... \n", - "1 [recognizing that even if a plaintiff claims c... \n", - "2 [holding that where there is a conflict betwee... \n", - "3 [holding that plaintiff stated a claim for bre... \n", - "4 [holding that united states v booker 543 us 22... " - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Size of data subset\n", - "num_examples = 420\n", - "\n", - "# Labels for columns containing the 5 documents in raw dataset file\n", - "all_labels = [\"holding_\" + s for s in [\"0\", \"1\", \"2\", \"3\", \"4\"]]\n", - "\n", - "# Instantiate list containing the data\n", - "d = []\n", - "\n", - "# Store each dataset entry as dictionary within Python list\n", - "for example in iterable_dataset.take(num_examples):\n", - " selected_passage_idx = \"holding_{}\".format(example[\"label\"])\n", - " hard_negatives_idx = [x for x in all_labels if x != selected_passage_idx]\n", - " d.append(\n", - " {\n", - " 'query': example[\"citing_prompt\"],\n", - " 'docs': [example.get(key) for key in all_labels],\n", - " 'label': int(example[\"label\"]),\n", - " 'relevant_passages': [example[selected_passage_idx]],\n", - " 'hard_negatives': [example.get(key) for key in hard_negatives_idx]\n", - " }\n", - " )\n", - "\n", - "# Convert list to Pandas dataframe, preview the dataframe\n", - "df = pd.DataFrame(d)\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "id": "9ff54b7c", - "metadata": { - "id": "9ff54b7c" - }, - "source": [ - "We next split the data into training, validation, and test sets." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "ee4b9053", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ee4b9053", - "outputId": "71e3ce6f-68f7-4567-b3f6-696a79eaf43a" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Size of training set: 256\n", - "Size of validation set: 154\n", - "Size of test set: 10\n" - ] - } - ], - "source": [ - "# Set number of examples for train-valid-test split\n", - "train_num = 256\n", - "valid_num = 154\n", - "test_num = 10\n", - "\n", - "# Do train-validation-test split\n", - "df_train = df[:train_num].copy()\n", - "df_valid = df[train_num:train_num+valid_num].copy()\n", - "df_test = df[train_num+valid_num:].copy()\n", - "\n", - "print('Size of training set:', len(df_train))\n", - "print('Size of validation set:', len(df_valid))\n", - "print('Size of test set:', len(df_test))" - ] - }, - { - "cell_type": "markdown", - "id": "723e4906", - "metadata": { - "id": "723e4906" - }, - "source": [ - "## Step 2: Assess the Pre-Trained Model\n", - "\n", - "We'll now check the test accuracy of the pre-trained model. The `get_prediction()` function looks at a test example and uses the pre-trained model to predict the index of the document that it believes correctly answers the query.\n", - "\n", - "To get predictions, we'll use the [`rerank()` method](https://docs.cohere.com/reference/rerank-1) of the Cohere client and supply four arguments:\n", - "- `model` - We will use [`rerank-english-v3.0`](https://docs.cohere.com/docs/rerank-2), Cohere's newest and most powerful pre-trained model for re-ranking English language documents\n", - "- `query` - The search query or question\n", - "- `documents` - List of documents to choose from\n", - "- `top_n` - Number of documents to return" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "a6cc999d", - "metadata": { - "id": "a6cc999d" - }, - "outputs": [], - "source": [ - "# Predict index of document that corrrectly answers query\n", - "def get_prediction(item, model=\"rerank-english-v3.0\"):\n", - " response = co.rerank(model=model,\n", - " query=item[\"query\"],\n", - " documents=item[\"docs\"],\n", - " top_n=1)\n", - " prediction = response.results[0].index\n", - " return prediction" - ] - }, - { - "cell_type": "markdown", - "id": "a49c3012", - "metadata": { - "id": "a49c3012" - }, - "source": [ - "We apply this function to every row in the test set and save the predictions in new column `\"baseline_prediction\"`. Then, to calculate the test accuracy, we compare the predictions to the ground truth labels in the `\"label\"` column." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "c038e0c0", - "metadata": { - "id": "c038e0c0", - "outputId": "d9c3f41e-9122-40b0-e70f-ea1cddfa98b3" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Baseline accuracy: 0.6\n" - ] - } - ], - "source": [ - "# Calculate pre-trained model's test accuracy\n", - "df_test[\"baseline_prediction\"] = df_test.apply(get_prediction, axis=1)\n", - "print(\"Baseline accuracy:\", sum(df_test[\"baseline_prediction\"] == df_test[\"label\"])/len(df_test))" - ] - }, - { - "cell_type": "markdown", - "id": "64427505", - "metadata": { - "id": "64427505" - }, - "source": [ - "The pre-trained model gets 60% accuracy, which isn't bad! But we can do better with fine-tuning.\n", - "\n", - "## Step 3: Fine-Tune the Model\n", - "\n", - "To prepare for fine-tuning with the Rerank endpoint, we'll need to convert the data to jsonl format, where each row is an example with three items:\n", - "- `\"query\"` - The search query or question\n", - "- `\"relevant_passages\"` - The document that correctly answers the query\n", - "- `\"hard_negatives\"`- The four documents that incorrectly answer the query\n", - "\n", - "We do this separately for training and validation data. You can learn more about preparing the Rerank fine-tuning data in [the documentation](https://docs.cohere.com/docs/rerank-preparing-the-data)." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "203e0995", - "metadata": { - "id": "203e0995" - }, - "outputs": [], - "source": [ - "# Arranges the data to suit Cohere's format\n", - "def create_rerank_ft_data(query, relevant_passages, hard_negatives):\n", - " formatted_data = {\n", - " \"query\": query,\n", - " \"relevant_passages\": relevant_passages,\n", - " \"hard_negatives\": hard_negatives\n", - " }\n", - " return formatted_data\n", - "\n", - "# Creates jsonl file if does not already exist\n", - "def create_jsonl_from_list(file_name, df):\n", - " path = f'{file_name}.jsonl'\n", - " if not os.path.isfile(path):\n", - " with open(path, 'w+') as file:\n", - " for idx, row in df.iterrows():\n", - " formatted_data = create_rerank_ft_data(row[\"query\"], row[\"relevant_passages\"], row[\"hard_negatives\"])\n", - " file.write(json.dumps(formatted_data) + '\\n')\n", - " file.close()\n", - "\n", - "# Create training and validation jsonl files\n", - "create_jsonl_from_list(\"casehold_train\", df_train)\n", - "create_jsonl_from_list(\"casehold_valid\", df_valid)" - ] - }, - { - "cell_type": "markdown", - "id": "9d12485b", - "metadata": { - "id": "9d12485b" - }, - "source": [ - "Next, we preview the first couple lines of the training file." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "104016d2", - "metadata": { - "id": "104016d2", - "outputId": "e4467558-b2c7-446f-ac18-caa203d6f7f9" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'query': \"Drapeau’s cohorts, the cohort would be a “victim” of making the bomb. Further, firebombs are inherently dangerous. There is no peaceful purpose for making a bomb. Felony offenses that involve explosives qualify as “violent crimes” for purposes of enhancing the sentences of career offenders. See 18 U.S.C. § 924(e)(2)(B)(ii) (defining a “violent felony” as: “any crime punishable by imprisonment for a term exceeding one year ... that ... involves use of explosives”). Courts have found possession of a'bomb to be a crime of violence based on the lack of a nonviolent purpose for a bomb and the fact that, by its very nature, there is a substantial risk that the bomb would be used against the person or property of another. See United States v. Newman, 125 F.3d 863 (10th Cir.1997) (unpublished) (); United States v. Dodge, 846 F.Supp. 181,\", 'relevant_passages': ['holding that possession of a pipe bomb is a crime of violence for purposes of 18 usc 3142f1'], 'hard_negatives': ['holding that bank robbery by force and violence or intimidation under 18 usc 2113a is a crime of violence', 'holding that sexual assault of a child qualified as crime of violence under 18 usc 16', 'holding for the purposes of 18 usc 924e that being a felon in possession of a firearm is not a violent felony as defined in 18 usc 924e2b', 'holding that a court must only look to the statutory definition not the underlying circumstances of the crime to determine whether a given offense is by its nature a crime of violence for purposes of 18 usc 16']}\n", - "{'query': 'Colameta used customer information that he took from Protégé. Additionally, Colameta admits to having taken at least two Protégé proposals with him to Monument. This type of information may constitute trade secrets. See G.L.c. 266, §30 (defining “trade secret” as used in G.L.c. 93, §42, as including “anything tangible or intangible or electronically kept or stored, which constitutes, represents, evidences or records a secret scientific, technical, merchandising, production or management information, design, process, procedure, formula, invention or improvement”); Warner-Lambert Co., 427 Mass. at 49 (“ [Confidential and proprietary business information may be entitled to protection, even if such information cannot claim trade secret protection”); see, e.g., Augat, Inc., 409 Mass. at 173 (). “Matters of public knowledge or of general', 'relevant_passages': ['holding that included among trade secrets employee may not appropriate from employer is certain information such as lists of customers'], 'hard_negatives': ['recognizing that even if a plaintiff claims certain information constitutes trade secrets its claim may not depend on that determination', 'holding that supplier lists can be trade secrets under indianas uniform trade secrets act which uses the same definition of a trade secret as montana', 'recognizing that customer lists may be protectable trade secrets', 'recognizing a legitimate need to protect an employee from disclosing an employers trade secrets or other confidential information to a competitor']}\n" - ] - } - ], - "source": [ - "# List the first 2 items in the training jsonl file\n", - "with jsonlines.open('casehold_train.jsonl') as f:\n", - " [print(line) for _, line in zip(range(2), f)]" - ] - }, - { - "cell_type": "markdown", - "id": "c6e291f0", - "metadata": { - "id": "c6e291f0" - }, - "source": [ - "We kick off a fine-tuning job by navigating to the fine-tuning tab of the Dashboard. Under \"Rerank\", click on \"Create a Rerank model\".\n", - "\n", - "\n", - "\n", - "Next, upload the .jsonl files you just created as the training and validation sets by clicking on the \"TRAINING SET\" and \"VALIDATION SET\" buttons. When ready, click on \"Review data\" to proceed to the next step.\n", - "\n", - "\n", - "\n", - "Then, you'll see a preview of how the model will ingest your data. If anything is wrong with the data, the page will also provide suggested changes to fix the training file. Otherwise, if everything looks good, you can proceed to the next step.\n", - "\n", - "\n", - "\n", - "Finally, you'll provide a nickname for your model. We used `casehold-rerank-ft` as the nickname for our model. This page also allows you to provide custom values for the hyperparameters used during training, but we'll keep them at the default values for now.\n", - "\n", - "\n", - "\n", - "Once you have filled in a name, click on \"Start training\" to kick off the fine-tuning process. This will navigate you to a page where you can monitor the status of the model. A model that has finished fine-tuning will show the status as `READY`.\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "71fa23b6", - "metadata": { - "id": "71fa23b6" - }, - "source": [ - "## Step 4: Evaluate the Fine-Tuned Model\n", - "\n", - "Once the model has completed the fine-tuning process, it’s time to evaluate its performance.\n", - "\n", - "Navigate to the API tab of the fine-tuned model. There, you'll see the model ID that you should use when calling `co.rerank()`.\n", - "\n", - "\n", - "\n", - "In the following code, we calculate the test accuracy of the fine-tuned model. We use the same `get_prediction()` function as before, but now just need to pass in the fine-tuned model ID." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "cfa4c1dc", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "cfa4c1dc", - "outputId": "100ce912-180c-4ab7-8fee-5af71e83ab2d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Fine-tune accuracy: 0.8\n" - ] - } - ], - "source": [ - "# Calculate fine-tuned model's test accuracy\n", - "df_test['ft_prediction'] = df_test.apply(get_prediction, model='9f22e08a-f1ab-4cee-9524-607dcb08c954-ft', axis=1)\n", - "print(\"Fine-tune accuracy:\", sum(df_test[\"ft_prediction\"] == df_test[\"label\"])/len(df_test))" - ] - }, - { - "cell_type": "markdown", - "id": "a39d88a5", - "metadata": { - "id": "a39d88a5" - }, - "source": [ - "Remember the pre-trained model had an accuracy of 60%, and so a test accuracy of 80% is a strong level of improvment. Note that for simplicity, this notebook uses a very small dataset, with only ten examples in the test set. But if you can use the same process detailed here to fine-tune Rerank for a much larger dataset." - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Introduction_Text_Embeddings.ipynb b/notebooks/llmu/Introduction_Text_Embeddings.ipynb index 7640b243..e18f801d 100644 --- a/notebooks/llmu/Introduction_Text_Embeddings.ipynb +++ b/notebooks/llmu/Introduction_Text_Embeddings.ipynb @@ -1,1257 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "psRggLwvhi1E" - }, - "source": [ - "# Introduction to Text Embeddings\n", - "\n", - "Text embeddings are a useful way to turn text into numbers that capture its meaning and context. In this notebook, you'll learn how to put them into practice using Cohere's [Embed endpoint](https://docs.cohere.com/reference/embed). You'll calculate embeddings for a dataset of sentences, and plot them in the plane to observe graphically that indeed similar sentences are mapped to close points in the embedding. You'll also explore how to leverage embeddings for semantic search and clustering." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "-H_N7AkCV0P-" - }, - "outputs": [], - "source": [ - "! pip install cohere altair -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "Zg5H7gd7V0Mu" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import pandas as pd\n", - "import numpy as np\n", - "import altair as alt\n", - "from sklearn.decomposition import PCA\n", - "from sklearn.metrics.pairwise import cosine_similarity\n", - "from sklearn.cluster import KMeans" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Introduction to Text Embeddings\n", - "\n", - "In this section, we understand the intuition behind text embeddings.\n", - "\n", - "### Step 1: Prepare the Dataset\n", - "\n", - "We'll work with a subset of the Airline Travel Information System (ATIS) dataset ([source](https://aclanthology.org/H90-1021/)), created based on customer inquiries related to flight bookings, flight departures, arrivals, delays, and cancellations. In the next code cell, we create and preview a dataframe `df` containing 91 queries." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Introduction_Text_Embeddings.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "6L_l-uSXe3BQ", - "outputId": "879229a3-3abc-4dbc-d85f-4e385e5c3807" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    query
    0which airlines fly from boston to washington ...
    1show me the airlines that fly between toronto...
    2show me round trip first class tickets from n...
    3i'd like the lowest fare from denver to pitts...
    4show me a list of ground transportation at bo...
    \n", - "
    " - ], - "text/plain": [ - " query\n", - "0 which airlines fly from boston to washington ...\n", - "1 show me the airlines that fly between toronto...\n", - "2 show me round trip first class tickets from n...\n", - "3 i'd like the lowest fare from denver to pitts...\n", - "4 show me a list of ground transportation at bo..." - ] - }, - "execution_count": 3, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Load the dataset to a dataframe\n", - "df_orig = pd.read_csv('https://raw.githubusercontent.com/cohere-ai/notebooks/main/notebooks/data/atis_intents_train.csv', names=['intent','query'])\n", - "\n", - "# Take a small sample for illustration purposes\n", - "sample_classes = ['atis_airfare', 'atis_airline', 'atis_ground_service']\n", - "df = df_orig.sample(frac=0.1, random_state=30)\n", - "df = df[df.intent.isin(sample_classes)]\n", - "df_orig = df_orig.drop(df.index)\n", - "df.reset_index(drop=True,inplace=True)\n", - "\n", - "# Remove unnecessary column \n", - "intents = df['intent'] #save for a later need\n", - "df.drop(columns=['intent'], inplace=True)\n", - "df.head()" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - " which airlines fly from boston to washington dc via other cities\n", - " show me the airlines that fly between toronto and denver\n", - " show me round trip first class tickets from new york to miami\n", - " i'd like the lowest fare from denver to pittsburgh\n", - " show me a list of ground transportation at boston airport\n", - " show me boston ground transportation\n", - " of all airlines which airline has the most arrivals in atlanta\n", - " what ground transportation is available in boston\n", - " i would like your rates between atlanta and boston on september third\n", - " which airlines fly between boston and pittsburgh\n" - ] - } - ], - "source": [ - "for i in df.head(10)[\"query\"]:\n", - " print(i)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4_YQVuAbf3Xh" - }, - "source": [ - "### Step 2: Turn Text into Embeddings\n", - "\n", - "Next, we use a function `get_embeddings()` that uses the Embed endpoint to generate the text embeddings. We store the embeddings in the `query_embeds` column of the dataframe `df`." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "QtStMqMphEbY" - }, - "outputs": [], - "source": [ - "# Get text embeddings\n", - "def get_embeddings(texts, model=\"embed-english-v3.0\", input_type=\"search_document\"):\n", - " output = co.embed(\n", - " texts=texts, \n", - " model=model, \n", - " input_type=input_type, \n", - " embedding_types=[\"float\"]\n", - " )\n", - " return output.embeddings.float" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 206 - }, - "id": "-5LK9ucKhPt6", - "outputId": "fa67c2f4-c4d5-48c2-db0b-4808a269ff89" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    queryquery_embeds
    0which airlines fly from boston to washington ...[0.02609253, 0.012168884, -0.008903503, 0.0114...
    1show me the airlines that fly between toronto...[0.013801575, 0.017181396, -0.014984131, -0.00...
    2show me round trip first class tickets from n...[0.02053833, -0.038482666, 0.061523438, 0.0099...
    3i'd like the lowest fare from denver to pitts...[0.0016708374, 0.015625, -0.029022217, 0.03759...
    4show me a list of ground transportation at bo...[0.037628174, -0.007888794, -0.0024662018, -0....
    \n", - "
    " - ], - "text/plain": [ - " query \\\n", - "0 which airlines fly from boston to washington ... \n", - "1 show me the airlines that fly between toronto... \n", - "2 show me round trip first class tickets from n... \n", - "3 i'd like the lowest fare from denver to pitts... \n", - "4 show me a list of ground transportation at bo... \n", - "\n", - " query_embeds \n", - "0 [0.02609253, 0.012168884, -0.008903503, 0.0114... \n", - "1 [0.013801575, 0.017181396, -0.014984131, -0.00... \n", - "2 [0.02053833, -0.038482666, 0.061523438, 0.0099... \n", - "3 [0.0016708374, 0.015625, -0.029022217, 0.03759... \n", - "4 [0.037628174, -0.007888794, -0.0024662018, -0.... " - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Embed the dataset\n", - "df['query_embeds'] = get_embeddings(df['query'].tolist())\n", - "df.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2RrXDwpCRKae" - }, - "source": [ - "### Step 3: Visualize Embeddings on a Heatmap\n", - "\n", - "Let’s get some visual intuition about the embeddings by plotting these numbers on a heatmap. What we can do is compress the dimension to a much lower number, say 10. We can do this via a technique called [Principal Component Analysis (PCA)](https://en.wikipedia.org/wiki/Principal_component_analysis), which reduces the number of dimensions in an embedding while retaining as much information as possible." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "J4by-gg6huaa" - }, - "outputs": [], - "source": [ - "# Function to return the principal components\n", - "def get_pc(arr, n):\n", - " pca = PCA(n_components=n)\n", - " embeds_transform = pca.fit_transform(arr)\n", - " return embeds_transform" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "Ojnrp5fRqD_r" - }, - "outputs": [], - "source": [ - "# Reduce embeddings to 10 principal components to aid visualization\n", - "embeds = np.array(df['query_embeds'].tolist())\n", - "embeds_pc = get_pc(embeds, 10)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we visualize the embeddings of the first 9 data points." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 479 - }, - "id": "ysX2i1Qa01ir", - "outputId": "6fe3783f-5b74-4c2b-c1fa-e07b62ff6546" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.Chart(...)" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Set sample size to visualize\n", - "sample = 9\n", - "\n", - "# Reshape the data for visualization purposes\n", - "source = pd.DataFrame(embeds_pc)[:sample]\n", - "source = pd.concat([source,df['query']], axis=1)\n", - "source = source.melt(id_vars=['query'])\n", - "\n", - "# Configure the plot\n", - "chart = alt.Chart(source).mark_rect().encode(\n", - " x=alt.X('variable:N', title=\"Embedding\"),\n", - " y=alt.Y('query:N', title='',axis=alt.Axis(labelLimit=500)),\n", - " color=alt.Color('value:Q', title=\"Value\", scale=alt.Scale(\n", - " range=[\"#917EF3\", \"#000000\"]))\n", - ")\n", - "\n", - "result = chart.configure(background='#ffffff'\n", - " ).properties(\n", - " width=700,\n", - " height=400,\n", - " title='Embeddings with 10 dimensions'\n", - " ).configure_axis(\n", - " labelFontSize=15,\n", - " titleFontSize=12)\n", - "\n", - "# Show the plot\n", - "result" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "O0mvD5KwjbbY" - }, - "source": [ - "Notice the 3 inquiries about ground transportation in Boston - their embeddings patterns are very similar, and at the same time are distinctive from the rest." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Vw83FQxcqXKC" - }, - "source": [ - "### Step 4: Visualize Embeddings on a 2D Plot\n", - "\n", - "We can investigate this further by compressing the embeddings to two dimensions and plotting them on a scatter plot. What we would expect is that texts of similar meaning would be closer to each other, and vice versa." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "id": "31Zq9VTdBrny" - }, - "outputs": [], - "source": [ - "# Function to generate the 2D plot\n", - "def generate_chart(df,xcol,ycol,lbl='on',color='basic',title=''):\n", - " chart = alt.Chart(df).mark_circle(size=500).encode(\n", - " x=\n", - " alt.X(xcol,\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " y=\n", - " alt.Y(ycol,\n", - " scale=alt.Scale(zero=False),\n", - " axis=alt.Axis(labels=False, ticks=False, domain=False)\n", - " ),\n", - " color= alt.value('#333293') if color == 'basic' else color,\n", - " tooltip=['query']\n", - " )\n", - " \n", - " if lbl == 'on':\n", - " text = chart.mark_text(align='left', baseline='middle',dx=15, size=13,color='black').encode(text='query', color= alt.value('black'))\n", - " else:\n", - " text = chart.mark_text(align='left', baseline='middle',dx=10).encode()\n", - " \n", - " result = (chart + text).configure(background=\"#FDF7F0\").properties(\n", - " width=800,\n", - " height=500,\n", - " title=title\n", - " ).configure_legend(orient='bottom', titleFontSize=18,labelFontSize=18)\n", - " \n", - " return result" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 563 - }, - "id": "3wYpgEdvaUVz", - "outputId": "9b978de7-3070-46a1-b6d1-609b5072ff9c" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Reduce embeddings to 2 principal components to aid visualization\n", - "embeds_pc2 = get_pc(embeds, 2)\n", - "\n", - "# Add the principal components to dataframe\n", - "df_pc2 = pd.concat([df, pd.DataFrame(embeds_pc2)], axis=1)\n", - "\n", - "# Plot the 2D embeddings on a chart\n", - "df_pc2.columns = df_pc2.columns.astype(str)\n", - "generate_chart(df_pc2.iloc[:sample],'0','1',title='2D Embeddings')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yf0ktJUokJxB" - }, - "source": [ - "Here texts of similar meaning are located close together. We see inquiries about tickets on the left, inquiries about airlines somewhere around the middle, and inquiries about ground transportation on the top right." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "_AY_M2m5iviz" - }, - "source": [ - "## Introduction to Semantic Search\n", - "\n", - "In this section, you'll learn how to use embeddings to build a search capability that surfaces relevant information based on the semantic meaning of a query. We'll work with the same 9 data points plotted above.\n", - "\n", - "_Read the accompanying [blog post here](https://txt.cohere.ai/introduction-to-semantic-search/)._\n", - "\n", - "### Step 1: Embed the Search Query\n", - "\n", - "We'll use the query below that inquires about ground transportation without using the words \"ground transportation\" explicitly. Ideally, the corresponding data points that are surfaced as most relevant are the three dealing with ground transportation options." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "# Define new query\n", - "new_query = \"How can I find a taxi or a bus when the plane lands?\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We embed the query using the same `get_embeddings()` function as before, but now we set `input_type=\"search_query\"` because we're embedding a search query that we want to compare to the embedded documents." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "id": "fT5DTUFqodyJ" - }, - "outputs": [], - "source": [ - "# Get embeddings of the new query\n", - "new_query_embeds = get_embeddings([new_query], input_type=\"search_query\")[0]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Step 2: Compare to Embedded Documents\n", - "\n", - "We define and use a function `get_similarity()` that employs cosine similarity to determine how similar the documents are to our query. " - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "id": "Ej5FdpdZu1cC" - }, - "outputs": [], - "source": [ - "# Calculate cosine similarity between the search query and existing queries\n", - "def get_similarity(target, candidates):\n", - " # Turn list into array\n", - " candidates = np.array(candidates)\n", - " target = np.expand_dims(np.array(target),axis=0)\n", - "\n", - " # Calculate cosine similarity\n", - " sim = cosine_similarity(target, candidates)\n", - " sim = np.squeeze(sim).tolist()\n", - " sort_index = np.argsort(sim)[::-1]\n", - " sort_score = [sim[i] for i in sort_index]\n", - " similarity_scores = zip(sort_index,sort_score)\n", - "\n", - " # Return similarity scores\n", - " return similarity_scores\n", - "\n", - "# Get the similarity between the search query and existing queries\n", - "similarity = get_similarity(new_query_embeds, embeds[:sample])" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We'll then view the documents in decreasing order of similarity." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "CqP2riamnCA5", - "outputId": "56e0ac84-c1e5-4f88-b99c-c7a59ac881ad" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Query:\n", - "How can I find a taxi or a bus when the plane lands? \n", - "\n", - "Most Similar Documents:\n", - "Similarity: 0.37; show me a list of ground transportation at boston airport\n", - "Similarity: 0.36; what ground transportation is available in boston\n", - "Similarity: 0.33; show me boston ground transportation\n", - "Similarity: 0.27; show me the airlines that fly between toronto and denver\n", - "Similarity: 0.25; which airlines fly from boston to washington dc via other cities\n", - "Similarity: 0.24; of all airlines which airline has the most arrivals in atlanta\n", - "Similarity: 0.18; i'd like the lowest fare from denver to pittsburgh\n", - "Similarity: 0.17; show me round trip first class tickets from new york to miami\n", - "Similarity: 0.17; i would like your rates between atlanta and boston on september third\n" - ] - } - ], - "source": [ - "# View the top 5 articles\n", - "print('Query:')\n", - "print(new_query,'\\n')\n", - "\n", - "print('Most Similar Documents:')\n", - "for idx, sim in similarity:\n", - " print(f'Similarity: {sim:.2f};', df.iloc[idx]['query'])" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VZV8TQDtksYA" - }, - "source": [ - "The top three most similar documents are the inquiries about ground transportation. Notice that the query didn't explicitly mention \"ground transportation,\" yet the embedding successfully captured the underlying meaning. It recognized the words \"taxi\" and \"bus,\" grouping them together as similar concepts." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AK7knkdF8cTy" - }, - "source": [ - "### Step 3: Visualize the Results in a 2D Plot\n", - "\n", - "To prepare for plotting, we use PCA to reduce the query embedding to 2 dimensions with the `get_pc()` function we defined earlier." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": { - "id": "7pRoLb6xprXw" - }, - "outputs": [], - "source": [ - "# Create new dataframe and append new query\n", - "df_sem = df.copy()\n", - "df_sem.loc[len(df_sem.index)] = [new_query, new_query_embeds]\n", - "\n", - "# Reduce embeddings dimension to 2\n", - "embeds_sem = np.array(df_sem['query_embeds'].tolist())\n", - "embeds_sem_pc2 = get_pc(embeds_sem, 2)\n", - "\n", - "# Add the principal components to dataframe\n", - "df_sem_pc2 = pd.concat([df_sem, pd.DataFrame(embeds_sem_pc2)], axis=1)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We then can plot the query on a 2D scatter plot with the nine documents." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 622 - }, - "id": "qyYa_7MuvCPw", - "outputId": "449344e5-f7c9-4f48-a447-0c2a79b5a2ca" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" - ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Create column for representing chart legend\n", - "df_sem_pc2['Source'] = 'Existing'\n", - "df_sem_pc2.at[len(df_sem_pc2)-1, 'Source'] = \"New\"\n", - "\n", - "# Plot on a chart\n", - "df_sem_pc2.columns = df_sem_pc2.columns.astype(str)\n", - "selection = list(range(sample)) + [-1]\n", - "generate_chart(df_sem_pc2.iloc[selection],'0','1',color='Source',title='Semantic Search')" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "q57jExJMkxF3" - }, - "source": [ - "We see that the query is located closest to the FAQs about ground transportation." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "GntvUvgRl-pm" - }, - "source": [ - "## Clustering Using Embeddings\n", - "\n", - "In this section, you will learn how to use embeddings to group similar documents into clusters, to discover emerging patterns in the documents. We'll work with the same 9 data points as before.\n", - "\n", - "_Read the accompanying [blog post here](https://docs.cohere.com/docs/clustering-with-embeddings)._" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ZCmYbVf2k3mG" - }, - "source": [ - "### Step 1: Embed the Text for Clustering\n", - "\n", - "We embed the documents using the same `get_embeddings()` function as before, but now we set `input_type=\"clustering\"` because we'll use the embeddings for clustering." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 678 - }, - "id": "3ahG_5NLu2CH", - "outputId": "19fe9c4b-8dc7-49c7-efe0-850dc6ece109" - }, - "outputs": [], - "source": [ - "# Embed the text for clustering\n", - "df['clustering_embeds'] = get_embeddings(df['query'].tolist(), input_type=\"clustering\")\n", - "embeds = np.array(df['clustering_embeds'].tolist())" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Step 2: Cluster the Embeddings\n", - "\n", - "We use the K-means algorithms to cluster these data points. Since our dataset is small, we'll set the number of clusters to 2. In actual applications, this number is normally larger.\n", - "\n", - "We store the cluster assignments in the `\"cluster\"` column of the `df_clust` DataFrame." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    queryquery_embeds01cluster
    0which airlines fly from boston to washington ...[0.02609253, 0.012168884, -0.008903503, 0.0114...0.073794-0.2529090
    1show me the airlines that fly between toronto...[0.013801575, 0.017181396, -0.014984131, -0.00...0.005050-0.3162270
    2show me round trip first class tickets from n...[0.02053833, -0.038482666, 0.061523438, 0.0099...0.1958390.0811320
    3i'd like the lowest fare from denver to pitts...[0.0016708374, 0.015625, -0.029022217, 0.03759...0.1117410.2060980
    4show me a list of ground transportation at bo...[0.037628174, -0.007888794, -0.0024662018, -0....-0.313675-0.2295351
    \n", - "
    " - ], - "text/plain": [ - " query \\\n", - "0 which airlines fly from boston to washington ... \n", - "1 show me the airlines that fly between toronto... \n", - "2 show me round trip first class tickets from n... \n", - "3 i'd like the lowest fare from denver to pitts... \n", - "4 show me a list of ground transportation at bo... \n", - "\n", - " query_embeds 0 1 \\\n", - "0 [0.02609253, 0.012168884, -0.008903503, 0.0114... 0.073794 -0.252909 \n", - "1 [0.013801575, 0.017181396, -0.014984131, -0.00... 0.005050 -0.316227 \n", - "2 [0.02053833, -0.038482666, 0.061523438, 0.0099... 0.195839 0.081132 \n", - "3 [0.0016708374, 0.015625, -0.029022217, 0.03759... 0.111741 0.206098 \n", - "4 [0.037628174, -0.007888794, -0.0024662018, -0.... -0.313675 -0.229535 \n", - "\n", - " cluster \n", - "0 0 \n", - "1 0 \n", - "2 0 \n", - "3 0 \n", - "4 1 " - ] - }, - "execution_count": 19, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Pick the number of clusters\n", - "n_clusters = 2\n", - "\n", - "# Cluster the embeddings\n", - "kmeans_model = KMeans(n_clusters=n_clusters, n_init='auto', random_state=0)\n", - "classes = kmeans_model.fit_predict(embeds).tolist()\n", - "\n", - "# Store the cluster assignments\n", - "df_clust = df_pc2.copy()\n", - "df_clust['cluster'] = (list(map(str,classes)))\n", - "\n", - "# Preview the cluster assignments\n", - "df_clust.head()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Step 3: Visualize the Results in a 2D Plot\n", - "\n", - "When specified with 2 clusters to group the documents by, the algorithm looks to be spot on, where it generates one cluster related to airline information and one cluster related to ground service information." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.LayerChart(...)" - ] - }, - "execution_count": 20, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Plot on a chart\n", - "df_clust.columns = df_clust.columns.astype(str)\n", - "generate_chart(df_clust.iloc[:sample],'0','1',lbl='on',color='cluster',title='Clustering with 2 Clusters')" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "gpuClass": "standard", - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Introduction_to_RAG.ipynb b/notebooks/llmu/Introduction_to_RAG.ipynb index 5369b407..2ed8b58e 100644 --- a/notebooks/llmu/Introduction_to_RAG.ipynb +++ b/notebooks/llmu/Introduction_to_RAG.ipynb @@ -1,335 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "o4PAQZyTegH3" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "EimVurhQ45yk" - }, - "source": [ - "# Introduction to RAG" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4jBEKety4mKZ" - }, - "source": [ - "This notebook shows a quickstart example on how to build a RAG-powered chatbot with the Cohere's Chat endpoint. The chatbot can extract relevant information from external documents and produce verifiable, inline citations in its responses.\n", - "\n", - "Read the accompanying [article here](https://txt.cohere.com/rag-start/).\n", - "\n", - "The diagram below provides an overview of what we’ll build." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\"Workflow\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4Qci1A1RNWSU" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "KVhenxwXE9oI", - "outputId": "e1363e93-86cc-407a-d347-0a88d399e955" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[?25l \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m0.0/118.8 kB\u001b[0m \u001b[31m?\u001b[0m eta \u001b[36m-:--:--\u001b[0m\r\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m118.8/118.8 kB\u001b[0m \u001b[31m3.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m75.6/75.6 kB\u001b[0m \u001b[31m8.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.8/77.8 kB\u001b[0m \u001b[31m6.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m6.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "cellView": "form", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "KiAF_FuHF2Mg", - "outputId": "2c907cf4-bea5-484a-8e7d-dfe1948a4922" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, + "cells": [ { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Introduction_to_RAG.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" } - ], - "source": [ - "#@title Enable text wrapping in Google Colab\n", - "\n", - "from IPython.display import HTML, display\n", - "\n", - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "2gY7O7rqE6Nq" - }, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "JLXxYR_UHGLJ" - }, - "source": [ - "# Define documents" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bKbrq5c1e45y" - }, - "source": [ - "We define the documents that we want to ground an LLM’s response with, formatted as a list. In our case, each document consists of two fields: title and text.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "CdxeI3XW4yIH" - }, - "outputs": [], - "source": [ - "documents = [\n", - " {\n", - " \"title\": \"Tall penguins\",\n", - " \"text\": \"Emperor penguins are the tallest.\"},\n", - " {\n", - " \"title\": \"Penguin habitats\",\n", - " \"text\": \"Emperor penguins only live in Antarctica.\"},\n", - " {\n", - " \"title\": \"What are animals?\",\n", - " \"text\": \"Animals are different from plants.\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "bT3KjYATHH9o" - }, - "source": [ - "# Generate response with citations" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "A64u2fIyfBri" - }, - "source": [ - "Cohere’s RAG functionalities are part of the Chat endpoint, with the Command model as the underlying LLM. This allows developers to build chatbots that have the full context of a conversation and are not limited to a single interaction.\n", - "\n", - "First, we define the user message. Then we generate the response from the LLM and display it, together with citations and the source documents used." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "fkZ6gEYGISWZ", - "outputId": "662fe3ee-6b61-487b-e59c-c6a18e6038ce" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The tallest living penguins are emperor penguins, which are found only in Antarctica.\n", - "\n", - "CITATIONS:\n", - "start=32 end=48 text='emperor penguins' document_ids=['doc_0']\n", - "start=66 end=85 text='only in Antarctica.' document_ids=['doc_1']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'doc_0', 'text': 'Emperor penguins are the tallest.', 'title': 'Tall penguins'}\n", - "{'id': 'doc_1', 'text': 'Emperor penguins only live in Antarctica.', 'title': 'Penguin habitats'}\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Get the user message\n", - "message = \"What are the tallest living penguins?\"\n", - "\n", - "# Generate the response\n", - "response = co.chat_stream(message=message,\n", - " model=\"command-r-plus\",\n", - " documents=documents)\n", - "\n", - "# Display the response\n", - "citations = []\n", - "cited_documents = []\n", - "\n", - "for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " elif event.event_type == \"citation-generation\":\n", - " citations.extend(event.citations)\n", - " elif event.event_type == \"stream-end\":\n", - " cited_documents = event.response.documents\n", - "\n", - "# Display the citations and source documents\n", - "if citations:\n", - " print(\"\\n\\nCITATIONS:\")\n", - " for citation in citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in cited_documents:\n", - " print(document)" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Parameters_for_Controlling_Outputs.ipynb b/notebooks/llmu/Parameters_for_Controlling_Outputs.ipynb index 5db55af5..911fe2c3 100644 --- a/notebooks/llmu/Parameters_for_Controlling_Outputs.ipynb +++ b/notebooks/llmu/Parameters_for_Controlling_Outputs.ipynb @@ -1,241 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Parameters for Controlling Outputs\n", - "\n", - "In this notebook, you’ll learn about the parameters you can use to control the Chat endpoint's outputs.\n", - "\n", - "*Read the accompanying [blog post here](https://docs.cohere.com/docs/parameters-for-controlling-outputs).*" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Overview" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The notebook has 2 sections:\n", - "- **Model Type** - Select a variation of the Command model.\n", - "- **Randomness** - Use the `temperature` parameter to control the level of randomness of the model." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Parameters_for_Controlling_Outputs.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "z49_e7Ma2IgU", - "outputId": "877c1228-c424-433f-d22c-1565c8445d35" - }, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Model Type\n", - "\n", - "When calling the Chat endpoint, use the `model` parameter to choose from several variations of the Command model. In the example, we select [Command R+ 08-2024](https://docs.cohere.com/docs/command-r-plus)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Hello! How can I help you today?\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"Hello.\"\n", - "\n", - "# Generate the response\n", - "response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}])\n", - "\n", - "print(response.message.content[0].text)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Df4lLD-P3aj_" - }, - "source": [ - "Often, you’ll need to control the level of randomness of the output. You can control this using a few parameters.\n", - "\n", - "The most commonly used parameter is `temperature`, which is a number used to tune the degree of randomness. You can enter values between 0.0 to 1.0.\n", - "\n", - "A lower temperature gives more predictable outputs, and a higher temperature gives more \"creative\" outputs.\n", - "\n", - "Here's an example of setting `temperature` to 0." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1: Enthusiastic Historian.\n", - "\n", - "2: Enthusiastic Historian.\n", - "\n", - "3: Enthusiastic Historian.\n", - "\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I like learning about the industrial revolution and how it shapes the modern world. How can I introduce myself in two words.\"\n", - "\n", - "# Generate the response multiple times by specifying a low temperature value\n", - "for idx in range(3):\n", - " response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}],\n", - " temperature=0)\n", - "\n", - " print(f\"{idx+1}: {response.message.content[0].text}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here's an example of setting `temperature` to 1." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1: Here are two words to introduce yourself based on your interest:\n", - "\n", - "**Revolutionary Historian**\n", - "\n", - "This introduction captures your passion for the Industrial Revolution and its impact on history. It suggests that you have a deep understanding and appreciation for this transformative era, which revolutionized industries and societies worldwide.\n", - "\n", - "2: Two words that could describe you could be:\n", - "\n", - "**History Enthusiast**\n", - "\n", - "3: History Enthusiast.\n", - "\n" - ] - } - ], - "source": [ - "# Add the user message\n", - "message = \"I like learning about the industrial revolution and how it shapes the modern world. How can I introduce myself in two words.\"\n", - "\n", - "# Generate the response multiple times by specifying a high temperature value\n", - "for idx in range(3):\n", - " response = co.chat(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}],\n", - " temperature=1)\n", - "\n", - " print(f\"{idx+1}: {response.message.content[0].text}\\n\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [], - "toc_visible": true - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Prompt_Engineering_Basics.ipynb b/notebooks/llmu/Prompt_Engineering_Basics.ipynb index 8bf71134..325ea2bf 100644 --- a/notebooks/llmu/Prompt_Engineering_Basics.ipynb +++ b/notebooks/llmu/Prompt_Engineering_Basics.ipynb @@ -1,400 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "ca57710c", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "4fac7165", - "metadata": {}, - "source": [ - "# Prompt Engineering Basics\n", - "\n", - "Prompting is at the heart of working with LLMs. The prompt provides context for the text that we want the model to generate. The prompts we create can be anything from simple instructions to more complex pieces of text, and they are used to encourage the model to produce a specific type of output.\n", - "\n", - "Coming up with a good prompt is a bit of both science and art. On the one hand, we know the broad patterns that enable us to construct a prompt that will generate the output that we want. But on the other hand, there is so much room for creativity and imagination.\n", - "\n", - "In this notebook, you’ll learn how to craft effective prompts to obtain desirable outputs for various tasks.\n", - "\n", - "*Read the accompanying [article here](https://cohere.com/llmu/prompt-engineering-basics).*" - ] - }, - { - "cell_type": "markdown", - "id": "834049c9", - "metadata": {}, - "source": [ - "## Overview" - ] - }, - { - "cell_type": "markdown", - "id": "1d7ec57f", - "metadata": {}, - "source": [ - "The notebook is broken into 5 sections:\n", - "- **How to Write a Basic Prompt** - Give the model commands with imperative verbs.\n", - "- **How to Layer Additional Instructions** - Add additional instructions to be more precise about the desired about.\n", - "- **How to Add Context to a Prompt** - Supply additional information as context to help ground the model's output.\n", - "- **How to Extract Information** - Retrieve specific information from a larger body of text.\n", - "- **How to Rewrite Text into Another Format** - Rewrite text (a passage of text) into another format (Q&A list)." - ] - }, - { - "cell_type": "markdown", - "id": "f0b8bf51", - "metadata": {}, - "source": [ - "## Setup" - ] - }, - { - "cell_type": "markdown", - "id": "319754f5", - "metadata": {}, - "source": [ - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "a03e9387", - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "markdown", - "id": "9f1a5060", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "c465a580", - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "id": "9f0f9a08", - "metadata": {}, - "source": [ - "Let's also define a function `generate_text()` to take a user message, call the Chat endpoint, and stream the response." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "0d4130e9", - "metadata": {}, - "outputs": [], - "source": [ - "def generate_text(message):\n", - " # Generate the response by streaming it\n", - " response = co.chat_stream(model=\"command-r-plus-08-2024\",\n", - " messages=[{'role':'user', 'content': message}])\n", - "\n", - " for event in response:\n", - " if event.type == \"content-delta\":\n", - " print(event.delta.message.content.text, end=\"\")" - ] - }, - { - "cell_type": "markdown", - "id": "f4d4b1c1", - "metadata": {}, - "source": [ - "## How to Write a Basic Prompt" - ] - }, - { - "cell_type": "markdown", - "id": "057daf26", - "metadata": {}, - "source": [ - "The best way to design prompts for a model like [Command R/R+](https://cohere.com/models/command) is to give a command or an instruction. One way to do this is by using imperative verbs, for example: generate, write, list, provide, and other variations.\n", - "\n", - "For instance, let’s say that we are creating the product description copy for a wireless earbuds product. " - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "3802a5b5", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\"Experience ultimate audio freedom with our sleek wireless earbuds. Immerse yourself in crystal-clear sound with powerful bass and noise-canceling technology. These lightweight earbuds offer a secure fit, long-lasting battery life, and seamless connectivity for an uninterrupted listening experience on the go.\"" - ] - } - ], - "source": [ - "generate_text(\"Generate a concise product description for the product: wireless earbuds.\")" - ] - }, - { - "cell_type": "markdown", - "id": "801c9079", - "metadata": {}, - "source": [ - "## How to Layer Additional Instructions" - ] - }, - { - "cell_type": "markdown", - "id": "af0ee01b", - "metadata": {}, - "source": [ - "To be more specific about what we want the output to look like, we need only layer additional instructions in the prompt." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "a542f651", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Hook: Immerse yourself in a seamless audio experience.\n", - "\n", - "Solution: Introducing the latest wireless earbuds, designed to revolutionize your daily listening routine.\n", - "\n", - "Features and Benefits:\n", - "- **True Wireless Freedom:** No more tangled wires! Enjoy the convenience of a truly wireless design, allowing unrestricted movement during workouts, commutes, or daily activities.\n", - "- **Crystal Clear Audio:** Experience rich, high-fidelity sound with powerful bass and crisp treble. These earbuds ensure an immersive audio experience for your favorite music, podcasts, and calls.\n", - "- **Long-Lasting Battery:** Say goodbye to frequent charging. The earbuds offer an extended playtime of up to 8 hours on a single charge, with an additional 24 hours provided by the compact charging case.\n", - "- **Quick and Easy Connectivity:** Seamlessly connect to your devices with Bluetooth 5.0 technology. Fast pairing ensures you can start listening in seconds.\n", - "\n", - "Call to Action: Upgrade your audio lifestyle. Order now and enjoy the ultimate wireless listening experience with unmatched comfort and exceptional sound quality!" - ] - } - ], - "source": [ - "generate_text(\"\"\"\n", - " Generate a concise product description for the product: wireless earbuds. \n", - " Use the following format: Hook, Solution, Features and Benefits, Call to Action.\n", - " \"\"\")" - ] - }, - { - "cell_type": "markdown", - "id": "42a9a6ae", - "metadata": {}, - "source": [ - "## How to Add Context to a Prompt" - ] - }, - { - "cell_type": "markdown", - "id": "61a9c3fe", - "metadata": {}, - "source": [ - "The prompt can also be constructed as a combination of an instruction and some context. In the next example, the context is an email." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "1b5278a7", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The email expresses gratitude to the team for their successful organization of a community meetup, highlighting their hard work, dedication, and invaluable contributions." - ] - } - ], - "source": [ - "generate_text(\"\"\"\n", - " Summarize this email in one sentence.\n", - " Dear [Team Members],\n", - " I am writing to thank you for your hard work and dedication in organizing our recent community meetup. The event was a great success and it would not have been possible without your efforts.\n", - " I am especially grateful for the time and energy you have invested in making this event a reality. Your commitment to ensuring that everything ran smoothly and that our guests had a great time is greatly appreciated.\n", - " I am also thankful for the support and guidance you have provided to me throughout the planning process. Your insights and ideas have been invaluable in ensuring that the event was a success.\n", - " I am confident that our community will benefit greatly from this event and I am excited to see the positive impact it will have.\n", - " Thank you again for your hard work and dedication. I am looking forward to working with you on future events.\n", - " Sincerely,\n", - " [Your Name]\n", - " \"\"\")" - ] - }, - { - "cell_type": "markdown", - "id": "70ababe9", - "metadata": {}, - "source": [ - "This instruction–context prompt format is extremely useful as it means we can supply additional information as context to help ground the model's output. One example is a question-answering system for a company's knowledge base. Given a question (the instruction), the model will only be able to provide accurate answers if provided with the knowledge base (the context)." - ] - }, - { - "cell_type": "markdown", - "id": "611b2e41", - "metadata": {}, - "source": [ - "## How to Extract Information\n", - "\n", - "Let's move to another example—an extraction task, which involves retrieving specific information from a given larger body of text. \n", - "\n", - "Given context, which in this case is a description of a movie, we want the model to extract the movie title. " - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "7a671108", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "The movie title is \"Deadpool 2\"." - ] - } - ], - "source": [ - "generate_text(\"\"\"\n", - " Extract the movie title from the text below.\n", - " Deadpool 2 | Official HD Deadpool's \"Wet on Wet\" Teaser | 2018\n", - " \"\"\")" - ] - }, - { - "cell_type": "markdown", - "id": "a70f8e69", - "metadata": {}, - "source": [ - "## How to Rewrite Text into Another Format" - ] - }, - { - "cell_type": "markdown", - "id": "8ab2d13a", - "metadata": {}, - "source": [ - "The model is also effective at tasks that involve taking a piece of text and rewriting it into another format that we need.\n", - "\n", - "In the next example, we have a one-line instruction followed by the context, which in this case is a blog excerpt. The instruction is to generate a list of frequently asked questions (FAQ) based on the passage, which involves a mixture of several tasks such as extraction and rewriting. " - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "83f9631e", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Here is a list of potential FAQs based on the provided text:\n", - "\n", - "**Q: What is the Cohere Platform?**\n", - "A: The Cohere Platform is an API-based service that enables developers and organizations to utilize advanced Large Language Models (LLMs) without requiring expertise in machine learning. It simplifies the process of integrating cutting-edge language processing capabilities into various applications.\n", - "\n", - "**Q: Who is the Cohere Platform designed for?**\n", - "A: The platform is primarily designed for developers and organizations who want to build applications or enhance their existing systems with language processing capabilities. It empowers them to focus on application development rather than the intricacies of model training and deployment.\n", - "\n", - "**Q: What are the main language processing capabilities offered by the Cohere Platform?**\n", - "A: The platform provides two key capabilities: \n", - "1. Text Generation: This allows users to input a prompt and receive a generated text completion. It can be used for creative writing, content generation, and more.\n", - "2. Text Embedding: This capability converts textual data into numerical representations, capturing the semantic meaning of the text. It is useful for tasks like sentiment analysis, similarity search, and understanding textual data.\n", - "\n", - "**Q: How does text generation work?**\n", - "A: Text generation models take a prompt as input and generate a coherent and contextually relevant completion. For example, if you prompt the model with a haiku starter, it will provide a unique haiku as the output. These models are trained on vast amounts of text data to learn patterns and generate human-like text.\n", - "\n", - "**Q: What are the applications of text embedding?**\n", - "A: Text embedding is a powerful technique for transforming textual data into a numerical format that can be easily processed by machine learning algorithms. It enables various applications, including:\n", - "- Sentiment Analysis: Identifying the sentiment (positive, negative, neutral) of customer reviews, social media posts, or feedback.\n", - "- Semantic Search: Enabling search engines to understand the meaning of queries and retrieve relevant results.\n", - "- Text Classification: Categorizing text documents into predefined classes or topics.\n", - "- Similarity Matching: Finding similar documents or passages within a large corpus.\n", - "\n", - "**Q: Does the Cohere Platform require machine learning expertise?**\n", - "A: No, the platform is designed to abstract away the complexities of machine learning and model development. Developers can utilize the API without needing to understand the underlying ML techniques, allowing them to focus on their application's functionality.\n", - "\n", - "**Q: Can you provide an example of text embedding usage?**\n", - "A: Sure! Let's say you have a dataset of customer reviews for a product. By using text embedding, you can convert each review into a vector of numbers. You can then calculate the similarity between reviews to group positive and negative feedback, analyze common themes, or build a recommendation system based on user sentiments.\n", - "\n", - "**Q: How does the Cohere Platform handle data and model security?**\n", - "A: While the text doesn't provide specific details about security measures, typically, such platforms ensure data security by employing encryption, access controls, and secure data storage practices. Model security often involves protecting model IP and ensuring that the models are not misused or accessed without proper authorization.\n", - "\n", - "**Q: Are there any limitations or ethical considerations when using the Cohere Platform?**\n", - "A: As with any AI technology, there might be limitations and ethical concerns. These could include potential biases in the training data, privacy considerations when handling user-generated text, and ensuring responsible usage of the generated content. It's essential to review the platform's documentation and guidelines for a comprehensive understanding of these aspects." - ] - } - ], - "source": [ - "generate_text(\"\"\"\n", - " Given the following text, write down a list of potential frequently asked questions (FAQ), together with the answers.\n", - " The Cohere Platform provides an API for developers and organizations to access cutting-edge LLMs without needing machine learning know-how. \n", - " The platform handles all the complexities of curating massive amounts of text data, model development, distributed training, model serving, and more. \n", - " This means that developers can focus on creating value on the applied side rather than spending time and effort on the capability-building side.\n", - " \n", - " There are two key types of language processing capabilities that the Cohere Platform provides — text generation and text embedding — and each is served by a different type of model.\n", - " \n", - " With text generation, we enter a piece of text, or prompt, and get back a stream of text as a completion to the prompt. \n", - " One example is asking the model to write a haiku (the prompt) and getting an originally written haiku in return (the completion).\n", - " \n", - " With text embedding, we enter a piece of text and get back a list of numbers that represents its semantic meaning (we’ll see what “semantic” means in a section below). \n", - " This is useful for use cases that involve “measuring” what a passage of text represents, for example, in analyzing its sentiment.\n", - " \"\"\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "36e5749d", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Prompt_Engineering_Basics.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/RAG_over_Large_Scale_Data.ipynb b/notebooks/llmu/RAG_over_Large_Scale_Data.ipynb index 48454e6f..0ad079e0 100644 --- a/notebooks/llmu/RAG_over_Large_Scale_Data.ipynb +++ b/notebooks/llmu/RAG_over_Large_Scale_Data.ipynb @@ -1,439 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "CSKXot1mf3-B" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "oBA2WphukTFx" - }, - "source": [ - "# RAG over Large Scale Data" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TSkzr2WGmeQe" - }, - "source": [ - "*Note: To run the notebook, you must first deploy your own Google Drive connector as a web-based REST API (the steps are outlined in [this article](https://txt.cohere.com/rag-quickstart-connectors/#build-and-deploy-the-connector)).*" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yOv1E6lBg_Qj" - }, - "source": [ - "This notebook shows how to build a RAG-powered chatbot with Cohere's Chat endpoint using connectors.\n", - "\n", - "Read the accompanying [article here](https://txt.cohere.com/rag-large-scale-data/).\n", - "\n", - "In particular, this notebook shows how to use connectors at scale, such as connecting to multiple datastores, working with large volumes of documents, and handling long documents. Enterprises need a RAG system that can efficiently handle vast amounts of data from diverse sources, and in this chapter, you’ll learn about how this can be automated with the Chat endpoint.\n", - "\n", - "\n", - "The diagram below provides an overview of what we’ll build." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![Workflow](../images/llmu/rag/rag-workflow-5.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "3Pq-XH3AkU7e" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ZWaYUe_0kYDx", - "outputId": "278aa6d9-b784-49e6-d867-ababb7357da9" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m117.2/117.2 kB\u001b[0m \u001b[31m1.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m75.6/75.6 kB\u001b[0m \u001b[31m5.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.8/77.8 kB\u001b[0m \u001b[31m5.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m5.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/RAG_over_Large_Scale_Data.ipynb." + ] } - ], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "hmAgCSbGklDC", - "outputId": "f5435191-a7cd-41b4-c780-f3aebebb38fb" - }, - "outputs": [], - "source": [ - "import cohere\n", - "from cohere import ChatConnector\n", - "import uuid\n", - "from typing import List, Dict\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "#@title Enable text wrapping in Google Colab\n", - "\n", - "from IPython.display import HTML, display\n", - "\n", - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "nWu4Im8qkzPL" - }, - "source": [ - "# Create a chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "UTy19Cknl7VC" - }, - "source": [ - "The Chatbot class below handles the interaction between the user and chatbot. We define the connector for the chatbot to use with the attribute self.connectors.\n", - "\n", - "The run() method contains the logic for getting the user message, displaying the chatbot response with citations, along with a way for the user to end the conversation." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "CC6cSdhnkpS-", - "outputId": "ac6dc379-e0d6-4683-9b49-0d9fcdafd8c2" - }, - "outputs": [], - "source": [ - "class Chatbot:\n", - " def __init__(self, connectors: List[str]):\n", - " \"\"\"\n", - " Initializes an instance of the Chatbot class.\n", - "\n", - " \"\"\"\n", - " self.conversation_id = str(uuid.uuid4())\n", - " self.connectors = [ChatConnector(id=connector) for connector in connectors]\n", - "\n", - " def run(self):\n", - " \"\"\"\n", - " Runs the chatbot application.\n", - "\n", - " \"\"\"\n", - " while True:\n", - " # Get the user message\n", - " message = input(\"User: \")\n", - "\n", - " # Typing \"quit\" ends the conversation\n", - " if message.lower() == \"quit\":\n", - " print(\"Ending chat.\")\n", - " break\n", - " else: # If using Google Colab, remove this line to avoid printing the same thing twice\n", - " print(f\"User: {message}\") # If using Google Colab, remove this line to avoid printing the same thing twice\n", - "\n", - " # Generate response\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r-plus\",\n", - " conversation_id=self.conversation_id,\n", - " connectors=self.connectors,\n", - " )\n", - "\n", - " # Print the chatbot response, citations, and documents\n", - " print(\"\\nChatbot:\")\n", - " citations = []\n", - " cited_documents = []\n", - "\n", - " # Display response\n", - " for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " elif event.event_type == \"citation-generation\":\n", - " citations.extend(event.citations)\n", - " elif event.event_type == \"stream-end\":\n", - " cited_documents = event.response.documents\n", - "\n", - " # Display citations and source documents\n", - " if citations:\n", - " print(\"\\n\\nCITATIONS:\")\n", - " for citation in citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in cited_documents:\n", - " print({'id': document['id'],\n", - " 'text': document.get('text', document.get('snippet', ''))[:50] + '...'}) # \"text\" for Gdrive, \"snippet\" for web search\n", - "\n", - " print(f\"\\n{'-'*100}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "oc3dJwGnmLBu" - }, - "source": [ - "# Run the chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AAULHBu3mMtj" - }, - "source": [ - "We can now run the chatbot. For this, we create the instance of `Chatbot` using Cohere's managed web-search connector. Then we run the chatbot by invoking the `run()` method.\n", - "\n", - "The format of each citation is:\n", - "- `start`: The starting point of a span where one or more documents are referenced\n", - "- `end`: The ending point of a span where one or more documents are referenced\n", - "- `text`: The text representing this span\n", - "- `document_ids`: The IDs of the documents being referenced (`doc_0` being the ID of the first document passed to the `documents` creating parameter in the endpoint call, and so on)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "mxxGVMpxoc6K" - }, - "source": [ - "The Chat endpoint can accept multiple connectors and retrieve information from all the defined connectors." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "4AbIxRVkk9B6", - "outputId": "49da15ac-7606-48c6-dca6-b3d462a13361" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "User: What is chain of thought prompting\n", - "\n", - "Chatbot:\n", - "Chain-of-Thought (CoT) prompting is a technique used to guide Large Language Models (LLMs) to follow a reasoning process when dealing with complex problems. This is done by providing the model with a few examples where the step-by-step reasoning is clearly laid out. The model is then expected to follow that \"chain of thought\" reasoning to get to the correct answer.\n", - "\n", - "CoT prompting is a prompt engineering technique that aims to improve language models' performance on tasks requiring logic, calculation and decision-making by structuring the input prompt in a way that mimics human reasoning.\n", - "\n", - "To construct a chain-of-thought prompt, a user typically appends an instruction such as \"Describe your reasoning in steps\" or \"Let's think step by step\" to the end of their query to a large language model (LLM). This encourages the model to generate intermediate steps before providing a final answer.\n", - "\n", - "CITATIONS:\n", - "start=17 end=22 text='(CoT)' document_ids=['web-search_0', 'web-search_1', 'web-search_2', 'web-search_3', 'web-search_5', 'web-search_7', 'web-search_8', 'demo-conn-gdrive-6bfrp6_11', 'demo-conn-gdrive-6bfrp6_12']\n", - "start=56 end=61 text='guide' document_ids=['web-search_3', 'web-search_4', 'web-search_7']\n", - "start=62 end=83 text='Large Language Models' document_ids=['web-search_0', 'web-search_2', 'web-search_3', 'web-search_4', 'web-search_5', 'web-search_7', 'demo-conn-gdrive-6bfrp6_11']\n", - "start=84 end=90 text='(LLMs)' document_ids=['web-search_0', 'web-search_2', 'web-search_3', 'web-search_4', 'web-search_5', 'web-search_7', 'demo-conn-gdrive-6bfrp6_11']\n", - "start=94 end=120 text='follow a reasoning process' document_ids=['web-search_1', 'web-search_3', 'web-search_4', 'web-search_7']\n", - "start=139 end=156 text='complex problems.' document_ids=['web-search_3', 'web-search_5', 'web-search_7']\n", - "start=200 end=212 text='few examples' document_ids=['web-search_1', 'web-search_3', 'web-search_5', 'web-search_7', 'demo-conn-gdrive-6bfrp6_11']\n", - "start=223 end=266 text='step-by-step reasoning is clearly laid out.' document_ids=['web-search_1', 'web-search_3', 'web-search_4', 'web-search_5', 'web-search_7', 'demo-conn-gdrive-6bfrp6_11']\n", - "start=297 end=337 text='follow that \"chain of thought\" reasoning' document_ids=['web-search_3', 'web-search_5']\n", - "start=341 end=367 text='get to the correct answer.' document_ids=['web-search_3', 'web-search_4', 'web-search_5']\n", - "start=388 end=416 text='prompt engineering technique' document_ids=['web-search_4', 'web-search_5']\n", - "start=430 end=466 text=\"improve language models' performance\" document_ids=['web-search_4']\n", - "start=486 end=524 text='logic, calculation and decision-making' document_ids=['web-search_4']\n", - "start=528 end=556 text='structuring the input prompt' document_ids=['web-search_4']\n", - "start=571 end=594 text='mimics human reasoning.' document_ids=['web-search_4']\n", - "start=684 end=718 text='\"Describe your reasoning in steps\"' document_ids=['web-search_4', 'demo-conn-gdrive-6bfrp6_11']\n", - "start=722 end=748 text='\"Let\\'s think step by step\"' document_ids=['web-search_1', 'web-search_3', 'web-search_5', 'web-search_8', 'demo-conn-gdrive-6bfrp6_11', 'demo-conn-gdrive-6bfrp6_12']\n", - "start=846 end=864 text='intermediate steps' document_ids=['web-search_0', 'web-search_1', 'web-search_2', 'web-search_3', 'web-search_4', 'web-search_5', 'web-search_7', 'demo-conn-gdrive-6bfrp6_11']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'web-search_0', 'text': 'Skip to main content\\n\\nWe gratefully acknowledge su...'}\n", - "{'id': 'web-search_1', 'text': 'General Tips for Designing Prompts\\n\\nChain-of-Thoug...'}\n", - "{'id': 'web-search_2', 'text': 'BlogDocsCommunityHackAPrompt Playground\\n\\nLanguage ...'}\n", - "{'id': 'web-search_3', 'text': 'We now support using Microsoft Azure hosted OpenAI...'}\n", - "{'id': 'web-search_5', 'text': 'Comprehensive Guide to Chain-of-Thought Prompting\\n...'}\n", - "{'id': 'web-search_7', 'text': 'ResourcesArticleChain-of-Thought Prompting: Helpin...'}\n", - "{'id': 'web-search_8', 'text': 'Skip to main content\\n\\nScan this QR code to downloa...'}\n", - "{'id': 'demo-conn-gdrive-6bfrp6_11', 'text': \"\\ufeffConstructing Prompts\\r\\nIn this chapter, you'll lea...\"}\n", - "{'id': 'demo-conn-gdrive-6bfrp6_12', 'text': \"\\ufeffUse Case Patterns\\r\\nIn this chapter, you'll learn ...\"}\n", - "{'id': 'web-search_4', 'text': 'Tech Accelerator What is generative AI? Everything...'}\n", - "\n", - "----------------------------------------------------------------------------------------------------\n", - "\n", - "Ending chat.\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Define connectors\n", - "connectors = [\"demo-conn-gdrive-6bfrp6\", \"web-search\"]\n", - "\n", - "# Create an instance of the Chatbot class\n", - "chatbot = Chatbot(connectors)\n", - "\n", - "# Run the chatbot\n", - "chatbot.run()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "13NYOYrOo6Sq" - }, - "source": [ - "With all these documents coming from various connectors, you may be asking a couple of questions:\n", - "\n", - "- **How to handle long documents?** Connecting to multiple connectors means having to deal with various APIs, each with its own way of providing documents. Some may return a complete document with tens or hundreds of pages. There are a couple of problems with this. First, stuffing a long document into an LLM prompt means its context limit will be reached, resulting in an error. Second, even if the context limit is not reached, the LLM response will likely not be very good because it is getting a lot of irrelevant information from a long document instead of specific chunks from the document that are the most relevant.\n", - "\n", - "- **How to handle multiple documents from multiple connectors and queries?** For a specific connector, the retrieval and reranking implementation is within the developer’s control. But with multiple connectors, that is not possible because these documents are aggregated at the Chat endpoint. As the number of connectors increases, this becomes a bigger problem because we don’t have control over the relevancy of the documents sent to the LLM prompt. And then there is the same problem of possible context limits being reached. Furthermore, if more than one query is generated, the number of documents retrieved will multiply with the same number.\n", - "\n", - "The Chat endpoint solves these problems with its automated chunking and reranking process.\n", - "\n", - "Note that for this to happen, the `prompt_truncation` parameter should be set as `AUTO` (default) and not `OFF`." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "XPiSsvTtpxuD" - }, - "source": [ - "# Handling Long and Large Volume of Documents" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IyoETRmZpKIu" - }, - "source": [ - "### Chunking\n", - "\n", - "The command-r family of models supports a large context length (128k tokens), offering ample room for retrieved documents. However, in the scenario where this context length is exceeded, the automated chunking feature will be activated. \n", - "\n", - "With every document sent by the connectors, the first step is to split it into smaller chunks. Each chunk is between 100 and 400 words, and sentences are kept intact where possible.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "fLtLQE5WpQfv" - }, - "source": [ - "### Reranking\n", - "\n", - "The Chat endpoint then uses the Rerank endpoint to take all the chunked documents from all connectors and rerank them based on contextual relevance to the query." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "gBY-iIqGpUl9" - }, - "source": [ - "### Interleaving\n", - "\n", - "The reranked documents from the different lists are then interleaved into one list." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "tliT_nBMpbMX" - }, - "source": [ - "### Prompt building\n", - "By setting the `prompt_truncation` parameter by setting it to `AUTO`, some elements from chat_history and documents will be dropped in an attempt to construct a prompt that fits within the model's context length limit.\n", - "Documents and chat history will be iteratively added until the prompt is too long. This prompt will be passed to the Command model for response generation.\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "usAY26Q-pJKr" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/RAG_with_Chat_Embed_and_Rerank.ipynb b/notebooks/llmu/RAG_with_Chat_Embed_and_Rerank.ipynb index ec7b98a1..9faad3a3 100644 --- a/notebooks/llmu/RAG_with_Chat_Embed_and_Rerank.ipynb +++ b/notebooks/llmu/RAG_with_Chat_Embed_and_Rerank.ipynb @@ -1,760 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "ctaLvRUsfpj8", - "metadata": { - "id": "ctaLvRUsfpj8" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "61bac5b5", - "metadata": { - "id": "61bac5b5" - }, - "source": [ - "# RAG with Chat, Embed, and Rerank\n", - "\n", - "This notebook shows how to build a RAG-powered chatbot with Cohere's Chat endpoint. The chatbot can extract relevant information from external documents and produce verifiable, inline citations in its responses.\n", - "\n", - "Read the accompanying [article here](https://txt.cohere.com/rag-chatbot/).\n", - "\n", - "This application will use several Cohere API endpoints:\n", - "\n", - "- Chat: For handling the main logic of the chatbot, including turning a user message into queries, generating responses, and producing citations\n", - "- Embed: For turning textual documents into their embeddings representation, later to be used in retrieval (we’ll use the latest, state-of-the-art Embed v3 model)\n", - "- Rerank: For reranking the retrieved documents according to their relevance to a query\n", - "\n", - "The diagram below provides an overview of what we’ll build." - ] - }, - { - "cell_type": "markdown", - "id": "33327522", - "metadata": {}, - "source": [ - "![Workflow](../images/llmu/rag/rag-workflow-2.png)" - ] - }, - { - "cell_type": "markdown", - "id": "f6ab2d5d", - "metadata": { - "id": "f6ab2d5d" - }, - "source": [ - "Here is a summary of the steps involved.\n", - "\n", - "Initial phase:\n", - "- **Step 0**: Ingest the documents – get documents, chunk, embed, and index.\n", - "\n", - "For each user-chatbot interaction:\n", - "- **Step 1**: Get the user message\n", - "- **Step 2**: Call the Chat endpoint in query-generation mode\n", - "- If at least one query is generated\n", - " - **Step 3**: Retrieve and rerank relevant documents\n", - " - **Step 4**: Call the Chat endpoint in document mode to generate a grounded response with citations\n", - "- If no query is generated\n", - " - **Step 4**: Call the Chat endpoint in normal mode to generate a response" - ] - }, - { - "cell_type": "markdown", - "id": "TWyo_5WoNUM-", - "metadata": { - "id": "TWyo_5WoNUM-" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "5pLAhQmTOKiV", - "metadata": { - "id": "5pLAhQmTOKiV" - }, - "outputs": [], - "source": [ - "! pip install cohere hnswlib unstructured --upgrade nltk -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "f3a03a57", - "metadata": { - "id": "f3a03a57" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import uuid\n", - "import hnswlib\n", - "from typing import List, Dict\n", - "from unstructured.partition.html import partition_html\n", - "from unstructured.chunking.title import chunk_by_title\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "Dx1cncziCWBB", - "metadata": { - "cellView": "form", - "id": "Dx1cncziCWBB" - }, - "outputs": [], - "source": [ - "#@title Enable text wrapping in Google Colab\n", - "\n", - "from IPython.display import HTML, display\n", - "\n", - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "markdown", - "id": "9d34e4b7", - "metadata": {}, - "source": [ - "# Create a vector store for ingestion and retrieval\n" - ] - }, - { - "cell_type": "markdown", - "id": "588ed6d0", - "metadata": {}, - "source": [ - "![RAG components - Vectorstore](../images/llmu/rag/rag-components-vectorstore.png)" - ] - }, - { - "cell_type": "markdown", - "id": "2f7e7d1c", - "metadata": { - "id": "2f7e7d1c" - }, - "source": [ - "\n", - "First, we define the list of documents we want to ingest and make available for retrieval. As an example, we'll use the contents from the first module of Cohere's *LLM University: What are Large Language Models?*." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "3dca4a88", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "3dca4a88", - "outputId": "b05da1ee-0456-4387-c232-a43e0ffed54c" - }, - "outputs": [], - "source": [ - "raw_documents = [\n", - " {\n", - " \"title\": \"Crafting Effective Prompts\",\n", - " \"url\": \"https://docs.cohere.com/docs/crafting-effective-prompts\"},\n", - " {\n", - " \"title\": \"Advanced Prompt Engineering Techniques\",\n", - " \"url\": \"https://docs.cohere.com/docs/advanced-prompt-engineering-techniques\"},\n", - " {\n", - " \"title\": \"Prompt Truncation\",\n", - " \"url\": \"https://docs.cohere.com/docs/prompt-truncation\"},\n", - " {\n", - " \"title\": \"Preambles\",\n", - " \"url\": \"https://docs.cohere.com/docs/preambles\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "id": "5e2a8968", - "metadata": { - "id": "5e2a8968" - }, - "source": [ - "Usually the number of documents for practical applications is vast, and so we'll need to be able to search documents efficiently. This involves breaking the documents into chunks, generating embeddings, and indexing the embeddings, as shown in the image below. \n", - "\n", - "We implement this in the `Vectorstore` class below, which takes the `raw_documents` list as input. Three methods are immediately called when creating an object of the `Vectorstore` class:\n", - "\n", - "\n", - "`load_and_chunk()` \n", - "This method uses the `partition_html()` method from the `unstructured` library to load the documents from URL and break them into smaller chunks. Each chunk is turned into a dictionary object with three fields:\n", - "- `title` - the web page’s title,\n", - "- `text` - the textual content of the chunk, and\n", - "- `url` - the web page’s URL. \n", - " \n", - " \n", - "`embed()` \n", - "This method uses Cohere's `embed-english-v3.0` model to generate embeddings of the chunked documents. Since our documents will be used for retrieval, we set `input_type=\"search_document\"`. We send the documents to the Embed endpoint in batches, because the endpoint has a limit of 96 documents per call.\n", - "\n", - "`index()` \n", - "This method uses the `hsnwlib` package to index the document chunk embeddings. This will ensure efficient similarity search during retrieval. Note that `hnswlib` uses a vector library, and we have chosen it for its simplicity." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "c28df755", - "metadata": {}, - "outputs": [], - "source": [ - "class Vectorstore:\n", - " \"\"\"\n", - " A class representing a collection of documents indexed into a vectorstore.\n", - "\n", - " Parameters:\n", - " raw_documents (list): A list of dictionaries representing the sources of the raw documents. Each dictionary should have 'title' and 'url' keys.\n", - "\n", - " Attributes:\n", - " raw_documents (list): A list of dictionaries representing the raw documents.\n", - " docs (list): A list of dictionaries representing the chunked documents, with 'title', 'text', and 'url' keys.\n", - " docs_embs (list): A list of the associated embeddings for the document chunks.\n", - " docs_len (int): The number of document chunks in the collection.\n", - " idx (hnswlib.Index): The index used for document retrieval.\n", - "\n", - " Methods:\n", - " load_and_chunk(): Loads the data from the sources and partitions the HTML content into chunks.\n", - " embed(): Embeds the document chunks using the Cohere API.\n", - " index(): Indexes the document chunks for efficient retrieval.\n", - " retrieve(): Retrieves document chunks based on the given query.\n", - " \"\"\"\n", - "\n", - " def __init__(self, raw_documents: List[Dict[str, str]]):\n", - " self.raw_documents = raw_documents\n", - " self.docs = []\n", - " self.docs_embs = []\n", - " self.retrieve_top_k = 10\n", - " self.rerank_top_k = 3\n", - " self.load_and_chunk()\n", - " self.embed()\n", - " self.index()\n", - "\n", - "\n", - " def load_and_chunk(self) -> None:\n", - " \"\"\"\n", - " Loads the text from the sources and chunks the HTML content.\n", - " \"\"\"\n", - " print(\"Loading documents...\")\n", - "\n", - " for raw_document in self.raw_documents:\n", - " elements = partition_html(url=raw_document[\"url\"])\n", - " chunks = chunk_by_title(elements)\n", - " for chunk in chunks:\n", - " self.docs.append(\n", - " {\n", - " \"title\": raw_document[\"title\"],\n", - " \"text\": str(chunk),\n", - " \"url\": raw_document[\"url\"],\n", - " }\n", - " )\n", - "\n", - " def embed(self) -> None:\n", - " \"\"\"\n", - " Embeds the document chunks using the Cohere API.\n", - " \"\"\"\n", - " print(\"Embedding document chunks...\")\n", - "\n", - " batch_size = 90\n", - " self.docs_len = len(self.docs)\n", - " for i in range(0, self.docs_len, batch_size):\n", - " batch = self.docs[i : min(i + batch_size, self.docs_len)]\n", - " texts = [item[\"text\"] for item in batch]\n", - " docs_embs_batch = co.embed(\n", - " texts=texts, model=\"embed-english-v3.0\", input_type=\"search_document\"\n", - " ).embeddings\n", - " self.docs_embs.extend(docs_embs_batch)\n", - "\n", - " def index(self) -> None:\n", - " \"\"\"\n", - " Indexes the document chunks for efficient retrieval.\n", - " \"\"\"\n", - " print(\"Indexing document chunks...\")\n", - "\n", - " self.idx = hnswlib.Index(space=\"ip\", dim=1024)\n", - " self.idx.init_index(max_elements=self.docs_len, ef_construction=512, M=64)\n", - " self.idx.add_items(self.docs_embs, list(range(len(self.docs_embs))))\n", - "\n", - " print(f\"Indexing complete with {self.idx.get_current_count()} document chunks.\")\n", - "\n", - " def retrieve(self, query: str) -> List[Dict[str, str]]:\n", - " \"\"\"\n", - " Retrieves document chunks based on the given query.\n", - "\n", - " Parameters:\n", - " query (str): The query to retrieve document chunks for.\n", - "\n", - " Returns:\n", - " List[Dict[str, str]]: A list of dictionaries representing the retrieved document chunks, with 'title', 'text', and 'url' keys.\n", - " \"\"\"\n", - "\n", - " # Dense retrieval\n", - " query_emb = co.embed(\n", - " texts=[query], model=\"embed-english-v3.0\", input_type=\"search_query\"\n", - " ).embeddings\n", - " \n", - " doc_ids = self.idx.knn_query(query_emb, k=self.retrieve_top_k)[0][0]\n", - "\n", - " # Reranking\n", - " rank_fields = [\"title\", \"text\"] # We'll use the title and text fields for reranking\n", - "\n", - " docs_to_rerank = [self.docs[doc_id] for doc_id in doc_ids]\n", - " rerank_results = co.rerank(\n", - " query=query,\n", - " documents=docs_to_rerank,\n", - " top_n=self.rerank_top_k,\n", - " model=\"rerank-english-v3.0\",\n", - " rank_fields=rank_fields\n", - " )\n", - "\n", - " doc_ids_reranked = [doc_ids[result.index] for result in rerank_results.results]\n", - "\n", - " docs_retrieved = []\n", - " for doc_id in doc_ids_reranked:\n", - " docs_retrieved.append(\n", - " {\n", - " \"title\": self.docs[doc_id][\"title\"],\n", - " \"text\": self.docs[doc_id][\"text\"],\n", - " \"url\": self.docs[doc_id][\"url\"],\n", - " }\n", - " )\n", - "\n", - " return docs_retrieved" - ] - }, - { - "cell_type": "markdown", - "id": "e1bf5d85", - "metadata": { - "id": "e1bf5d85" - }, - "source": [ - "In the code cell below, we initialize an instance of the `Vectorstore` class and pass in the `raw_documents` list as input." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "4643e630", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 178 - }, - "id": "4643e630", - "outputId": "fe01fcb6-3574-4322-d8d0-57d37aad397d" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loading documents...\n", - "Embedding document chunks...\n", - "Indexing document chunks...\n", - "Indexing complete with 44 document chunks.\n" - ] - } - ], - "source": [ - "# Create an instance of the Vectorstore class with the given sources\n", - "vectorstore = Vectorstore(raw_documents)" - ] - }, - { - "cell_type": "markdown", - "id": "61928287", - "metadata": { - "id": "61928287" - }, - "source": [ - "The `Vectorstore` class also has a `retrieve()` method, which we'll use to retrieve relevant document chunks given a query (as in Step 3 in the diagram shared at the beginning of this notebook). This method has two components: (1) dense retrieval, and (2) reranking.\n", - "\n", - "### Dense retrieval\n", - "\n", - "First, we embed the query using the same `embed-english-v3.0` model we used to embed the document chunks, but this time we set `input_type=\"search_query\"`.\n", - "\n", - "Search is performed by the `knn_query()` method from the `hnswlib` library. Given a query, it returns the document chunks most similar to the query. We can define the number of document chunks to return using the attribute `self.retrieve_top_k=10`.\n", - "\n", - "### Reranking\n", - "\n", - "After semantic search, we implement a reranking step. While our semantic search component is already highly capable of retrieving relevant sources, the [Rerank endpoint](https://cohere.com/rerank) provides an additional boost to the quality of the search results, especially for complex and domain-specific queries. It takes the search results and sorts them according to their relevance to the query.\n", - "\n", - "We call the Rerank endpoint with the `co.rerank()` method and define the number of top reranked document chunks to retrieve using the attribute `self.rerank_top_k=3`. The model we use is `rerank-english-v2.0`. \n", - "\n", - "This method returns the top retrieved document chunks `chunks_retrieved` so that they can be passed to the chatbot.\n", - "\n", - "In the code cell below, we check the document chunks that are retrieved for the query `\"multi-head attention definition\"`." - ] - }, - { - "cell_type": "markdown", - "id": "OwozNf_uPEyX", - "metadata": { - "id": "OwozNf_uPEyX" - }, - "source": [ - "## Test Retrieval" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "82617b91", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 267 - }, - "id": "82617b91", - "outputId": "7f1f2bc8-8ed9-4190-bd6b-7af2d9dc1980" - }, - "outputs": [ + "cells": [ { - "data": { - "text/plain": [ - "[{'title': 'Advanced Prompt Engineering Techniques',\n", - " 'text': 'Few-shot Prompting\\n\\nUnlike the zero-shot examples above, few-shot prompting is a technique that provides a model with examples of the task being performed before asking the specific question to be answered. We can steer the LLM toward a high-quality solution by providing a few relevant and diverse examples in the prompt. Good examples condition the model to the expected response type and style.',\n", - " 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'},\n", - " {'title': 'Crafting Effective Prompts',\n", - " 'text': 'Incorporating Example Outputs\\n\\nLLMs respond well when they have specific examples to work from. For example, instead of asking for the salient points of the text and using bullet points “where appropriate”, give an example of what the output should look like.',\n", - " 'url': 'https://docs.cohere.com/docs/crafting-effective-prompts'},\n", - " {'title': 'Advanced Prompt Engineering Techniques',\n", - " 'text': 'In addition to giving correct examples, including negative examples with a clear indication of why they are wrong can help the LLM learn to distinguish between correct and incorrect responses. Ordering the examples can also be important; if there are patterns that could be picked up on that are not relevant to the correctness of the question, the model may incorrectly pick up on those instead of the semantics of the question itself.',\n", - " 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}]" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/RAG_with_Chat_Embed_and_Rerank.ipynb." ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "vectorstore.retrieve(\"Prompting by giving examples\")" - ] - }, - { - "cell_type": "markdown", - "id": "bae81baa", - "metadata": {}, - "source": [ - "# Run chatbot" - ] - }, - { - "cell_type": "markdown", - "id": "c88017f4", - "metadata": {}, - "source": [ - "![RAG components - Chatbot](../images/llmu/rag/rag-components-chatbot.png)" - ] - }, - { - "cell_type": "markdown", - "id": "e69fbca9", - "metadata": { - "id": "e69fbca9" - }, - "source": [ - "We can now run the chatbot. For this, we create a generate_chat function which includes the RAG components:\n", - "- For each user message, we use the endpoint’s search query generation feature to turn the message into one or more queries that are optimized for retrieval. The endpoint can even return no query, which means that a user message can be responded to directly without retrieval. This is done by calling the Chat endpoint with the search_queries_only parameter and setting it as True.\n", - "- If there is no search query generated, we call the Chat endpoint to generate a response directly. If there is at least one, we call the retrieve method from the Vectorstore instance to retrieve the most relevant documents to each query.\n", - "- Finally, all the results from all queries are appended to a list and passed to the Chat endpoint for response generation.\n", - "- We print the response, together with the citations and the list of document chunks cited, for easy reference." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "d2c15a1f", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "d2c15a1f", - "outputId": "8daa9159-338c-45ec-e9ed-830aedcdf0d8" - }, - "outputs": [], - "source": [ - "def run_chatbot(message, chat_history=None):\n", - " if chat_history is None:\n", - " chat_history = []\n", - " \n", - " # Generate search queries, if any \n", - " response = co.chat(message=message,\n", - " model=\"command-r-plus\",\n", - " search_queries_only=True,\n", - " chat_history=chat_history)\n", - " \n", - " search_queries = []\n", - " for query in response.search_queries:\n", - " search_queries.append(query.text)\n", - "\n", - " # If there are search queries, retrieve the documents\n", - " if search_queries:\n", - " print(\"Retrieving information...\", end=\"\")\n", - "\n", - " # Retrieve document chunks for each query\n", - " documents = []\n", - " for query in search_queries:\n", - " documents.extend(vectorstore.retrieve(query))\n", - "\n", - " # Use document chunks to respond\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r-plus\",\n", - " documents=documents,\n", - " chat_history=chat_history,\n", - " )\n", - "\n", - " else:\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r-plus\",\n", - " chat_history=chat_history,\n", - " )\n", - " \n", - " # Print the chatbot response, citations, and documents\n", - " chatbot_response = \"\"\n", - " print(\"\\nChatbot:\")\n", - "\n", - " for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " chatbot_response += event.text\n", - " if event.event_type == \"stream-end\":\n", - " if event.response.citations:\n", - " print(\"\\n\\nCITATIONS:\")\n", - " for citation in event.response.citations:\n", - " print(citation)\n", - " if event.response.documents:\n", - " print(\"\\nCITED DOCUMENTS:\")\n", - " for document in event.response.documents:\n", - " print(document)\n", - " # Update the chat history for the next turn\n", - " chat_history = event.response.chat_history\n", - "\n", - " return chat_history" - ] - }, - { - "cell_type": "markdown", - "id": "dfd03d5f", - "metadata": {}, - "source": [ - "Here is a sample conversation consisting of a few turns. " - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "cc071a0b", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "Chatbot:\n", - "Of course! I am here to help. Please go ahead with your question, and I will do my best to assist you." - ] } - ], - "source": [ - "# Turn # 1\n", - "chat_history = run_chatbot(\"Hello, I have a question\")" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "b84bfdb0", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Retrieving information...\n", - "Chatbot:\n", - "Zero-shot prompting involves asking the model to perform a task without providing any examples. On the other hand, few-shot prompting is a technique where the model is provided with a few relevant and diverse examples of the task being performed before asking the specific question to be answered. These examples help steer the model toward a high-quality solution and condition it to the expected response type and style.\n", - "\n", - "CITATIONS:\n", - "start=0 end=19 text='Zero-shot prompting' document_ids=['doc_0']\n", - "start=29 end=95 text='asking the model to perform a task without providing any examples.' document_ids=['doc_0']\n", - "start=115 end=133 text='few-shot prompting' document_ids=['doc_0']\n", - "start=159 end=217 text='model is provided with a few relevant and diverse examples' document_ids=['doc_0']\n", - "start=246 end=297 text='before asking the specific question to be answered.' document_ids=['doc_0']\n", - "start=318 end=364 text='steer the model toward a high-quality solution' document_ids=['doc_0']\n", - "start=369 end=422 text='condition it to the expected response type and style.' document_ids=['doc_0']\n", - "\n", - "CITED DOCUMENTS:\n", - "{'id': 'doc_0', 'text': 'Few-shot Prompting\\n\\nUnlike the zero-shot examples above, few-shot prompting is a technique that provides a model with examples of the task being performed before asking the specific question to be answered. We can steer the LLM toward a high-quality solution by providing a few relevant and diverse examples in the prompt. Good examples condition the model to the expected response type and style.', 'title': 'Advanced Prompt Engineering Techniques', 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Turn # 2\n", - "chat_history = run_chatbot(\"What's the difference between zero-shot and few-shot prompting\", chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "d60ce722", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Retrieving information...\n", - "Chatbot:\n", - "Few-shot prompting can vastly improve the quality of the model's completions. Providing a few relevant and diverse examples helps steer the model toward a high-quality solution by conditioning it to the expected response type and style.\n", - "\n", - "CITATIONS:\n", - "start=23 end=77 text=\"vastly improve the quality of the model's completions.\" document_ids=['doc_2']\n", - "start=90 end=123 text='few relevant and diverse examples' document_ids=['doc_0']\n", - "start=130 end=176 text='steer the model toward a high-quality solution' document_ids=['doc_0']\n", - "start=180 end=236 text='conditioning it to the expected response type and style.' document_ids=['doc_0']\n", - "\n", - "CITED DOCUMENTS:\n", - "{'id': 'doc_2', 'text': 'Advanced Prompt Engineering Techniques\\n\\nSuggest Edits\\n\\nThe previous chapter discussed general rules and heuristics to follow for successfully prompting the Command family of models. Here, we will discuss specific advanced prompt engineering techniques that can in many cases vastly improve the quality of the model’s completions. These include how to give clear and unambiguous instructions, few-shot prompting, chain-of-thought (CoT) techniques, and prompt chaining.', 'title': 'Advanced Prompt Engineering Techniques', 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}\n", - "{'id': 'doc_0', 'text': 'Few-shot Prompting\\n\\nUnlike the zero-shot examples above, few-shot prompting is a technique that provides a model with examples of the task being performed before asking the specific question to be answered. We can steer the LLM toward a high-quality solution by providing a few relevant and diverse examples in the prompt. Good examples condition the model to the expected response type and style.', 'title': 'Advanced Prompt Engineering Techniques', 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}\n" - ] - } - ], - "source": [ - "# Turn # 3\n", - "chat_history = run_chatbot(\"How would the latter help?\", chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "c9faca1e", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Retrieving information...\n", - "Chatbot:\n", - "Sorry, I don't have any information about 5G networks. Can I help you with anything else?" - ] - } - ], - "source": [ - "# Turn # 4\n", - "chat_history = run_chatbot(\"What do you know about 5G networks?\", chat_history)" - ] - }, - { - "cell_type": "markdown", - "id": "d0bf24de", - "metadata": {}, - "source": [ - "There are a few observations worth pointing out:\n", - "\n", - "- Direct response: For user messages that don’t require retrieval (“Hello, I have a question”), the chatbot responds directly without requiring retrieval.\n", - "- Citation generation: For responses that do require retrieval (\"What's the difference between zero-shot and few-shot prompting\"), the endpoint returns the response together with the citations. These are fine-grained citations, which means they refer to specific spans of the generated text.\n", - "- State management: The endpoint maintains the state of the conversation via the chat_history parameter, for example, by correctly responding to a vague user message such as \"How would the latter help?\"\n", - "- Response synthesis: The model can decide if none of the retrieved documents provide the necessary information to answer a user message. For example, when asked the question, “What do you know about 5G networks”, the chatbot retrieves external information from the index. However, it doesn’t use any of the information in its response as none of it is relevant to the question." - ] - }, - { - "cell_type": "markdown", - "id": "154c95a2", - "metadata": {}, - "source": [ - "Here are the contents of the chat history." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "3281f3b7", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Chat history:\n", - "message='Hello, I have a question' tool_calls=None role='USER' \n", - "\n", - "message='Of course! I am here to help. Please go ahead with your question, and I will do my best to assist you.' tool_calls=None role='CHATBOT' \n", - "\n", - "message=\"What's the difference between zero-shot and few-shot prompting\" tool_calls=None role='USER' \n", - "\n", - "message='Zero-shot prompting involves asking the model to perform a task without providing any examples. On the other hand, few-shot prompting is a technique where the model is provided with a few relevant and diverse examples of the task being performed before asking the specific question to be answered. These examples help steer the model toward a high-quality solution and condition it to the expected response type and style.' tool_calls=None role='CHATBOT' \n", - "\n", - "message='How would the latter help?' tool_calls=None role='USER' \n", - "\n", - "message=\"Few-shot prompting can vastly improve the quality of the model's completions. Providing a few relevant and diverse examples helps steer the model toward a high-quality solution by conditioning it to the expected response type and style.\" tool_calls=None role='CHATBOT' \n", - "\n", - "message='What do you know about 5G networks?' tool_calls=None role='USER' \n", - "\n", - "message=\"Sorry, I don't have any information about 5G networks. Can I help you with anything else?\" tool_calls=None role='CHATBOT' \n", - "\n", - "==================================================\n" - ] - } - ], - "source": [ - "print(\"Chat history:\")\n", - "for c in chat_history:\n", - " print(c, \"\\n\")\n", - "print(\"=\"*50)" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/RAG_with_Connectors.ipynb b/notebooks/llmu/RAG_with_Connectors.ipynb index c98b80f7..f177d5bd 100644 --- a/notebooks/llmu/RAG_with_Connectors.ipynb +++ b/notebooks/llmu/RAG_with_Connectors.ipynb @@ -1,332 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "v_eWsiiMfu2q", - "metadata": { - "id": "v_eWsiiMfu2q" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "f9e30089", - "metadata": { - "id": "f9e30089" - }, - "source": [ - "# RAG with Connectors\n", - "\n", - "This notebook shows how to build a RAG-powered chatbot with Cohere's Chat endpoint using connectors. The chatbot can extract relevant information from external documents and produce verifiable, inline citations in its responses.\n", - "\n", - "Read the accompanying [article here](https://txt.cohere.com/rag-connectors/).\n", - "\n", - "Connectors are ways of connecting to data sources. These data sources could be internal documents, document databases, the broader internet, or any other source of context which can inform the replies generated by the model.\n", - "\n", - "We'll use the web search connector, a Cohere-managed connector that you can use without additional setup.\n", - "\n", - "The diagram below provides an overview of what we’ll build." - ] - }, - { - "cell_type": "markdown", - "id": "fff288e2", - "metadata": {}, - "source": [ - "![Workflow](../images/llmu/rag/rag-workflow-3.png)" - ] - }, - { - "cell_type": "markdown", - "id": "5IdmW-I9NXpq", - "metadata": { - "id": "5IdmW-I9NXpq" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "r2jcKQ6iLefn", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "r2jcKQ6iLefn", - "outputId": "acb51f35-43a2-4567-d8e6-913f00d57df6" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m117.2/117.2 kB\u001b[0m \u001b[31m1.9 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m75.6/75.6 kB\u001b[0m \u001b[31m3.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.8/77.8 kB\u001b[0m \u001b[31m4.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m4.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/RAG_with_Connectors.ipynb." + ] } - ], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "90f134ba", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "90f134ba", - "outputId": "f2236cef-f274-4100-dbcd-333b826f5ee8" - }, - "outputs": [], - "source": [ - "import uuid\n", - "import cohere\n", - "from cohere import ChatConnector\n", - "from typing import List\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "EavECqgqNJ8g", - "metadata": { - "cellView": "form", - "id": "EavECqgqNJ8g" - }, - "outputs": [], - "source": [ - "#@title Enable text wrapping in Google Colab\n", - "\n", - "from IPython.display import HTML, display\n", - "\n", - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "markdown", - "id": "d319ece1", - "metadata": { - "id": "d319ece1" - }, - "source": [ - "# Create a chatbot\n", - "\n", - "In connector mode, most of the implementation is taken care of by the endpoint, including deciding whether to retrieve information, generating queries, retrieving documents, chunking and reranking documents (post-retrieval), and generating the response. This greatly simplifies our code.\n", - "\n", - "The `Chatbot` class below handles the interaction between the user and chatbot. We define the connector for the chatbot to use with the attribute `self.connectors`. In this notebook, we will use Cohere's `“web-search”` connector, which runs searches against a browser in safe mode.\n", - "\n", - "The run() method contains the logic for getting the user message, displaying the chatbot response with citations, along with a way for the user to end the conversation.\n", - "\n", - "Then, the chatbot responds to the user message. We call `co.chat()` and supply a `connectors` parameter to make the chatbot component use connector mode. All of the remaining implementation is taken care of by the endpoint, up to generating the response.\n", - "\n", - "We also pass the `conversation_id` parameter, which retains the interactions between the user and the chatbot in the same conversation thread. We enable the `stream` parameter so we can stream the chatbot response.\n", - "\n", - "We then print the chatbot's response. In the case that the external information was used to generate a response, we also display documents and in-line citations." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "e52d521d", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "e52d521d", - "outputId": "b0f90f1c-17c8-46fa-d471-b11059767ede" - }, - "outputs": [], - "source": [ - "class Chatbot:\n", - " def __init__(self, connectors: List[str]):\n", - " \"\"\"\n", - " Initializes an instance of the Chatbot class.\n", - "\n", - " \"\"\"\n", - " self.conversation_id = str(uuid.uuid4())\n", - " self.connectors = [ChatConnector(id=connector) for connector in connectors]\n", - "\n", - " def run(self):\n", - " \"\"\"\n", - " Runs the chatbot application.\n", - "\n", - " \"\"\"\n", - " while True:\n", - " # Get the user message\n", - " message = input(\"User: \")\n", - "\n", - " # Typing \"quit\" ends the conversation\n", - " if message.lower() == \"quit\":\n", - " print(\"Ending chat.\")\n", - " break\n", - " else: # If using Google Colab, remove this line to avoid printing the same thing twice\n", - " print(f\"User: {message}\") # If using Google Colab, remove this line to avoid printing the same thing twice\n", - "\n", - " # Generate response\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r-plus\",\n", - " conversation_id=self.conversation_id,\n", - " connectors=self.connectors,\n", - " )\n", - "\n", - " # Print the chatbot response, citations, and documents\n", - " print(\"\\nChatbot:\")\n", - " citations = []\n", - " cited_documents = []\n", - "\n", - " # Display response\n", - " for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " elif event.event_type == \"citation-generation\":\n", - " citations.extend(event.citations)\n", - " elif event.event_type == \"stream-end\":\n", - " cited_documents = event.response.documents\n", - "\n", - " # Display citations and source documents\n", - " if citations:\n", - " print(\"\\n\\nCITATIONS:\")\n", - " for citation in citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in cited_documents:\n", - " print({'id': document['id'],\n", - " 'snippet': document['snippet'][:400] + '...',\n", - " 'title': document['title'],\n", - " 'url': document['url']})\n", - "\n", - " print(f\"\\n{'-'*100}\\n\")" - ] - }, - { - "cell_type": "markdown", - "id": "rJbwGPksPfh0", - "metadata": { - "id": "rJbwGPksPfh0" - }, - "source": [ - "# Run the chatbot" - ] - }, - { - "cell_type": "markdown", - "id": "c755140c", - "metadata": { - "id": "c755140c" - }, - "source": [ - "We can now run the chatbot. For this, we create the instance of `Chatbot` using Cohere's managed web-search connector. Then we run the chatbot by invoking the `run()` method.\n", - "\n", - "The format of each citation is:\n", - "- `start`: The starting point of a span where one or more documents are referenced\n", - "- `end`: The ending point of a span where one or more documents are referenced\n", - "- `text`: The text representing this span\n", - "- `document_ids`: The IDs of the documents being referenced (`doc_0` being the ID of the first document passed to the `documents` creating parameter in the endpoint call, and so on)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "99e5005b", - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 999 - }, - "id": "99e5005b", - "outputId": "4609e72c-df6f-4c77-8132-cc0e73b80eee" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "User: What is Cohere's LLM University\n", - "\n", - "Chatbot:\n", - "Cohere's LLM University (LLMU) is a set of comprehensive learning resources for anyone interested in natural language processing (NLP), from beginners to advanced learners. The curriculum covers everything from the basics of LLMs to the most advanced topics, including generative AI. The course is designed to give learners a solid foundation in NLP and help them develop their own applications.\n", - "\n", - "CITATIONS:\n", - "start=24 end=30 text='(LLMU)' document_ids=['web-search_0', 'web-search_1']\n", - "start=36 end=75 text='set of comprehensive learning resources' document_ids=['web-search_1']\n", - "start=101 end=134 text='natural language processing (NLP)' document_ids=['web-search_0', 'web-search_1']\n", - "start=141 end=172 text='beginners to advanced learners.' document_ids=['web-search_0', 'web-search_1']\n", - "start=177 end=187 text='curriculum' document_ids=['web-search_0', 'web-search_1']\n", - "start=215 end=229 text='basics of LLMs' document_ids=['web-search_0', 'web-search_1']\n", - "start=237 end=283 text='most advanced topics, including generative AI.' document_ids=['web-search_1']\n", - "start=326 end=349 text='solid foundation in NLP' document_ids=['web-search_0', 'web-search_1']\n", - "start=364 end=395 text='develop their own applications.' document_ids=['web-search_0', 'web-search_1']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'web-search_0', 'snippet': 'Guides and ConceptsAPI ReferenceRelease NotesApplication ExamplesLLMU\\n\\nCoralDashboardDocumentationPlaygroundCommunityLog In\\n\\nCoralDashboardDocumentationPlaygroundCommunityLog In\\n\\nWelcome to LLM University!\\n\\nWelcome to LLM University by Cohere!\\n\\nWe’re so happy that you’ve chosen to learn Natural Language Processing and Large Language Models with us.\\n\\nOur comprehensive curriculum aims to give you a ...', 'title': 'LLM University (LLMU) | Cohere', 'url': 'https://docs.cohere.com/docs/llmu'}\n", - "{'id': 'web-search_1', 'snippet': \"Introducing LLM University — Your Go-To Learning Resource for NLP🎓\\n\\nDiscover our comprehensive NLP curriculum at LLM University. From the fundamentals of LLMs all the way to the most advanced topics, including generative AI\\n\\nWe're excited to announce the launch of LLM University (LLMU), a set of comprehensive learning resources for anyone interested in natural language processing (NLP), from begin...\", 'title': 'Introducing LLM University — Your Go-To Learning Resource for NLP🎓', 'url': 'https://txt.cohere.com/llm-university/'}\n", - "\n", - "----------------------------------------------------------------------------------------------------\n", - "\n", - "Ending chat.\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Define the connector\n", - "connectors = [\"web-search\"]\n", - "\n", - "# Create an instance of the Chatbot class\n", - "chatbot = Chatbot(connectors)\n", - "\n", - "# Run the chatbot\n", - "chatbot.run()" - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/RAG_with_Quickstart_Connectors.ipynb b/notebooks/llmu/RAG_with_Quickstart_Connectors.ipynb index ed818362..7122890a 100644 --- a/notebooks/llmu/RAG_with_Quickstart_Connectors.ipynb +++ b/notebooks/llmu/RAG_with_Quickstart_Connectors.ipynb @@ -1,444 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "1lq03xVdfzMX" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "RHv_VYcmkRFI" - }, - "source": [ - "# RAG with Quickstart Connectors" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "yZzk64vUhC8T" - }, - "source": [ - "*Note: To run the notebook, you must first deploy your own Google Drive connector as a web-based REST API (the steps are outlined [here](https://txt.cohere.com/rag-quickstart-connectors/#build-and-deploy-the-connector)).*\n", - "\n", - "*The connector implementation code is [available here](examples/rag_quickstart_connectors/gdrive).*" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "LgnnKlvWgfi8" - }, - "source": [ - "This notebook shows how to build a RAG-powered chatbot with Cohere's Chat endpoint using one of 80+ pre-built quickstart connectors. We’ll use it to connect a chatbot to a Google Drive, enabling the chatbot to use the Google Drive API to find answers to a user’s question by searching documents in the Google Drive.\n", - "\n", - "Read the accompanying [article here](https://txt.cohere.com/rag-quickstart-connectors/)." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "FBb1kNk5gl9S" - }, - "source": [ - "With quickstart connectors, developers can use pre-built implementations of over 80 connectors immediately without having to build them themselves. They can either use these quickstart connectors directly or adapt them to their organization’s needs.\n", - "\n", - "Cohere’s quickstart connectors are open-sourced and available in [our connectors repository](https://github.com/cohere-ai/quick-start-connectors/tree/main?ref=txt.cohere.com).\n", - "\n", - "The diagram below provides an overview of what we’ll build.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "![Workflow](../images/llmu/rag/rag-workflow-3.png)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "7ov6QwswXmfJ" - }, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ofFN7iLvXn43", - "outputId": "45f5bd8e-a76e-410e-f62f-4f96fb86c0fa" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m117.2/117.2 kB\u001b[0m \u001b[31m1.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m75.6/75.6 kB\u001b[0m \u001b[31m3.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.8/77.8 kB\u001b[0m \u001b[31m5.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m5.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "P1wBvi56Rnmv" - }, - "outputs": [], - "source": [ - "import cohere\n", - "from cohere import ChatConnector\n", - "import uuid\n", - "from typing import List\n", - "\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key here: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "#@title Enable text wrapping in Google Colab\n", - "\n", - "from IPython.display import HTML, display\n", - "\n", - "def set_css():\n", - " display(HTML('''\n", - " \n", - " '''))\n", - "get_ipython().events.register('pre_run_cell', set_css)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "IvtgPNskj5LY" - }, - "source": [ - "# Test the Connector" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "wFCfGIZsk58k" - }, - "source": [ - "We can test if the connector is working by making a curl request to its search endpoint.\n", - "\n", - "Note: The steps to build and deploy the connector are outlined in [this article](https://txt.cohere.com/rag-chatbot-quickstart/). The implementation code is available [here](https://github.com/cohere-ai/notebooks/tree/main/examples/chat_rag_quickstart_connector)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "t8am2kZpcYpf", - "outputId": "f316fbcc-6b77-4adf-b058-b198e0ba3039" - }, - "outputs": [ + "cells": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "{\n", - " \"results\": [\n", - " {\n", - " \"editedBy\": \"Meor Amer\",\n", - " \"id\": \"1LGsOhBL02jwy5UUIS8tuv9G80FSn7vxeQYiiglsN9oY\",\n", - " \"mimeType\": \"application/vnd.google-apps.document\",\n", - " \"modifiedTime\": \"2023-12-01T07:51:43.489Z\",\n", - " \"text\": \"\\ufeffConstructing Prompts\\r\\nIn this chapter, you'll learn about the different techniques for constructing prompts for the Command model.\\r\\nSuggest Edits\\r\\n!https://files.readme.io/76e9a4b-constructing-prompts-for-the-command-model.png\\r\\nIntroduction\\r\\nWhen working with large language models (LLMs), the prompt is the key to getting the desired response. A well-designed prompt will result in useful and accurate responses from a model and considerably improve your experience interacting with it.\\r\\nPrompts can be as simple as a one-liner, or they can be as complex as multiple layers of specific information. The more specific your command is, the more likely you will get exactly what you need from the model. We\\u2019ll look at some tips and ideas for constructing the commands in your prompt to help you get to your intended outcome. We\\u2019ll focus on the broad patterns without going into the long-tail list of techniques and tricks.\\r\\nWe\\u2019ll be using the Command model , Cohere\\u2019s instruction-following model that enables generative AI use cases in business productivity, marketing, creative writing, and more. This chapter comes with a Google Colaboratory notebook that lets you get hands-on with the code.\\r\\nSetting Up\\r\\nFirst, let\\u2019s install the Cohere Python SDK, get the Cohere API key, and set up the client.\\r\\nPython\\r\\n! pip install \"cohere<5\" import cohere co = cohere.Client(\\\"COHERE_API_KEY\\\") # Your Cohere API key\\r\\nLet\\u2019s also define a function to take a prompt and a temperature value and then call the Generate endpoint , which is how we can access the Command model. Here, we select the model type to be command.\\r\\nWe set a default temperature value of 0, which nudges the response to be more predictable and less random. Throughout this chapter, you\\u2019ll see different temperature values being used in different situations. Increasing the temperature value tells the model to generate less predictable responses and instead be more \\u201ccreative.\\u201d\\r\\nThis function returns the text response generated by the model.\\r\\nPython\\r\\ndef generate_text(prompt, temp=0): response = co.generate( model='command', prompt=prompt, max_tokens=200, temperature=temp) return response.generations[0].text\\r\\nInstruction\\r\\n!https://txt.cohere.com/content/images/2023/07/Instruction.png\\r\\nAdding basic instructions to a prompt\\r\\nWhile prompts can morph into something very lengthy and complex, it doesn\\u2019t have to be that way all the time. At its core, prompting a Command model is about sending an instruction to a text generation model and getting a response back. Hence, the smallest unit of a perfectly complete prompt is a short line of instruction to the model.\\r\\nLet\\u2019s say we want to generate a product description for a wireless headphone. Here\\u2019s an example prompt, where we create a variable for the user to input some text and merge that into the main prompt.\\r\\nPython\\r\\n`user_input = \\\"a wireless headphone product named the CO-1T\\\" prompt = f\\\"\\\"\\\"Write a creative product description for {user_input}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nThe model returns the following sample response, which does the job we asked for.\\r\\nThe CO-1T is a sleek and stylish wireless headphone product that offers a comfortable and secure fit for all-day wear. These headphones feature a noise-cancelling microphone and easy-to-use controls, making them perfect for use during your daily commute or while you're at the gym. The CO-1T comes with a charging cable and a carrying case, so you can take them with you on the go.\\r\\n\\r\\n\\r\\nThe CO-1T features a powerful and clear sound quality, making it easy to hear your favorite music and podcasts. The noise-cancelling microphone ensures that you can take calls without any distractions, while the easy-to-use controls allow you to adjust the volume and playback of your music.\\r\\n\\r\\n\\r\\nSpecifics\\r\\n!https://txt.cohere.com/content/images/2023/07/Specifics.png\\r\\nAdding specific details to a prompt\\r\\nA simple and short prompt can get you started, but in most cases, you\\u2019ll need to add specificity to your instructions. A generic prompt will return a generic response, and in most cases, that\\u2019s not what we want. In the same way that specific instructions will help humans do our job well, a model needs to be supplied with specific details to guide its response.\\r\\nGoing back to the previous prompt, the generated product description was great, but what if we wanted it to include specific things, such as its features, who it is designed for, and so on? We can adjust the prompt to take more inputs from the user, like so:\\r\\nPython\\r\\n`user_input_product = \\\"a wireless headphone product named the CO-1T\\\" user_input_keywords = '\\\"bluetooth\\\", \\\"wireless\\\", \\\"fast charging\\\"' user_input_customer = \\\"a software developer who works in noisy offices\\\" user_input_describe = \\\"benefits of this product\\\"\\r\\nprompt = f\\\"\\\"\\\"Write a creative product description for {user_input_product}, \\\\ with the keywords {user_input_keywords} for {user_input_customer}, and describe {user_input_describe}.\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nIn the example above, we pack the additional details of the prompt in a single paragraph. Alternatively, we can also compose it to be more structured, like so:\\r\\nPython\\r\\n`user_input_product = \\\"a wireless headphone product named the CO-1T\\\" user_input_keywords = '\\\"bluetooth\\\", \\\"wireless\\\", \\\"fast charging\\\"' user_input_customer = \\\"a software developer who works in noisy offices\\\" user_input_describe = \\\"benefits of this product\\\"\\r\\nprompt = f\\\"\\\"\\\"Write a creative product description for {user_input_product}. Keywords: {user_input_keywords} Audience: {user_input_customer} Describe: {user_input_describe}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nAnd here\\u2019s an example response. This time, the product description is tailored more specifically to our desired target customer, includes the key features that we specified, and sprinkles benefit statements throughout \\u2014 all coming from the instruction we added to the prompt.\\r\\nDo you hate noisy work environments? Well, we got a great solution for you! The CO-1T is the perfect wireless headphone for software developers who work in loud and disruptive offices. With its Bluetooth connectivity and noise-canceling features, you can stay focused on your work without any distractions. Our product also has fast charging, so you won't have to worry about battery life. Instead, you can quickly get back to work in no time. So what are you waiting for? Become the most productive person in your office with the help of the CO-1T.\\r\\n\\r\\n\\r\\nThere are many other angles to add specificity to a prompt. Here are some examples:\\r\\n* Style : Telling the model to provide a response that follows a certain style or framework. For example, instead of asking the model to \\u201cGenerate an ad copy for a wireless headphone product\\u201d in the generic sense, we ask it to follow a certain style, such as \\u201cGenerate an ad copy for a wireless headphone product, following the AIDA Framework \\u2013 Attention, Interest, Desire, Action.\\u201d\\r\\n* Tone : Adding a line mentioning how the tone of a piece of text should be, such as professional, inspirational, fun, serious, and so on. For example, \\u201cTone: casual\\u201d\\r\\n* Persona : Telling the model to act like a certain persona helps to add originality and quality to the response. For example, \\u201cYou are a world-class content marketer. Write a product description for\\u2026\\u201d\\r\\n* Length : Telling the model to generate text with a specific length, be it in words, paragraphs, and others. This helps guide the model to be verbose, concise, or somewhere in between. For example, \\u201cWrite in three paragraphs the benefits of \\u2026\\u201d\\r\\nContext\\r\\n!https://txt.cohere.com/content/images/2023/07/Context.png\\r\\nAdding contextual information to a prompt\\r\\nWhile LLMs excel in text generation tasks, they struggle in context-aware scenarios. Here\\u2019s an example. If you were to ask the model for the top qualities to look for in wireless headphones, it will duly generate a solid list of points. But if you were to ask it for the top qualities of the CO-1T headphone, it will not be able to provide an accurate response because it doesn\\u2019t know about it (CO-1T is a hypothetical product we just made up for illustration purposes).\\r\\nIn real applications, being able to add context to a prompt is key because this is what enables personalized generative AI for a team or company. It makes many use cases possible, such as intelligent assistants, customer support, and productivity tools, that retrieve the right information from a wide range of sources and add it to the prompt.\\r\\nThis is a whole topic on its own, but to provide some idea, this demo shows an example of text generation with information retrieval in action. Here though, we\\u2019ll assume that the right information is already retrieved and added to the prompt.\\r\\nHere\\u2019s an example where we ask the model to list the features of the CO-1T wireless headphone without any additional context:\\r\\nPython\\r\\n`user_input =\\\"What are the key features of the CO-1T wireless headphone\\\" prompt = user_input\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nThis generates a response that the model makes up since it doesn\\u2019t have any information to refer to.\\r\\nThe CO-1T wireless headphone is a high-quality, comfortable, and durable headphone that is designed for use with a variety of devices. It features a sleek and modern design, a comfortable and secure fit, and a high-quality sound. The CO-1T is also equipped with a variety of features, including a built-in microphone, a multi-function button, and a rechargeable battery.\\r\\n\\r\\n\\r\\nAnd here\\u2019s the same request to the model, this time with the product description of the product added as context.\\r\\nPython\\r\\n`context = \\\"\\\"\\\"Think back to the last time you were working without any distractions in the office. That's right...I bet it's been a while. \\\\ With the newly improved CO-1T noise-cancelling Bluetooth headphones, you can work in peace all day. Designed in partnership with \\\\ software developers who work around the mayhem of tech startups, these headphones are finally the break you've been waiting for. With \\\\ fast charging capacity and wireless Bluetooth connectivity, the CO-1T is the easy breezy way to get through your day without being \\\\ overwhelmed by the chaos of the world.\\\"\\\"\\\"\\r\\nuser_input = \\\"What are the key features of the CO-1T wireless headphone\\\"\\r\\nprompt = f\\\"\\\"\\\"{context} Given the information above, answer this question: {user_input}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nNow, the model accurately lists the features of the model.\\r\\nThe answer is:\\r\\nThe CO-1T wireless headphones are designed to be noise-canceling and Bluetooth-enabled. They are also designed to be fast charging and have wireless Bluetooth connectivity.\\r\\n\\r\\n\\r\\nFormat\\r\\n!https://txt.cohere.com/content/images/2023/07/Format.png\\r\\nAdding output format requirements to a prompt\\r\\nSo far, we have seen how to get the model to generate responses that follow certain styles or include specific information. But we can also get the model to generate responses in a certain format. Let\\u2019s look at a couple of them: markdown tables and JSON strings.\\r\\nHere, the task is to extract information from a list of invoices. Instead of providing the information in plain text, we can prompt the model to generate a table containing all the required information.\\r\\nPython\\r\\n`prompt=\\\"\\\"\\\"Turn the following information into a table with columns Invoice Number, Merchant Name, and Account Number. Bank Invoice: INVOICE #0521 MERCHANT ALLBIRDS ACC XXX3846 Bank Invoice: INVOICE #6781 MERCHANT SHOPPERS ACC XXX9877 Bank Invoice: INVOICE #0777 MERCHANT CN TOWER ACC XXX3846 \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nThe response will come in the form of a markdown table.\\r\\n| Invoice Number | Merchant Name | Account Number |\\r\\n|-----------|------------|-----------|\\r\\n| 0521 | Allbirds | XXX3846 |\\r\\n| 6781 | Shoppers | XXX9877 |\\r\\n| 0777 | CN Tower | XXX3846 |\\r\\n\\r\\n\\r\\nAnother useful format is JSON, which we can modify the prompt as follows.\\r\\nPython\\r\\n`prompt=\\\"\\\"\\\"Turn the following information into a JSON string with the following keys: Invoice Number, Merchant Name, and Account Number. Bank Invoice: INVOICE #0521 MERCHANT ALLBIRDS ACC XXX3846 Bank Invoice: INVOICE #6781 MERCHANT SHOPPERS ACC XXX9877 Bank Invoice: INVOICE #0777 MERCHANT CN TOWER ACC XXX3846 \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nThis returns the following response.\\r\\nJSON\\r\\n[ { \\\"Invoice Number\\\": \\\"0521\\\", \\\"Merchant Name\\\": \\\"Allbirds\\\", \\\"Account Number\\\": \\\"XXXX3846\\\" }, { \\\"Invoice Number\\\": \\\"6781\\\", \\\"Merchant Name\\\": \\\"Shoppers\\\", \\\"Account Number\\\": \\\"XXXX9877\\\" }, { \\\"Invoice Number\\\": \\\"0777\\\", \\\"Merchant Name\\\": \\\"CN Tower\\\", \\\"Account Number\\\": \\\"XXXX3846\\\" } ]\\r\\nExamples\\r\\n!https://txt.cohere.com/content/images/2023/07/Examples.png\\r\\nAdding examples to a prompt\\r\\nAll our prompts so far use what is called zero-shot prompting , which means that we are providing instruction without any example. But in many cases, it is extremely helpful to provide examples to the model to guide its response. This is called few-shot prompting .\\r\\nFew-shot prompting is especially useful when we want the model response to follow a certain style or format. Also, sometimes it is hard to explain what you want in an instruction, and easier to show examples.\\r\\nLet\\u2019s use an example task, where a model should take a request coming from a human and rephrase it into the most accurate utterance that an AI virtual assistant should use. The example data is taken from this paper (Einolghozati et al., 2020).\\r\\nWe\\u2019ll use this example request: \\u201cSend a message to Alison to ask if she can pick me up tonight to go to the concert together\\u201d. Given that request, we should expect the rephrased utterance to be something like: \\u201cCan you pick me up tonight to go to the concert together?\\u201d\\r\\nFirst, let\\u2019s generate a response without giving the model an example. Here\\u2019s the prompt:\\r\\nPython\\r\\n`prompt=\\\"\\\"\\\"Turn the following message to a virtual assistant into the correct action: Send a message to Alison to ask if she can pick me up tonight to go to the concert together\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nThe response we get is not wrong, but it doesn\\u2019t follow the style that we need, which is a simple one-line rephrasing of the original request. Instead, it generates an email!\\r\\nHere is the message to Alison:\\r\\n\\r\\n\\r\\nHey Alison, I hope you're doing well! I was wondering if you could pick me up tonight to go to the concert together. I would really appreciate it, and I think it would be a lot of fun. Let me know if you're able to do this, and I'll make sure to be ready on time.\\r\\n\\r\\n\\r\\nThanks,\\r\\n[Your Name]\\r\\n\\r\\n\\r\\nNow, let\\u2019s modify the prompt by adding a few examples of how we expect the output to be.\\r\\nPython\\r\\n`user_input = \\\"Send a message to Alison to ask if she can pick me up tonight to go to the concert together\\\"\\r\\nprompt=f\\\"\\\"\\\"Turn the following message to a virtual assistant into the correct action:\\r\\nMessage: Ask my aunt if she can go to the JDRF Walk with me October 6th Action: can you go to the jdrf walk with me october 6th\\r\\nMessage: Ask Eliza what should I bring to the wedding tomorrow Action: what should I bring to the wedding tomorrow\\r\\nMessage: Send message to supervisor that I am sick and will not be in today Action: I am sick and will not be in today\\r\\nMessage: {user_input}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nThis time, the style of the response is exactly how we want it.\\r\\nCan you pick me up tonight to go to the concert together?\\r\\n\\r\\n\\r\\nChain of Thought\\r\\nOne specific way to provide examples in a prompt is to show responses that include a reasoning step. This way, we are asking the model to \\u201cthink\\u201d first rather than going straight to the response. In tasks involving mathematical questions, for example, there is a huge difference between directly giving the answer and adding a reasoning step in between.\\r\\nThis concept is called chain of thought prompting, introduced by Wei et al. Let\\u2019s look at an example from the paper which illustrates this idea.\\r\\nFirst let\\u2019s look at a prompt without a chain of thought. It contains one example of a question followed by the answer, without any intermediate calculation step. It also contains the new question we want to answer.\\r\\nPython\\r\\n`prompt=f\\\"\\\"\\\" Q: Roger has 5 tennis balls. He buys 2 more cans of tennis balls. Each can has 3 tennis balls. \\\\ How many tennis balls does he have now? A: The answer is 11. Q: The cafeteria had 23 apples. If they used 20 to make lunch and bought 6 more, how many apples do they have? A:\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nWe get the following response, which is an incorrect answer. And notice that the response is direct, in the same style as the example given.\\r\\nThe answer is 29.\\r\\n\\r\\n\\r\\nNow, let\\u2019s repeat that, this time with a chain of thought. Now, the example answer contains a reasoning step, describing the calculation logic to get to the final answer, before giving the final answer.\\r\\nPython\\r\\n`prompt=f\\\"\\\"\\\" Q: Roger has 5 tennis balls. He buys 2 more cans of tennis balls. Each can has 3 tennis balls. \\\\ How many tennis balls does he have now? A: Roger started with 5 balls. 2 cans of 3 tennis balls each is 6 tennis balls. 5 + 6 = 11. \\\\ The answer is 11. Q: The cafeteria had 23 apples. If they used 20 to make lunch and bought 6 more, how many apples do they have? A:\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nAnd we get the correct answer this time, with the response following the style of the example given.\\r\\nThe cafeteria started with 23 apples. They used 20 to make lunch, so they have 23 - 20 = 3 apples. They bought 6 more apples, so they have 3 + 6 = 9 apples. The answer is 9.\\r\\n\\r\\n\\r\\nSteps\\r\\n!https://txt.cohere.com/content/images/2023/07/Steps.png\\r\\nAdding generation steps to a prompt\\r\\nTo steer the model toward generating higher-quality responses, it can be helpful to add instructions for the model to generate intermediate steps before generating the final output. The information generated during these steps helps enrich the model\\u2019s context before it generates the final response.\\r\\nThere could be another scenario where we specifically need the response to be detailed and verbose. In this case, asking the model to generate responses in steps will become handy.\\r\\nLet\\u2019s use an example of generating startup ideas. We can get the model to directly generate an idea for a given industry, like so:\\r\\nPython\\r\\n`user_input = \\\"education\\\"\\r\\nprompt = f\\\"\\\"\\\"Generate a startup idea for this industry: {user_input}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nThis generates the following response, which is reasonable, but perhaps not rich enough in information.\\r\\nA mobile app that connects students with tutors for on-demand homework help.\\r\\n\\r\\n\\r\\nAlternatively, we can ask the model to generate information in steps, such as describing the problem to be solved and the target audience experiencing this problem.\\r\\nPython\\r\\n`user_input = \\\"education\\\"\\r\\nprompt = f\\\"\\\"\\\"Generate a startup idea for this industry: {user_input} First, describe the problem to be solved. Next, describe the target audience of this startup idea. Next, describe the startup idea and how it solves the problem for the target audience. Next, provide a name for the given startup.\\r\\nUse the following format: Industry: The Problem: Audience: Startup Idea: Startup Name: \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.9) print(response)`\\r\\nThis provides a richer description of the startup idea.\\r\\nIndustry: Education\\r\\nThe Problem: Students often need to learn at their own pace and require individual attention to succeed in their studies.\\r\\nAudience: Students who need a more personalized learning experience.\\r\\nStartup Idea: An online platform that connects students with tutors for personalized one-on-one learning.\\r\\nStartup Name: tutormate\\r\\n\\r\\n\\r\\nPrefix\\r\\n!https://txt.cohere.com/content/images/2023/07/Prefix.png\\r\\nAdding a prefix to a prompt\\r\\nTo ensure that the response follows a consistent format or style, sometimes we need to add a prefix or leading words to help guide the response. This is especially handy when the temperature value is high. In this scenario, we want the response to be creative but, at the same time, follow a certain format.\\r\\nLet\\u2019s say we are generating the characteristics of football players for a given position, with one separate paragraph per characteristic. A prompt without any guiding prefix would look something like this:\\r\\nPython\\r\\n`user_input_position = \\\"modern centre forward\\\"\\r\\nprompt = f\\\"\\\"\\\"Describe the ideal {user_input_position}. In particular, describe the following characteristics: \\\\ pace, skill, and awareness.\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.9) print(response)`\\r\\nAnd the response is a paragraph combining all the characteristics \\u2014 not what we wanted.\\r\\nAn ideal modern centre forward would have a combination of pace, skill, and awareness. They would be fast and agile, with the ability to run and move with the ball at speed. They would also be skilled with their feet, with the ability to dribble and pass the ball with ease. Finally, they would be aware of their surroundings and the position of their teammates and opponents, with the ability to make quick and intelligent decisions on the fly.\\r\\n\\r\\n\\r\\nBut if we just added a prefix of the first characteristic (\\u201cPace\\u201d) at the end of the prompt, it will give a signal to the model as to how the output should look like.\\r\\nPython\\r\\n`user_input_position = \\\"modern centre forward\\\"\\r\\nprompt = f\\\"\\\"\\\"Describe the ideal {user_input_position}. In particular, describe the following characteristics: \\\\ pace, skill, and awareness.\\r\\nPace:\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.9) print(response)`\\r\\nHere\\u2019s an example response, which is much closer to what we are looking for:\\r\\nThe ideal modern centre forward will have excellent pace, both in terms of speed and acceleration. This will allow them to take advantage of gaps in the opposition defence and create chances for their team.\\r\\nSkill:\\r\\n\\r\\n\\r\\nThe ideal modern centre forward will also have a high level of skill, including dribbling, passing, and shooting. This will allow them to create chances for themselves and their team, as well as to score goals.\\r\\n\\r\\n\\r\\nAwareness:\\r\\n\\r\\n\\r\\nThe ideal modern centre forward will also have a high level of awareness, including an understanding of the game and their position within it. This will allow them to make intelligent decisions on the pitch, both in terms of their own play and in terms of the overall strategy of their team.\\r\\n\\r\\n\\r\\nZero-Shot Chain of Thought\\r\\nOne specific way of adding prefixes to a prompt is such that it encourages the model to perform a reasoning step before generating the final answer.\\r\\nEarlier, we saw that chain-of-thought prompting helps guide the model to perform reasoning by way of showing examples. But Kojima et al. show that there\\u2019s a way to apply chain-of-thought prompting without providing examples. This technique is called zero-shot chain of thought In contrast, the technique with examples discussed earlier is known as \\u201cfew-shot chain of thought\\u201d.\\r\\nThe paper proposes adding a prefix that nudges the model to perform a reasoning step, specifically the phrase \\u201cLet\\u2019s think step by step\\u201d.\\r\\nHere\\u2019s an example taken from the paper. First, we look at a prompt without the \\u201cLet\\u2019s think step by step\\u201d prefix.\\r\\nPython\\r\\n`prompt=f\\\"\\\"\\\"Q: A juggler can juggle 16 balls. Half of the balls are golf balls, and half of the golf balls are blue. How many blue golf balls are there? A: The answer (arabic numerals) is\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nIt gives an incorrect answer.\\r\\n8\\r\\n\\r\\n\\r\\nNow, let\\u2019s add the \\u201cLet\\u2019s think step by step\\u201d prefix to the prompt.\\r\\nPython\\r\\n`prompt=f\\\"\\\"\\\"Q: A juggler can juggle 16 balls. Half of the balls are golf balls, and half of the golf balls are blue. How many blue golf balls are there? A: Let\\u2019s think step by step.\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nAnd this time, the response contains a reasoning step before giving the final answer, which is the correct answer.\\r\\nThere are 16 balls in total. Half of the balls are golf balls, so there are 8 golf balls. Half of the golf balls are blue, so there are 4 blue golf balls.\\r\\nSo, the answer is 4.\\r\\n\\r\\n\\r\\nConclusion\\r\\nIn this chapter, we looked at some techniques for constructing prompts for the Command model. A prompt can be as simple as a single line of instruction, though the more specific the prompt is, the higher the quality and accuracy you can expect from the response. Each building block added to a prompt provides a different type of lever to enhance the quality of the response.\",\n", - " \"title\": \"Constructing Prompts\",\n", - " \"url\": \"https://docs.google.com/document/d/1LGsOhBL02jwy5UUIS8tuv9G80FSn7vxeQYiiglsN9oY/edit?usp=drivesdk\"\n", - " },\n", - " {\n", - " \"editedBy\": \"Meor Amer\",\n", - " \"id\": \"1oF20QD0lHNdYQp6F7sSyEC1grGErout4GIQn1JBUACo\",\n", - " \"mimeType\": \"application/vnd.google-apps.document\",\n", - " \"modifiedTime\": \"2023-12-01T07:50:28.605Z\",\n", - " \"text\": \"\\ufeffChaining Prompts\\r\\nIn this chapter, you'll learn about several prompt-chaining patterns and their example applications.\\r\\nSuggest Edits\\r\\n!https://files.readme.io/17ec857-Chaining_Prompts.png\\r\\nIntroduction\\r\\nIn the previous two chapters, we looked at various ways to prompt the Command model. One thing similar about all of those examples is that they utilize a single prompt to fulfill a particular task. However, there may be other tasks where this is insufficient, and we instead have to chain a few prompts together to complete a task successfully.\\r\\nWe can think of the previous two chapters as looking at prompts as a single unit, and this chapter will look at prompts as a combination of these units. The diagram below summarizes these three chapters.\\r\\n!https://files.readme.io/4f17dcc-chapters_1-3_comparison.png\\r\\nA comparison of this chapter and the two previous ones\\r\\nCombining multiple prompts to accomplish a single goal will be the focus of this chapter. We\\u2019ll look at some ideas and patterns for chaining prompts, which could come in handy when building a generative AI application.\\r\\nSequential\\r\\nLet\\u2019s begin with probably the most common approach for chaining prompts \\u2013 in a sequence.\\r\\n!https://txt.cohere.com/content/images/2023/08/Sequential.png\\r\\nChaining prompts in a sequence\\r\\nThe key idea is that if the task provided in a single prompt becomes too lengthy or complex and contains many different instructions, the response may not capture the details and granularity required. In this case, it would make sense to break this task into smaller subtasks that can be run one after the other. The response of one subtask becomes the prompt to the other subtask, and this chain continues until the overall task is complete.\\r\\nLet's use story generation as an example. Suppose we have a task to generate a long story containing a dialog guided by a set of information \\u2013 characters, story beats, and locations. Now, we could stuff all this information into a single prompt, but that might dilute the key details we want the dialog to contain.\\r\\nBesides, we don\\u2019t want to write all these additional details in the first place because we\\u2019d like the model to generate them for us. What we want is to provide a short summary of what we want the story to be about, which becomes our sole prompt.\\r\\nThe diagram below summarizes the chains involved in generating the eventual dialog. First, a human enters a story summary, which becomes the prompt to generate a list of characters, which then becomes the prompt to generate the story beats, and so on, until we get to the dialog generation.\\r\\n!https://txt.cohere.com/content/images/2023/08/sequential-example.png\\r\\nA summary of the different steps in the story generation task, running in a sequence\\r\\nAnother added benefit of chaining prompts in sequences instead of using one single prompt is that we can implement human checks at each point in the sequence, and if a response does not fulfill a certain standard, we can trigger a re-run. This makes it easy to do evaluation and quality control over a complex generative AI task \\u2013 by doing them in stages.\\r\\nParallel\\r\\nA sequential chain of prompts is needed when the subtasks depend on each other. But when they are independent, we can run them in parallel instead.\\r\\n!https://txt.cohere.com/content/images/2023/08/Parallel.png\\r\\nRunning prompts in parallel before combining them into a single output\\r\\nLet\\u2019s say we are building an application that generates recipe ideas for a whole week and then generates a shopping list of ingredients for the user to buy. In this case, given a user input of, say, the number of meals or days, we can run the recipe generation step in parallel. The prompt might look something like the following:\\r\\nPython\\r\\nprompt = f'Suggest a simple and quick recipe for {meal}. Write in JSON containing these keys \\\"Ingredients\\\" and \\\"Instructions\\\"'\\r\\nNext, we\\u2019ll repeat the recipe generation across all meals. Once complete, we can consolidate the ingredients from each meal into a single shopping list that the user can use immediately.\\r\\nPython\\r\\nprompt = f\\\"\\\"\\\"Consolidate the following ingredients into a single shopping list, without repetition: {ingredients}\\\"\\\"\\\"\\r\\nSampling\\r\\nIf a task involves logical reasoning, for example, in mathematical question answering, there may be more than one way to solve a given problem. In this case, we want to encourage the model to be more creative when exploring different solutions. But dialing up the creativity knob (i.e., \\u201ctemperature\\u201d) also means that there\\u2019s a higher chance that the model will get the final answer wrong.\\r\\nThe solution is, instead of prompting the same question to the model once, we can repeat that multiple times and choose the response with the highest majority.\\r\\n!https://txt.cohere.com/content/images/2023/08/Sampling.png\\r\\nSampling prompt responses followed by a majority vote\\r\\nLet\\u2019s look at an example taken from a paper by Wang et al., 2023 that introduces the concept of self -consistency .\\r\\nFirst, revisiting the previous chapter , we looked at the concept of chain-of-thought prompting introduced by Wei et. al, 2023 , where a model is prompted in such a way that it is encouraged to do a reasoning step before giving the final response. In those settings, however, the model is typically encouraged to do \\u201cgreedy decoding,\\u201d which means biasing towards the correct and safe path. This can be done by adjusting settings like the temperature value.\\r\\nWith self-consistency, we can build on the chain-of-thought approach by sampling from several paths instead of one. We also make the paths much more diverse by adjusting the settings towards being more \\u201ccreative,\\u201d again using settings like temperature. We then do a majority vote out of all answers.\\r\\nThe diagram below illustrates the self-consistency concept. It shows an example of comparing the result of a single solution, using the greedy decoding approach, and a solution that samples from multiple generations, using a more diverse decoding approach. The former didn\\u2019t get the answer correct, and the latter did.\\r\\nhttps://lh6.googleusercontent.com/c4nCCvs8OfEJl-z3eOQ6-C7ypOwYc-84f0Hfk3aMdJjbxVLuVU9AK0ZmTp4nAfj-E_woIlTBu1kJMvbE8JrKuSAYEcgwgxAhvbWttrHmLB0xNer5ug7k-wlnUC_KYIVpDg5yVTmHWvZcmRy4O36F9-E\\r\\nAn example from Wei et al., 2023 illustrating the self-consistency concept\\r\\nExploration\\r\\nBuilding on the previous chain pattern, when a question is much more complex and requires more granular reasoning steps, it is helpful to break down the steps into pieces. At each step, we encourage the model to explore different solutions, vote for the best solution and only then continue to the next step.\\r\\nThis is also useful in creative applications like story writing. Making the model explore different story ideas is more interesting than constraining it to a single path, elevating the final output's quality.\\r\\n!https://txt.cohere.com/content/images/2023/08/Exploration.png\\r\\nExploring different solutions, one step at a time\\r\\nLet\\u2019s use one concrete example from Yao et al., 2023 , which introduces the concept of tree of thoughts . The diagram below illustrates how this concept differs from direct input-output prompting, chain-of-thought, and self-consistency with chain-of-thought. At each step, the model generates several diverse solutions. A separate prompt is then used to evaluate these solutions and vote for the best solution. The process repeats until the final step is completed.\\r\\nhttps://lh6.googleusercontent.com/nI7-VerhzDlQiqtzdy-JujxQULEktPFrqlek_VrwaHnZVlEUEtFpo7yTHRYi5Ai0vubp0yi6tFT1e_PX9DajfRPh_0O0p-oKLn0cs9Jtbg166rM4Z-ZmzGDXgf0Uy1j9NBw7ha2lYdB8mydqNfWg13w\\r\\nAn illustration from Yao et al., 2023 of the Tree of Thoughts approach compared to three others\\r\\nHere\\u2019s one of the examples shown in the paper with a creative writing task. The diagram below shows the initial input containing a list of four seemingly unrelated sentences \\u2013 each talking about handstands, the smell of space, sign language, and people\\u2019s perceptions. The task is to weave them into one coherent passage. It must contain four short paragraphs, each ending with the given sentence.\\r\\nhttps://lh4.googleusercontent.com/oW9iUE6aWqaxb4p26wmji6MO9yAmDH_-4renhnIiJTJbe9QXg6CZl_detvcMG-5Rf7kepegWvjplZi5PzrRuEz7GMrENToB3IVr17VMPnRSCCR0GDwyS7AkmF56n56uWyob-yTD8WjkZmI_sSIpCp8g\\r\\nA creative writing example from Yao et al., 2023 using Tree of Thoughts\\r\\nSince the task is quite challenging, it makes sense to break down the task into two: writing the plan and writing the actual passage based on the winning plan. At each step, the model generates a few solutions, and then another prompt is used to evaluate and vote for the best solution, guiding the direction of the next step.\\r\\nA couple of writing plan options are shown in the screenshot, and the winning option is the one that suggests using self-help as the theme to weave the sentences into a coherent passage.\\r\\nLoop\\r\\nIn some applications, we may need to re-run a generation step, given what happens in a subsequent step. One example is when that subsequent step is used to check if the response generated meets specific criteria, such as quality and format. This is where the loop pattern becomes useful.\\r\\n!https://txt.cohere.com/content/images/2023/08/Loop.png\\r\\nLooping a task back to an earlier step\\r\\nLet\\u2019s take a rephrasing task as an example. Say we have an application that takes a rude user comment and suggests a rephrasing that makes it more polite. Here, we want the LLM response to be polite and, at the same time, retain the original meaning of the comment.\\r\\nThe prompt, taking in the user input to be rephrased, might look something like the following:\\r\\nPython\\r\\n`user_input = \\\"I really don't have time for this nonsense.\\\"\\r\\nprompt_rephrase = f\\\"\\\"\\\"Rephrase this user comment into something more polite: User comment: You don't know what you're talking about. Rephrased comment: I think there might be some inaccuracies in your statement. User comment: {user_input} Rephrased comment:\\\"\\\"\\\"`\\r\\nHere is an example response which rephrases the original user input:\\r\\nI think we might need to set aside some time to discuss this properly.\\r\\n\\r\\n\\r\\nNext, we create another prompt to check if the rephrased comment is similar enough to the original comment.\\r\\nPython\\r\\n`prompt_check = f\\\"\\\"\\\"Below is a rude comment that has been rephrased into a polite version. The rephrased comment must maintain a similar meaning to the original comment. Check if this is true. Answer with YES or NO.\\r\\nOriginal comment: Shut up, you're always wrong. Rephrased comment: Please be quiet, check your facts again. Similar meaning: YES Original comment: I can't stand you. Rephrased comment: Let's discuss this. Similar meaning: NO Original comment: {user_input} Rephrased comment: {user_input_rephrased} Similar meaning:\\\"\\\"\\\"`\\r\\nAnd if the response is \\u201cNO,\\u201d we route the task back to the rephrasing prompt and repeat until we get a \\u201cYES\\u201d response.\\r\\nPerformance Considerations\\r\\nPrompt chaining is a powerful concept that makes complex use cases possible when a single prompt setup is insufficient. Having said that, prompt chaining should only be considered when it\\u2019s truly necessary. The overall performance considerations need to be taken into account.\\r\\nOne such consideration is latency. The longer the chain, the longer it takes to complete a task from start to finish. If an application is latency-sensitive, it makes sense to minimize the number of chains. The cost factor is another consideration when designing applications that rely on prompt chaining.\\r\\nFinal Thoughts\\r\\nIn this chapter, we looked at several prompt-chaining patterns and examples of how they can be applied to the Command model.\\r\\nThis is a fascinating area of prompt engineering because it opens up so much room for creativity when solving problems with LLMs. Although it comes with some performance trade-offs, balancing these considerations presents an exciting challenge for building production-ready LLM-powered applications.\",\n", - " \"title\": \"Chaining Prompts\",\n", - " \"url\": \"https://docs.google.com/document/d/1oF20QD0lHNdYQp6F7sSyEC1grGErout4GIQn1JBUACo/edit?usp=drivesdk\"\n", - " },\n", - " {\n", - " \"editedBy\": \"Meor Amer\",\n", - " \"id\": \"1X9XM5nLjjF_8b6ulj35FK8ioWCJTg6n0KtYtXLkr9Dw\",\n", - " \"mimeType\": \"application/vnd.google-apps.document\",\n", - " \"modifiedTime\": \"2023-12-01T07:51:15.475Z\",\n", - " \"text\": \"\\ufeffUse Case Patterns\\r\\nIn this chapter, you'll learn about the common use case patterns in text generation, including prompt examples.\\r\\nSuggest Edits\\r\\n!https://files.readme.io/c798ac6-command-usecase-patterns.png\\r\\nIn the previous chapter, we took the vertical direction and learned different ways to construct a prompt. In this chapter, we'll take a horizontal approach by looking at different use case patterns for applying these prompts.\\r\\nIn this chapter, we'll go through several use case patterns for the Command model. Though they won\\u2019t cover all the possible ways you can use the model, they are good starting points for understanding the patterns of tasks where the model works well.\\r\\nSetting Up\\r\\nThe examples in this post will be shown in Python. For each use case, we\\u2019ll look at ideas on how a prompt can be constructed and the associated model settings. This chapter comes with a Google Colaboratory notebook that lets you get hands-on with the code.\\r\\nFirst, install the Cohere package, get the Cohere API key , and set up the client.\\r\\n! pip install cohere\\r\\n\\r\\n\\r\\nimport cohere\\r\\nco = cohere.Client(\\\"COHERE_API_KEY\\\") # Your Cohere API key\\r\\n\\r\\n\\r\\nLet\\u2019s also define a function to take a prompt and a temperature value and then call the Generate endpoint , which is how we can access the Command model. Here, we select the model to be command . We set a default temperature value of 0, which nudges the response to be more predictable and less random. This function returns the text response generated by the model.\\r\\nPython\\r\\ndef generate_text(prompt, temp=0): response = co.generate( model='command', prompt=prompt, max_tokens=300, temperature=temp) return response.generations[0].text\\r\\nOur examples will revolve around a company\\u2019s activities for launching a new wireless headphone product, such as getting the word out, managing customer interactions, and so on. For this, let\\u2019s define a text snippet containing the product description. We\\u2019ll be utilizing this snippet in several examples throughout this chapter.\\r\\nPython\\r\\nproduct=\\\"\\\"\\\"The CO-1T is a wireless headphone product that uses Bluetooth technology to connect to your devices. \\\\\\\\ It has a long battery life and can be quickly charged using the included USB cable. The headphone is \\\\\\\\ lightweight and comfortable, ideal for long periods of use. It has a built-in microphone for making calls, \\\\\\\\ and a button to control the volume. The CO-1T is a great choice for anyone looking for a wireless headphone \\\\\\\\ product with great battery life.\\\"\\\"\\\"\\r\\nWriting\\r\\n!https://files.readme.io/e7fd8cf-writing.png\\r\\nWe\\u2019ll start with the most general type of use case: writing.\\r\\nHere we can ask the model to write freeform text, for example, with this prompt: \\u201cCreate an email about the launch of the wireless headphone product.\\u201d But that alone might not be very useful in practical applications because the generated text can go in very different directions. We may want to add more context and specificity to the prompt.\\r\\nHere\\u2019s how we can do that. Let\\u2019s say we\\u2019re building an application for users to enter some bullet points and get a complete email written. We can set up the prompt in the following way: create a variable for the user to input some text and merge that, together with the product description, into the main prompt.\\r\\nPython\\r\\n`user_input =\\\"\\\"\\\"\\r\\n* announce product launch\\r\\n* create a call to action\\r\\n* mention live chat for support \\\"\\\"\\\"\\r\\nprompt = f\\\"\\\"\\\"{product} Create an email about the product above mentioning the following: {user_input} \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nAdding this context helps to guide the model in the direction we want it to go. Here is a sample response:\\r\\nHello,\\r\\n\\r\\n\\r\\nWe are excited to announce the launch of our new wireless headphone product, the CO-1T. This product uses Bluetooth technology to connect to your devices and has a long battery life. It is lightweight and comfortable, making it ideal for long periods of use. The CO-1T also has a built-in microphone for making calls, and a button to control the volume.\\r\\n\\r\\n\\r\\nWe are offering a special discount for the first 100 customers to purchase the CO-1T. So, if you are looking for a great wireless headphone product with great battery life, then the CO-1T is the perfect choice for you.\\r\\n\\r\\n\\r\\nDon't miss out on this opportunity to try out our new product. Order now and experience the convenience of wireless headphones.\\r\\n\\r\\n\\r\\nIf you have any questions about the CO-1T, please feel free to contact us through our live chat support. We are always happy to help.\\r\\n\\r\\n\\r\\nThank you,\\r\\n[Your Name]\\r\\n\\r\\n\\r\\nIn this example, we changed the temperature value to 0.5. And throughout this chapter, you\\u2019ll see different temperature values being used in different situations. Increasing the temperature value tells the model to generate less predictable responses and instead be more \\u201ccreative.\\u201d And since this task does require some form of creativity (expanding brief keywords into an entire passage), we increased the temperature value. There is no one right value for a use case, so this is a setting you should try and iterate to get the best outcome for your task.\\r\\nWe have looked at one example, but writing as a use case can mean many different things. So instead of beginning your prompt with \\u201cCreate,\\u201d you can try other ways to extract different forms of content and formats that your application needs, such as using \\u201cExplain,\\u201d \\u201cGenerate,\\u201d \\u201cWrite,\\u201d \\u201cProvide,\\u201d \\u201cDesign,\\u201d \\u201cDescribe,\\u201d and so on.\\r\\nQuestion Answering\\r\\n!https://files.readme.io/f48f2db-Question_Answering.png\\r\\nThis use case is about answering a user's question. This could be a single-turn, question-answering scenario or a multi-turn, chatbot setting.\\r\\nQuestion answering can take place in either a closed or open setting. In a closed-book question-answering setting, we rely on the model to answer questions based on the general knowledge from which it has been trained. Here\\u2019s one example:\\r\\nPython\\r\\n`user_input =\\\"What features should I consider when choosing a wireless headphone\\\" prompt = user_input\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nSample response:\\r\\nThere are a few features to consider when choosing a wireless headphone. These include:\\r\\n\\r\\n\\r\\n1. Sound quality: It is important to choose a headphone that has good sound quality. This will ensure that you enjoy your music or podcasts.\\r\\n2. Comfort: It is important to choose a headphone that is comfortable to wear. This will ensure that you can wear it for long periods of time without experiencing any discomfort.\\r\\n3. Battery life: It is important to choose a headphone that has a long battery life. This will ensure that you can use it for long periods of time without having to recharge it.\\r\\n4. Price: It is important to choose a headphone that is within your budget. There are a variety of options available at different price points.\\r\\n\\r\\n\\r\\nThis works well for a question that is open-ended and doesn\\u2019t have one correct answer. But let\\u2019s say we have a customer asking for specific information about the wireless headphone, such as its feature set. For this, we need to turn to an extractive question-answering setting.\\r\\nIn this setting, we can get the model to refer to specific knowledge bases to help it do its job well. This way, we can design a system that can handle questions that require factual responses.\\r\\nHere, a customer asks a product question. We can append the customer\\u2019s question and the product description to the prompt, as follows.\\r\\nPython\\r\\n`user_input =\\\"How do I control the sound levels\\\"\\r\\nprompt = f\\\"\\\"\\\"{product} Given the product description above, answer this question: {user_input}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nAnd the model picks up the relevant information from the prompt to provide the correct answer.\\r\\nSample response:\\r\\nThe sound levels can be controlled by using the button on the headphones.\\r\\n\\r\\n\\r\\nBrainstorming\\r\\n!https://files.readme.io/ba6c262-Brainstorming.png\\r\\nAnother form of writing is brainstorming, where we want the model to generate a list of options based on a given prompt. This can be for writing outlines, generating ideas, providing critical analysis, and so on. This use case forces the model to go broad and cover different perspectives of a situation.\\r\\nIn this example, we want the model to act as an assistant to a customer support agent in identifying possible ways to troubleshoot a technical problem that a customer is facing.\\r\\nPython\\r\\n`user_input = \\\"I can't get the Bluetooth connection working\\\" prompt = f\\\"\\\"\\\"{product} A customer provided the following complaint about this product: {user_input}. Provide a bulleted list of possible ways to troubleshoot so we can advise the customer accordingly. \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.9) print(response)`\\r\\nSample response:\\r\\n- Check to see if the headphones are fully charged\\r\\n- Try resetting the headphones by holding the power button for 5 seconds\\r\\n- Make sure that your device is within range of the headphones\\r\\n- Try restarting your device\\r\\n- Check to see if the headphones are properly paired with your device\\r\\n- If you are still having trouble connecting, please contact customer service for further assistance.\\r\\n\\r\\n\\r\\nTransforming\\r\\n!https://files.readme.io/f1274c6-Transforming.png\\r\\nThe first thing that comes to mind when thinking about generative models is their ability to write a fresh piece of text, but one rather understated aspect is their ability to synthesize an existing piece of text.\\r\\nOne example is transforming a passage of text into a different form, making it reusable for different purposes.\\r\\nFor example, creating a list of Frequently Asked Questions (FAQs) about wireless headphones is crucial but requires some effort. We can cut short this process by getting the model to generate a list of FAQs based on the product description, as follows:\\r\\nPython\\r\\n`prompt =f\\\"\\\"\\\"Turn the following product description into a list of frequently asked questions (FAQ).\\r\\nProduct description: {product} \\\"\\\"\\\" response = generate_text(prompt, temp=0) print(response)`\\r\\nSample response:\\r\\nFrequently Asked Questions (FAQ)\\r\\n\\r\\n\\r\\nWhat is the CO-1T?\\r\\nThe CO-1T is a wireless headphone product that uses Bluetooth technology to connect to your devices. It has a long battery life and can be quickly charged using the included USB cable. The headphone is lightweight and comfortable, ideal for long periods of use. It has a built-in microphone for making calls, and a button to control the volume. The CO-1T is a great choice for anyone looking for a wireless headphone product with great battery life.\\r\\n\\r\\n\\r\\nHow long does the battery last?\\r\\nThe battery life of the CO-1T is long, and it can be quickly charged using the included USB cable.\\r\\n\\r\\n\\r\\nIs the CO-1T comfortable to wear?\\r\\nThe CO-1T is designed to be lightweight and comfortable, ideal for long periods of use.\\r\\n\\r\\n\\r\\nDoes the CO-1T have a built-in microphone?\\r\\nYes, the CO-1T has a built-in microphone for making calls.\\r\\n\\r\\n\\r\\nDoes the CO-1T have a button to control the volume?\\r\\nYes, the CO-1T has a button to control the volume.\\r\\n\\r\\n\\r\\nSummarizing\\r\\n!https://files.readme.io/d3e9e0c-Summarizing.png\\r\\nOne popular use case for synthesizing text is summarization. Here, we take a long passage of text and summarize it to its essence. These can be articles, conversation transcripts, reports, meeting notes, and so on.\\r\\nIn this example, we create a prompt to summarize a list of customer reviews about the wireless headphone.\\r\\nPython\\r\\n`user_input =\\\"\\\"\\\"Customer reviews of the CO-1T wireless headphones:\\r\\n\\\"The CO-1T is a great pair of headphones! The design is sleek and modern, and the headphones are \\\\ very comfortable to wear. The sound quality is excellent, and I can hear every detail of my music. \\\\ The built-in microphone means I can make calls without having to take my phone out of my pocket. I \\\\ highly recommend the CO-1T to anyone looking for a great pair of wireless headphones!\\\"\\r\\n\\\"I'm very disappointed with the CO-1T. The design is nice, but the battery life is terrible. I can \\\\ only use them for a few hours before they need to be recharged. This is very inconvenient, and I'm \\\\ not sure if I can recommend them to anyone.\\\"\\r\\n\\\"The CO-1T is a mixed bag. The speaker quality is great, but the built-in microphone's quality is \\\\ poor. I can hear every detail of my music, but my voice sounds distorted when I make calls. The \\\\ design is nice, and the headphones are comfortable to wear, but the battery life is only average. \\\\ I'm not sure if I can recommend them to anyone.\\\" \\\"\\\"\\\"\\r\\nprompt = f\\\"\\\"\\\"Summarize the following.\\r\\n{user_input} \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nExample response:\\r\\nThe CO-1T wireless headphones have mixed reviews. Some people love the design and sound quality, while others are disappointed with the battery life and microphone quality.\\r\\n\\r\\n\\r\\nAlternatively, there is a more streamlined way to generate quality summaries, and that is via the Summarize endpoint . It builds upon the Command model and is designed specifically to perform summarization. It supports a much longer context length than the Generate endpoint at 100,000 characters maximum. It also provides a much easier way to define the types of summaries, such as long vs. short, or paragraphs vs. bullets, without having to define the prompts.\\r\\nHere\\u2019s how to call the Summarize endpoint to summarize the customer reviews:\\r\\nPython\\r\\nresponse = co.summarize( text=user_input, length='short', format='paragraph', model='summarize-xlarge', temperature=0.3, additional_command=\\\"analyzing these customer reviews\\\" ) print(response.summary)\\r\\nSample response:\\r\\nPython\\r\\nThe CO-1T wireless headphones have received mixed reviews from customers. Some people love the design and sound quality, while others are disappointed with the battery life and microphone quality.\\r\\nRewriting\\r\\n!https://files.readme.io/5c4986a-Rewriting.png\\r\\nRewriting text is another useful use case where you need to modify some aspects of the text while maintaining its overall meaning. One example is changing the tone of a piece of text to tailor it to a specific audience. Here we want to rewrite the product description so it\\u2019s more relatable to students.\\r\\nPython\\r\\n`user_input = \\\"college students\\\"\\r\\nprompt = f\\\"\\\"\\\"Create a version of this product description that's tailored towards {user_input}.\\r\\n{product}\\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0.5) print(response)`\\r\\nSample response:\\r\\nThe CO-1T is a great wireless headphone option for college students who are always on the go. It uses Bluetooth technology to connect to your devices, so you don't have to worry about getting tangled up in cords. The battery life is long, and it can be quickly charged using the included USB cable. The headphone is lightweight and comfortable, making it ideal for long periods of use. It has a built-in microphone for making calls, and a button to control the volume. Plus, the price is just right for a college student's budget.\\r\\n\\r\\n\\r\\nAnother extremely useful way of looking at text synthesis is information extraction. Here, we leverage the model\\u2019s ability to capture the context of a piece of text to extract the correct information as specified by the prompt.\\r\\nHere is an example of an email that a customer is, unfortunately, asking for a refund for the wireless headphones. We can have the model process this email by getting it to extract information, such as the product name, refund reason, and pick-up address.\\r\\nPython\\r\\n`user_input =\\\"\\\"\\\"I am writing to request a refund for a recent CO-1T purchase I made on your platform. \\\\ Unfortunately, the produce has not met my expectations due to its poor battery life. \\\\ Please arrange for the pick-up at this address: to 171 John Street, Toronto ON, M5T 1X2.\\\"\\\"\\\"\\r\\nprompt =f\\\"\\\"\\\"Extract the product, refund reason and pick-up address from this email:\\r\\n{user_input} \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nSample response:\\r\\nProduct: CO-1T\\r\\nRefund reason: Poor battery life\\r\\nPick-up address: 171 John Street, Toronto ON, M5T 1X2\\r\\n\\r\\n\\r\\nClassifying\\r\\n!https://files.readme.io/49c3495-Classifying.png\\r\\nOne of the most widely deployed use cases in NLP is text classification. Here, the task is to classify a piece of text into one of a few predefined classes. In this example, we want to classify incoming customer messages into one of three categories: Order, Support, or Refunds.\\r\\nWe can create the prompt as follows.\\r\\nPython\\r\\n`user_input =\\\"\\\"\\\"The battery drains fast\\\"\\\"\\\"\\r\\nprompt = f\\\"\\\"\\\"The following is a user message to a customer support agent. Classify the message into one of the following categories: Order, Support, or Refunds.\\r\\n{user_input} \\\"\\\"\\\"\\r\\nresponse = generate_text(prompt, temp=0) print(response)`\\r\\nHere\\u2019s a sample response where the generative model correctly classifies the text into the right category:\\r\\nSupport\\r\\n\\r\\n\\r\\nAlternatively, the Classify endpoint provides a simple API for running text classification. The endpoint leverages Cohere\\u2019s embeddings models and makes it easy to add training examples and even create custom models that are specifically tailored to your task.\\r\\nHere\\u2019s how we can use the Classify endpoint. It requires a minimum of two examples per class, which is passed as an argument to the API call. We have six examples altogether \\u2013 two for each class.\\r\\nPython\\r\\n`from cohere.responses.classify import Example\\r\\nresponse = co.classify( model='embed-english-v3.0', inputs=[user_input], examples=[Example(\\\"I can\\\\'t connect to the bluetooth\\\", \\\"Support\\\"), Example(\\\"Why is max volume so low\\\", \\\"Support\\\"), Example(\\\"When will my order arrive\\\", \\\"Order\\\"), Example(\\\"How much is the shipping cost\\\", \\\"Order\\\"), Example(\\\"What is your refund policy\\\", \\\"Refunds\\\"), Example(\\\"How do I return my product\\\", \\\"Refunds\\\")])\\r\\nprint(response.classifications[0].prediction)`\\r\\nSample response:\\r\\nSupport\\r\\n\\r\\n\\r\\nConclusion\\r\\nThis chapter provides a starting point for understanding the range of use cases you can build with the Cohere Command model. Take these use cases as the initial set of examples to further experiment on what\\u2019s possible.\",\n", - " \"title\": \"Use Case Patterns\",\n", - " \"url\": \"https://docs.google.com/document/d/1X9XM5nLjjF_8b6ulj35FK8ioWCJTg6n0KtYtXLkr9Dw/edit?usp=drivesdk\"\n", - " },\n", - " {\n", - " \"editedBy\": \"Meor Amer\",\n", - " \"id\": \"1wngAfCJY1IgD6H__4AkQXFfymKUpSeJL13TItbigdyA\",\n", - " \"mimeType\": \"application/vnd.google-apps.document\",\n", - " \"modifiedTime\": \"2023-12-01T07:50:02.204Z\",\n", - " \"text\": \"\\ufeffValidating Outputs\\r\\nIn this chapter, you'll learn how to implement validation on LLM outputs.\\r\\nSuggest Edits\\r\\n!https://files.readme.io/1a4c229-validating-llm-outputs.png\\r\\nThis chapter was written in collaboration with the Guardrails AI team.\\r\\nIntroduction\\r\\nIn previous chapters, we covered prompt engineering techniques to elicit the desired responses from a large language model (LLM).\\r\\nHowever, one key property of LLMs that\\u2019s different from traditional software is that the output is probabilistic in nature. The same input (i.e., the prompt) may not always produce the same response. While this property makes it possible to build entirely new classes of natural language applications, it also means that those applications require a mechanism for validating their outputs.\\r\\nHere\\u2019s an example. In Chapter 1 , we looked at a text extraction task of turning a list of bank invoices in a text document into a JSON object containing three fields: \\u201cInvoice Number,\\u201d \\u201cMerchant Name,\\u201d and \\u201cAccount Number.\\u201d For brevity, we\\u2019ll turn it into a shorter version with the document containing just one invoice, as follows.\\r\\nPython\\r\\nprompt=\\\"\\\"\\\"Turn the following information into a JSON string with the following keys: Invoice Number, Merchant Name, and Account Number. Bank Invoice: INVOICE #0521 MERCHANT ALLBIRDS ACC XXX3846 \\\"\\\"\\\"\\r\\nThis produced an LLM response that followed exactly what we wanted, as shown below.\\r\\nJSON\\r\\n{ \\\"Invoice Number\\\": \\\"0521\\\", \\\"Merchant Name\\\": \\\"Allbirds\\\", \\\"Account Number\\\": \\\"XXXX3846\\\" }\\r\\nBut how do we ensure we\\u2019ll get the same response every time? Perhaps another time, the output may miss some information, such as the returning incomplete information like this one.\\r\\nJSON\\r\\n{ \\\"Invoice Number\\\": \\\"0521\\\" }\\r\\nThere are many other ways that a response may not match the expected structure. For example, what if the generated output contained fields we never specified? What if the document provided were more challenging to parse, causing an unclear response? What if we wanted to impose a rule that a field can only take up values within a specific range? In these cases and many others, we must add a step to validate the output.\\r\\nIn the rest of this chapter, we\\u2019ll look at LLM output validation and examples of how to implement it using an open-source package called Guardrails AI. This chapter comes with a Google Colaboratory notebook .\\r\\nWhen Is Output Validation Needed\\r\\nTo build robust and production-ready LLM applications, the outputs need to be predictable. This helps to safeguard the application against unexpected behaviors and to ensure a reliable user experience. The following provides some example scenarios when output validation may be needed.\\r\\n* Structure compliance : Some LLM applications require their output to go beyond just freeform text and instead follow a specific structure containing specific types of information. We looked at a toy example earlier of a text extraction task that requires a JSON output that follows a certain format. We can extend this to synthetic data generation cases, where the generated data must meet certain criteria.\\r\\n* Safe responses : Due to their probabilistic nature, LLM applications require additional guardrails to ensure their outputs are safe, ethical, and privacy-preserving. For example, we may want to confirm that an output does not contain profanity. Alternatively, we may want to ensure that an output does not contain personally identifiable information (PII).\\r\\n* Semantic similarity : In some applications, we may require the output to be similar enough to a target. For example, in text summarization tasks, we want to ensure that the summary does not deviate too far from the original document. For this, with the help of text embeddings, we want to validate that the summary and the document are similar enough semantically.\\r\\n* Valid choices : We may also want the LLM to generate valid outputs per given definitions or constraints. For example, creating an LLM chess player will require the LLM output to generate only valid moves for a given board state. We could also validate that a generated piece of code is syntactically correct.\\r\\n* Quality assurance : More generally, we may want to implement a validation step to ensure an LLM output meets a certain quality standard for a respective application\\u2019s use case and provides value to users.\\r\\nWe can bake these types of validation into an application by implementing a set of validation steps followed by an automated action to fix any gaps found. Let\\u2019s see how we can do this.\\r\\nIntroduction to Guardrails AI\\r\\nGuardrails AI is a Python package that enables developers to enhance the outputs of LLms by adding structural, type, and quality assurances. Guardrails helps developers with two key activities in a validation process:\\r\\n* Validation : Performing output validation for LLMs in a similar style to the Pydantic package, which is a data validation library for Python. Some examples are validating the structure of generated JSON output, identifying bias in generated text, and checking for bugs in generated code.\\r\\n* Correction : Performing corrective actions based on the validation results, such as asking the LLM to re-generate the response or fixing the output directly.\\r\\n!https://txt.cohere.com/content/images/2023/09/Output-Validation.png\\r\\nValidation and correction are two key activities in a validation process\\r\\nImplementation-wise, the following steps are involved in incorporating Guardrails into an LLM application:\\r\\n* Create the output schema : The RAIL spec contains the overall schema of the LLM output, the type info for each field, the quality criteria for each field, and the corrective action to be taken if the quality criteria are not met. It also contains the prompt template and any custom code for implementing the schema. Alternatively, the output schema can also be defined using Pydantic, which we\\u2019ll use in our example later.\\r\\n* Initialize a Guard object based on the schema : The Guard class is the main entry point for using Guardrails. It is initialized using the output schema created in the previous step.\\r\\n* Wrap an LLM call with the Guard object : The gd.Guard object wraps around an LLM API call to validate, structure, and correct the outputs.\\r\\n!https://txt.cohere.com/content/images/2023/09/Output-Validation-with-Guardrails-AI.png\\r\\nThe key steps in output validation with Guardrails: creating the output schema, initializing a Guard object, and wrapping an LLM call with it\\r\\nLet\\u2019s look at an example of using Guardrails in a text extraction task. The task is to extract the information from a doctor\\u2019s note into a JSON object. The following is the doctor\\u2019s note.\\r\\nPython\\r\\ndoctors_notes = \\\"\\\"\\\"49 y/o Male with chronic macular rash to face & hair, worse in beard, eyebrows & nares. Itchy, flaky, slightly scaly. Moderate response to OTC steroid cream\\\"\\\"\\\"\\r\\nSpecifically, we want our extracted information to contain the following fields:\\r\\n* Patient's gender\\r\\n* Patient's age\\r\\n* A list of symptoms, each with a severity rating and an affected area\\r\\n* A list of medications, each with information about the patient's response to the medication\\r\\nSetup\\r\\nFirst, let\\u2019s install the packages required: cohere , guardrails-ai , and pydantic .\\r\\npip install \"cohere<5\" guardrails-ai pydantic -q\\r\\n\\r\\n\\r\\nNext, import the packages.\\r\\nPython\\r\\nimport cohere import guardrails as gd from guardrails.validators import ValidRange, ValidChoices from pydantic import BaseModel, Field from rich import print from typing import List\\r\\nDefine the Output Schema\\r\\nNext, we define the output schema that defines what the LLM response should look like. As mentioned earlier, Guardrails provides an option to define the schema using Pydantic. We\\u2019ll use this option, and below is the schema we\\u2019ll use for the doctor notes extraction task.\\r\\nPython\\r\\n`class Symptoms(BaseModel): symptom: str = Field(..., description=\\\"Symptom that a patient is experiencing\\\") affected_area: str = Field( ..., description=\\\"What part of the body the symptom is affecting\\\", validators=[ValidChoices([\\\"head\\\", \\\"neck\\\", \\\"chest\\\"], on_fail=\\\"reask\\\")] )\\r\\nclass CurrentMeds(BaseModel): medication: str = Field(..., description=\\\"Name of the medication the patient is taking\\\") response: str = Field(..., description=\\\"How the patient is responding to the medication\\\")\\r\\nclass PatientInfo(BaseModel): gender: str = Field(..., description=\\\"Patient's gender\\\") age: int = Field(..., description=\\\"Patient's age\\\", validators=[ValidRange(0, 100)]) symptoms: List[Symptoms] = Field(..., description=\\\"Symptoms that the patient is experiencing\\\") current_meds: List[CurrentMeds] = Field(..., description=\\\"Medications that the patient is currently taking\\\")`\\r\\nIn the schema, we defined a few \\u201cvalidators,\\u201d a Guardrails feature that lets us define the type of validation to perform. One example is ValidChoices , useful for situations where we want to enforce that a response can only be within a predefined list of items. In our example, in the symptom field, the value can only be one of head, neck, or chest. And if the generated response doesn\\u2019t fulfill this criteria, it will be re-prompted. This is shown by the on_fail setting that triggers a reask .\\r\\nThe Guardrails documentation provides more information about the types of validators in Guardrails.\\r\\nInitialize a Guard Object Based on the Schema\\r\\nNext, we initialize a Guard object based on the schema we have defined.\\r\\nFirst, we define the base instruction prompt for the LLM as follows.\\r\\nPython\\r\\n`PROMPT = \\\"\\\"\\\"Given the following doctor's notes about a patient, please extract a dictionary that contains the patient's information.\\r\\n{{doctors_notes}}\\r\\n@complete_json_suffix_v2 \\\"\\\"\\\"`\\r\\nThen, we initialize a Guard object from the PatientInfo Pydantic model.\\r\\nPython\\r\\nguard = gd.Guard.from_pydantic(PatientInfo, prompt=PROMPT) print(guard.base_prompt)\\r\\nGuardrails then uses this information to construct the full prompt for the LLM, which looks like the following example.\\r\\nGiven the following doctor's notes about a patient,\\r\\nplease extract a dictionary that contains the patient's information.\\r\\n\\r\\n\\r\\n{doctors_notes}\\r\\n\\r\\n\\r\\nGiven below is XML that describes the information to extract from this document and the tags to extract it into.\\r\\n\\r\\n\\r\\n\\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n\\r\\n\\r\\n\\r\\nONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the `name`\\r\\nattribute of the corresponding XML, and the value is of the type specified by the corresponding XML's tag. The JSON\\r\\nMUST conform to the XML format, including any types and format requests e.g. requests for lists, objects and\\r\\nspecific types. Be correct and concise.\\r\\n\\r\\n\\r\\nHere are examples of simple (XML, JSON) pairs that show the expected behavior:\\r\\n- `` => `{{'foo': 'example one'}}`\\r\\n- `` => `{{\\\"bar\\\": ['STRING ONE', 'STRING TWO', etc.]}}`\\r\\n- `` => `{{'baz': {{'foo': 'Some String', 'index': 1}}}}`\\r\\n\\r\\n\\r\\nWrap an LLM Call with the Guard Object\\r\\nWe\\u2019re ready to run an LLM call using the Cohere Generate endpoint. For this, we wrap the LLM call with the Guard object. This means it will take care of the validation and reasking (if any) until the final generated output fulfills the defined schema.\\r\\nPython\\r\\nco = cohere.Client(api_key='COHERE_API_KEY') raw_llm_output, validated_output = guard( co.generate, prompt_params={\\\"doctors_notes\\\": doctors_notes}, model='command', max_tokens=1024, temperature=0.6 )\\r\\nAnd we get the final validated output as follows.\\r\\nJSON\\r\\n{ 'patient_info': { 'gender': 'Male', 'age': 49, 'symptoms': [ {'symptom': 'Chronic macular rash', 'affected area': 'head'}, { 'symptom': 'Itchy, flaky, slightly scaly', 'affected area': 'head' } ], 'current_meds': [ {'medication': 'OTC steroid cream', 'response': 'Moderate'} ] } }\\r\\nBehind the scenes, Guardrails performs the validation step on the output against the schema, raises any errors if there are mismatches, and triggers a reask. We can trace the execution steps as follows.\\r\\nPython\\r\\nprint(guard.state.most_recent_call.history[0].rich_group)\\r\\nThe LLM call first returned the following response. Two symptoms were generated, and for both \\u201cFace & hair\\u201d and \\u201cBeard, eyebrows & nares,\\u201d the affected areas did not fall within the three options we had defined earlier.\\r\\nJSON\\r\\n{ \\\"patient_info\\\": { \\\"gender\\\": \\\"Male\\\", \\\"age\\\": 49, \\\"symptoms\\\": [ { \\\"symptom\\\": \\\"Chronic macular rash\\\", \\\"affected area\\\": \\\"Face & hair\\\" }, { \\\"symptom\\\": \\\"Itchy, flaky, slightly scaly\\\", \\\"affected area\\\": \\\"Beard, eyebrows & nares\\\" } ], \\\"current_meds\\\": [ { \\\"medication\\\": \\\"OTC steroid cream\\\", \\\"response\\\": \\\"Moderate\\\" } ] } }\\r\\nGuardrails captured this discrepancy by raising a FieldReAsk object containing the incorrect value, the error message, and other additional information.\\r\\nJSON\\r\\n{ 'patient_info': { 'gender': 'Male', 'age': 49, 'symptoms': [ { 'symptom': 'Chronic macular rash', 'affected area': FieldReAsk( incorrect_value='Face & hair', error_message=\\\"Value Face & hair is not in choices ['head', 'neck', 'chest'].\\\", fix_value=None, path=['patient_info', 'symptoms', 0, 'affected area'] ) }, { 'symptom': 'Itchy, flaky, slightly scaly', 'affected area': FieldReAsk( incorrect_value='Beard, eyebrows & nares', error_message=\\\"Value Beard, eyebrows & nares is not in choices ['head', 'neck', 'chest'].\\\", fix_value=None, path=['patient_info', 'symptoms', 1, 'affected area'] ) } ], 'current_meds': [ {'medication': 'OTC steroid cream', 'response': 'Moderate'} ] } }\\r\\nBased on this information, it triggered another LLM call to re-generate the response. Here is the full prompt.\\r\\nI was given the following JSON response, which had problems due to incorrect values.\\r\\n\\r\\n\\r\\n {\\r\\n \\\"patient_info\\\": {\\r\\n \\\"symptoms\\\": [\\r\\n {\\r\\n \\\"affected area\\\": {\\r\\n \\\"incorrect_value\\\": \\\"Face & hair\\\",\\r\\n \\\"error_message\\\": \\\"Value Face & hair is not in choices ['head', 'neck', 'chest'].\\\"\\r\\n }\\r\\n },\\r\\n {\\r\\n \\\"affected area\\\": {\\r\\n \\\"incorrect_value\\\": \\\"Beard, eyebrows & nares\\\",\\r\\n \\\"error_message\\\": \\\"Value Beard, eyebrows & nares is not in choices ['head', 'neck', 'chest'].\\\"\\r\\n }\\r\\n }\\r\\n ]\\r\\n }\\r\\n }\\r\\n\\r\\n\\r\\n Help me correct the incorrect values based on the given error messages.\\r\\n\\r\\n\\r\\n Given below is XML that describes the information to extract from this document and the tags to extract it\\r\\n into.\\r\\n\\r\\n\\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n \\r\\n\\r\\n\\r\\n ONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the `name`\\r\\n attribute of the corresponding XML, and the value is of the type specified by the corresponding XML's tag. The\\r\\n JSON MUST conform to the XML format, including any types and format requests e.g. requests for lists, objects\\r\\n and specific types. Be correct and concise. If you are unsure anywhere, enter `null`.\\r\\n\\r\\n\\r\\nThis returned the following response.\\r\\nJSON\\r\\n{ \\\"patient_info\\\": { \\\"symptoms\\\": [ { \\\"affected area\\\": \\\"head\\\", \\\"error_message\\\": null }, { \\\"affected area\\\": \\\"head\\\", \\\"error_message\\\": null } ] } }\\r\\nGuardrails then generated the final validated output, which now completely fulfills the schema.\\r\\nJSON\\r\\n{ 'patient_info': { 'gender': 'Male', 'age': 49, 'symptoms': [ {'symptom': 'Chronic macular rash', 'affected area': 'head'}, { 'symptom': 'Itchy, flaky, slightly scaly', 'affected area': 'head' } ], 'current_meds': [ {'medication': 'OTC steroid cream', 'response': 'Moderate'} ] } }\\r\\nConclusion\\r\\nIn this chapter, we looked at LLM output validation and how to implement it using Guardrails AI. Output validation is key to ensuring a generative AI application is robust and predictable enough to be deployed confidently.\",\n", - " \"title\": \"Validating Outputs\",\n", - " \"url\": \"https://docs.google.com/document/d/1wngAfCJY1IgD6H__4AkQXFfymKUpSeJL13TItbigdyA/edit?usp=drivesdk\"\n", - " },\n", - " {\n", - " \"editedBy\": \"Meor Amer\",\n", - " \"id\": \"10x9mJOnEr62hg1IFxgAtD1aIFS4NXJ2l5Lt-UhJXLVg\",\n", - " \"mimeType\": \"application/vnd.google-apps.document\",\n", - " \"modifiedTime\": \"2024-02-29T06:01:59.503Z\",\n", - " \"text\": \"\\ufeffEvaluating Outputs\\r\\nIn this chapter, you'll learn about the different techniques for evaluating LLM outputs.\\r\\nSuggest Edits\\r\\n!https://files.readme.io/7eb0059-Evaluating_LLM_Outputs.png\\r\\nIntroduction\\r\\nLarge language models (LLMs) offer exciting new ways to build applications that leverage natural language as the interface. However, as impressive as this technology may be, it is crucial to evaluate the generated outputs of LLMs to ensure the quality of an application.\\r\\nEvaluating LLM outputs is especially important because the outputs produced by these models are probabilistic \\u2013 meaning the same prompt does not necessarily produce the same outputs every time. Evaluations provide a way to measure the quality level of the outputs, ensuring a great user experience.\\r\\nIn this chapter, we look at a few techniques for evaluating the outputs generated by an LLM.\\r\\nReal User Feedback\\r\\nThe gold standard for evaluation is gathering actual feedback from real application users. The best way to gain insights into an application\\u2019s quality and usefulness is by collecting feedback from users who interact with the application. In contrast, the rest of the methods we\\u2019ll discuss are all proxies for understanding real user experience and behaviors.\\r\\nThe specific tactics for gathering user feedback can come in different forms, for example:\\r\\n* Explicit feedback : By implementing features to gather user feedback, such as thumbs up/down for an output, rating the output, and more\\r\\n* Implicit feedback : By observing user behaviors, such as considering ignored outputs as negatives, analyzing time spent on the output, and more\\r\\nThis creates a flywheel for continuously improving an application. As more users start using the application, more data becomes available to inform the effectiveness of an application, providing signals on areas for improvement.\\r\\nBut, of course, the challenge is that this can happen only after we deploy the application and users have been using it for a while. So, we must also perform evaluations before an application is deployed. For this, let\\u2019s look at alternative evaluation methods.\\r\\nHuman Evaluation\\r\\nThe next best option is to have human annotators evaluate the outputs of an application in the pre-deployment stage. A typical evaluation approach requires building a test dataset, and evaluation is performed against this test dataset.\\r\\n!https://txt.cohere.com/content/images/2023/10/Human-evaluation.png\\r\\nAn example human evaluation comparing two LLM outputs.\\r\\nLet\\u2019s look at a question-answering example. Here, we have one test data point: the prompt asks a question about a headphone product given a user review. The evaluation task is to rate the response generated by the LLM.\\r\\nGiven the following user review, answer the question.\\r\\n\\r\\n\\r\\nUser review: The CO-1T is a great pair of headphones! The sound quality is the best out there, and I can hear every detail of my music.\\r\\n\\r\\n\\r\\nQuestion: Why is the CO-1T a great wireless headphone?\\r\\n\\r\\n\\r\\nAnd let\\u2019s suppose that this is the generated response.\\r\\n\\\"Because the audio experience is unrivaled\\\"\\r\\n\\r\\n\\r\\nThere are several ways to approach evaluation for this response, as follows.\\r\\nReference\\r\\nHere, the evaluator would compare each test data point against the ground truth of an ideal response.\\r\\nWith our example, the ideal response might be, \\u201cBecause the sound quality is the best out there.\\u201d Based on this, the evaluator provides a Yes/No judgment on whether the generated response provides an accurate response.\\r\\nBut this approach requires the ground truth to be constructed beforehand. Given that no two use cases are quite the same, this means having to construct ground truth for each prompt and application. Moreover, the quality of the ground truth directly affects the evaluation outcome \\u2013 if not constructed correctly, it can produce misleading results.\\r\\nScoring\\r\\nHere, the evaluator would evaluate the generated response by assigning a score, such as a rating between 0 and 10. There is no ground truth as a reference, so it\\u2019s up to the evaluator to provide a verdict on the quality of an output.\\r\\nThe score can be a single score or a set of scores, and it can be broad or granular, depending on the use case. For example, a creative writing task might require more granular scoring for different output characteristics, such as fluency, interestingness, and conciseness.\\r\\nAn evaluation criterion can be a score along a scale, and it can also be a check against a flag. For example, a summarization task might require checking whether the output is consistent and does not generate content that doesn't exist in the actual document. A specialized task might require checking for specific rules, such as the number of syllables in a haiku.\\r\\nA/B Testing\\r\\nHere, the evaluator would be given a pair of LLM-generated responses and asked to rate the better response. This is useful for comparing an application\\u2019s quality over different time snapshots or different sets of configurations, such as prompts and parameters.\\r\\nWith our example, let\\u2019s suppose the evaluator is tasked to compare the following two responses to our user question above.\\r\\n1. \\\"Because the audio experience is unrivaled\\\"\\r\\n2. \\\"Because the microphone has the best quality\\\"\\r\\n\\r\\n\\r\\nWe can probably agree that the winning response should be the first one (\\u201cBecause the audio experience is unrivaled\\\") as it answers the question accurately, while the second response (\\u201cBecause the microphone has the best quality\\u201d) talks about the microphone\\u2019s quality instead of the sound quality.\\r\\nThe challenge with human evaluation is that it cannot be scaled efficiently. The cost and time incurred are significantly higher than the alternative methods, which can be automated.\\r\\nAnother challenge is that human evaluation is subjective \\u2013 the verdict from one evaluator may not be the same as another. The example above is relatively straightforward, but in more challenging tasks, there will be more ambiguity and room for interpretation about what makes a response good or bad. Many factors can influence an evaluator\\u2019s verdict, such as expertise, style, and biases, impacting the evaluation outcome.\\r\\nLLM-Generated Evaluation\\r\\nAn alternative to human evaluation is to have an LLM to evaluate the output. With the same setup as above, this is done by having a prompt instructing the LLM to provide the verdict of a generated answer against the reference.\\r\\n!https://txt.cohere.com/content/images/2023/10/LLM-Generated-Evaluation.png\\r\\nAn example LLM-generated evaluation comparing two LLM outputs.\\r\\nAny human evaluation paradigms that we discussed (reference, scoring, and A/B testing) could be replicated using LLM-generated evaluation.\\r\\nThe example below uses the Command model to perform an A/B testing evaluation for the same question-answering task. The model's task is to choose the winning response between two responses to the question.\\r\\nPython\\r\\n`# Add text to evaluate ref_answer = \\\"Because the sound quality is the best out there\\\" gen_answers = [\\\"Because the audio experience is unrivaled\\\", \\\"Because the microphone has the best quality\\\"]\\r\\nRun evaluation\\r\\nfor gen_answer in gen_answers: prompt=f\\\"\\\"\\\"User review: The CO-1T is a great pair of headphones! The sound quality is the \\\\ best out there, and I can hear every detail of my music. Question: Why is the CO-1T a great headphone? Answer #1: {gen_answers[0]} Answer #2: {gen_answers[1]}\\r\\nGiven the question about the user review, state whether Answer #1 or Answer #2 provides \\\\\\\\\\r\\nthe more accurate answer.\\\"\\\"\\\"\\r\\n\\r\\n\\r\\nresponse = co.generate(prompt=prompt,max_tokens=50, temperature=0).generations[0].text\\r\\nprint(response)`\\r\\n\\r\\n\\r\\nThe generated verdict is \\u201cAnswer #1\\u201d, which is what we expect the winning response should be.\\r\\nAnswer #1\\r\\n\\r\\n\\r\\nThis approach is promising as it eliminates the cost and time constraints of human evaluation, but the jury is still out on whether it can surpass human evaluation in accuracy and quality. Its effectiveness on one task doesn\\u2019t guarantee that it will generalize to other tasks and domains, and the only way to know is by testing it on a specific application.\\r\\nLLM-generated evaluation also faces the same subjectivity challenge as human evaluation. Many factors can affect a model\\u2019s evaluation outcome, such as the model\\u2019s overall capability, whether it\\u2019s being trained specifically to perform evaluations, the presence of training data that could introduce biases, and more.\\r\\nWord-Level Metrics\\r\\nAnother evaluation approach compares the reference and generated output at the word/token (or word/token group) level. Several evaluation metrics are available, such as BLEU , ROUGE , Perplexity , and BERTScore .\\r\\n!https://txt.cohere.com/content/images/2023/10/Word-level-Metrics.png\\r\\nLet\\u2019s look at an example with ROUGE , originally created for evaluating summaries. It measures the number of matching \\u201cn-grams\\u201d between the reference and generated text. An N-gram is a contiguous sequence of n items in a text, where n can be 1, 2, and so on. To keep it simple, we\\u2019ll use n=1, also called \\u201cunigrams.\\u201d For example, in the sentence \\u201cI love cats,\\u201d the unigrams are \\u201cI,\\u201d \\u201clove,\\u201d and \\u201ccats.\\u201d\\r\\nWe calculate the precision, recall, and F1-score of the n-grams of the question-answering task against a reference answer of \\u201cBecause the sound quality is the best out there.\\u201d\\r\\n* Precision is the ratio of the count of matching unigrams divided by the count of unigrams in the generated text\\r\\n* Recall is the ratio of the count of matching unigrams divided by the count of unigrams in the reference text\\r\\n* F1-score is calculated from precision and recall with the following formula: 2 * (precision * recall) / (precision + recall)\\r\\nHere is an example using ROUGE:\\r\\nPython\\r\\n`from collections import Counter\\r\\ndef rouge_1(reference, candidate): # Turn into unigrams reference_words = reference.split() candidate_words = candidate.split()\\r\\n# Compute the number of overlapping words\\r\\nreference_count = Counter(reference_words)\\r\\ncandidate_count = Counter(candidate_words)\\r\\noverlap = sum(min(candidate_count[w], reference_count[w]) for w in candidate_count)\\r\\n\\r\\n\\r\\n# Compute precision, recall, and F1 score\\r\\nrecall = overlap / len(reference_words)\\r\\nprecision = overlap / len(candidate_words)\\r\\nf1 = 2 * (recall * precision) / (recall + precision)\\r\\n\\r\\n\\r\\n# Return resuls\\r\\nreturn {\\\"recall\\\": recall, \\\"precision\\\": precision, \\\"f1\\\": f1}\\r\\n\\r\\n\\r\\nfor idx,gen_answer in enumerate(gen_answers): result = rouge_1(ref_answer, gen_answer) print(f\\\"Answer #{idx+1}\\\") print(f\\\"Precision: {result['precision']:.2f}\\\") print(f\\\"Recall: {result['recall']:.2f}\\\") print(f\\\"F1-Score: {result['f1']:.2f}\\\") print(\\\"\\\\n\\\")`\\r\\nThis gives the following outcome.\\r\\nAnswer #1\\r\\nPrecision: 0.50\\r\\nRecall: 0.33\\r\\nF1-Score: 0.40\\r\\n\\r\\n\\r\\nAnswer #2\\r\\nPrecision: 0.71\\r\\nRecall: 0.56\\r\\nF1-Score: 0.63\\r\\n\\r\\n\\r\\nHere, the second generated answer scored higher than the first in precision, recall, and F1-score, which is not the expected outcome. This is because it has more unigram overlaps with the reference answer, for example, with the words \\u201cbest\\u201d and \\u201cquality.\\u201d\\r\\nThis is an example where word-level metrics may fall short. They can be handy because they are easy to interpret and their implementation is fast and cheap, but they may not capture the overall meaning and accuracy when comparing two pieces of text.\\r\\nConclusion\\r\\nThis chapter looked at a few techniques for evaluating LLM outputs, from human evaluations to automated ones.\\r\\nThere is a trade-off to be considered: on the one hand, automated evaluations are much more cost and time-efficient, which makes them practical options in some cases, such as in the early prototyping stages. On the other hand, human evaluations are still the gold standard for getting the strongest signal on an application's accuracy and usefulness.\\r\\nIt\\u2019s also worth noting that this chapter looks at evaluating LLM outputs in general without making any assumptions about the actual task. In practice, there are other approaches not mentioned here that better suit specific tasks, such as code execution or information extraction.\\r\\nUltimately, each evaluation approach has its potential pitfalls. An evaluation outcome can only be considered reliable if we have first understood and mitigated the associated limitations.\\r\\nOriginal Source\\r\\nThis material comes from the post: Evaluating LLM Outputs .\\r\\nUpdated about 1 month ago\\r\\n________________\\r\\n\\r\\n\\r\\nWhat\\u2019s Next\\r\\n* Conclusion - Prompt Engineering\\r\\n* Table of Contents\\r\\n* IntroductionReal User FeedbackHuman EvaluationReferenceScoringA/B TestingLLM-Generated EvaluationWord-Level MetricsConclusionOriginal Source\",\n", - " \"title\": \"Evaluating Outputs\",\n", - " \"url\": \"https://docs.google.com/document/d/10x9mJOnEr62hg1IFxgAtD1aIFS4NXJ2l5Lt-UhJXLVg/edit?usp=drivesdk\"\n", - " }\n", - " ]\n", - "}\n" - ] + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/RAG_with_Quickstart_Connectors.ipynb." + ] } - ], - "source": [ - "! curl --request POST \\\n", - "\t\t--url https://demo-conn-gdrive.onrender.com/search \\\n", - "\t\t--header 'Authorization: Bearer YOUR_CONNECTOR_API_KEY' \\\n", - "\t\t--header 'Content-Type: application/json' \\\n", - "\t\t--data '{\"query\": \"prompt chaining\"}'" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "SbRh6GNuRnmw" - }, - "source": [ - "# Create a chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "0V7dWvznljbD" - }, - "source": [ - "The `Chatbot` class below handles the interaction between the user and chatbot. We define the connector for the chatbot to use with the attribute `self.connectors`.\n", - "\n", - "The run() method contains the logic for getting the user message, displaying the chatbot response with citations, along with a way for the user to end the conversation." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 17 - }, - "id": "PB5kpanxRnmw", - "outputId": "ee14bf68-0921-41c8-b6c3-bc652a107a4d" - }, - "outputs": [], - "source": [ - "class Chatbot:\n", - " def __init__(self, connectors: List[str]):\n", - " \"\"\"\n", - " Initializes an instance of the Chatbot class.\n", - "\n", - " \"\"\"\n", - " self.conversation_id = str(uuid.uuid4())\n", - " self.connectors = [ChatConnector(id=connector) for connector in connectors]\n", - "\n", - " def run(self):\n", - " \"\"\"\n", - " Runs the chatbot application.\n", - "\n", - " \"\"\"\n", - " while True:\n", - " # Get the user message\n", - " message = input(\"User: \")\n", - "\n", - " # Typing \"quit\" ends the conversation\n", - " if message.lower() == \"quit\":\n", - " print(\"Ending chat.\")\n", - " break\n", - " else: # If using Google Colab, remove this line to avoid printing the same thing twice\n", - " print(f\"User: {message}\") # If using Google Colab, remove this line to avoid printing the same thing twice\n", - "\n", - " # Generate response\n", - " response = co.chat_stream(\n", - " message=message,\n", - " model=\"command-r-plus\",\n", - " conversation_id=self.conversation_id,\n", - " connectors=self.connectors,\n", - " )\n", - "\n", - " # Print the chatbot response, citations, and documents\n", - " print(\"\\nChatbot:\")\n", - " citations = []\n", - " cited_documents = []\n", - "\n", - " # Display response\n", - " for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " elif event.event_type == \"citation-generation\":\n", - " citations.extend(event.citations)\n", - " elif event.event_type == \"stream-end\":\n", - " cited_documents = event.response.documents\n", - "\n", - " # Display citations and source documents\n", - " if citations:\n", - " print(\"\\n\\nCITATIONS:\")\n", - " for citation in citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nDOCUMENTS:\")\n", - " for document in cited_documents:\n", - " print({'id': document['id'],\n", - " 'text': document['text'][:50] + '...'})\n", - "\n", - " print(f\"\\n{'-'*100}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TAIvNbpxkA1h" - }, - "source": [ - "# Run the chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "AL4D455vmED3" - }, - "source": [ - "We can now run the chatbot. For this, we create the instance of `Chatbot` using Cohere's managed web-search connector. Then we run the chatbot by invoking the `run()` method.\n", - "\n", - "The format of each citation is:\n", - "- `start`: The starting point of a span where one or more documents are referenced\n", - "- `end`: The ending point of a span where one or more documents are referenced\n", - "- `text`: The text representing this span\n", - "- `document_ids`: The IDs of the documents being referenced (`doc_0` being the ID of the first document passed to the `documents` creating parameter in the endpoint call, and so on)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "JeEZkEVPRnmx", - "outputId": "4e157035-f0cc-4f68-cde2-2404d92b230a" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "User: What is prompt engineering\n", - "\n", - "Chatbot:\n", - "Prompt engineering is a process of constructing prompts for a large language model (LLM) to get a desired response. It involves techniques such as adding specific details, context, output format requirements, and examples to a prompt to guide the LLM's response. Prompt engineering also involves chaining multiple prompts together to accomplish a complex task and validating and evaluating the outputs of LLMs to ensure the quality and accuracy of the responses.\n", - "\n", - "CITATIONS:\n", - "start=35 end=55 text='constructing prompts' document_ids=['demo-conn-gdrive-6bfrp6_0', 'demo-conn-gdrive-6bfrp6_1', 'demo-conn-gdrive-6bfrp6_2', 'demo-conn-gdrive-6bfrp6_3', 'demo-conn-gdrive-6bfrp6_4']\n", - "start=62 end=88 text='large language model (LLM)' document_ids=['demo-conn-gdrive-6bfrp6_0', 'demo-conn-gdrive-6bfrp6_3']\n", - "start=98 end=115 text='desired response.' document_ids=['demo-conn-gdrive-6bfrp6_0', 'demo-conn-gdrive-6bfrp6_3']\n", - "start=154 end=170 text='specific details' document_ids=['demo-conn-gdrive-6bfrp6_0']\n", - "start=172 end=179 text='context' document_ids=['demo-conn-gdrive-6bfrp6_0']\n", - "start=181 end=207 text='output format requirements' document_ids=['demo-conn-gdrive-6bfrp6_0']\n", - "start=213 end=221 text='examples' document_ids=['demo-conn-gdrive-6bfrp6_0']\n", - "start=237 end=262 text=\"guide the LLM's response.\" document_ids=['demo-conn-gdrive-6bfrp6_0']\n", - "start=296 end=330 text='chaining multiple prompts together' document_ids=['demo-conn-gdrive-6bfrp6_1']\n", - "start=364 end=374 text='validating' document_ids=['demo-conn-gdrive-6bfrp6_3']\n", - "start=379 end=389 text='evaluating' document_ids=['demo-conn-gdrive-6bfrp6_4']\n", - "start=424 end=431 text='quality' document_ids=['demo-conn-gdrive-6bfrp6_4']\n", - "start=436 end=444 text='accuracy' document_ids=['demo-conn-gdrive-6bfrp6_3']\n", - "\n", - "DOCUMENTS:\n", - "{'id': 'demo-conn-gdrive-6bfrp6_0', 'text': \"\\ufeffConstructing Prompts\\r\\nIn this chapter, you'll lea...\"}\n", - "{'id': 'demo-conn-gdrive-6bfrp6_1', 'text': \"\\ufeffChaining Prompts\\r\\nIn this chapter, you'll learn a...\"}\n", - "{'id': 'demo-conn-gdrive-6bfrp6_2', 'text': \"\\ufeffUse Case Patterns\\r\\nIn this chapter, you'll learn ...\"}\n", - "{'id': 'demo-conn-gdrive-6bfrp6_3', 'text': \"\\ufeffValidating Outputs\\r\\nIn this chapter, you'll learn...\"}\n", - "{'id': 'demo-conn-gdrive-6bfrp6_4', 'text': \"\\ufeffEvaluating Outputs\\r\\nIn this chapter, you'll learn...\"}\n", - "\n", - "----------------------------------------------------------------------------------------------------\n", - "\n", - "Ending chat.\n" - ] + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Define the connector\n", - "connectors = [\"demo-conn-gdrive-6bfrp6\"]\n", - "\n", - "# Create an instance of the Chatbot class\n", - "chatbot = Chatbot(connectors)\n", - "\n", - "# Run the chatbot\n", - "chatbot.run()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" }, - "orig_nbformat": 4 - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Rerank_Endpoint.ipynb b/notebooks/llmu/Rerank_Endpoint.ipynb index b356dac8..517555ab 100644 --- a/notebooks/llmu/Rerank_Endpoint.ipynb +++ b/notebooks/llmu/Rerank_Endpoint.ipynb @@ -1,287 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "id": "56b0e523", - "metadata": {}, - "source": [ - "# Reranking Unstructured and Semi-Structured Data\n", - "\n", - "Enterprise data is often complex, and current systems have difficulty searching through multi-aspect and semi-structured data sources. The most useful data at companies is not often in simple document format, and semi-structured data formats such as JSON are common across enterprise applications.\n", - "\n", - "The Rerank API Endpoint, powered by the [Rerank 3](https://docs.cohere.com/docs/rerank-2) model, can search over semi-structured data that is represented as JSON. You can simply take your JSON documents, e.g. from an Elasticsearch or MongoDB, and pass it to the Rerank 3 model. By setting the rank fields, you can select which fields should be considered by the model for the reranking.\n", - "\n", - "In this notebook, we'll see how to use Rerank 3 to rank complex, multi-aspect data (e.g. emails) based on all of their relevant metadata fields. The diagram below provides an overview of what we'll build.\n", - "\n", - "![rerank-email-example](https://cohere.com/_next/image?url=https%3A%2F%2Flh7-us.googleusercontent.com%2Fwvf252whPErQDMQi8LiS_5werbHEIKWWfyDZYinKcrQnNe2CX6rRmm8ahfyPT101I0YggS-h0nkaIENBysIHJfy8ztNSJIl1Q4LQaJWZeDMTO0bLNk9iREvcWBI3wd-q1Q_qdDCZQPL5L53vqAKi6P4&w=1920&q=75)\n", - "\n", - "## Overview\n", - "\n", - "We'll do the following steps: \n", - "- **Step 1: Define a JSON Dataset** - Define the email dataset.\n", - "- **Step 2: Define Fields for the Reranking** - Select which fields should be considered by the model.\n", - "- **Step 3: Call the Rerank Endpoint** - Pull results deemed most relevant to two sample queries.\n", - "\n", - "## Setup\n", - "\n", - "We start by installing the Cohere SDK." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "6e543d03", - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "markdown", - "id": "4b7ca061", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "cb297cba", - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "# Paste your API key here. Remember to not share publicly\n", - "co = cohere.Client(\"COHERE_API_KEY\")" - ] - }, - { - "cell_type": "markdown", - "id": "7b4d5e16", - "metadata": {}, - "source": [ - "## Step 1: Define a JSON Dataset\n", - "\n", - "We begin by creating our dataset, which is a Python list of JSON objects. Each JSON object is a different email with five fields. " - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "id": "6dbedb4b", - "metadata": {}, - "outputs": [], - "source": [ - "emails = [\n", - " {\n", - " \"from\": \"Paul Doe \",\n", - " \"to\": [\"Steve \", \"lisa@example.com\"],\n", - " \"date\": \"2024-03-27\",\n", - " \"subject\": \"Follow-up\",\n", - " \"text\": \"We are happy to give you the following pricing for your project.\"\n", - " },\n", - " {\n", - " \"from\": \"John McGill \",\n", - " \"to\": [\"Steve \"],\n", - " \"date\": \"2024-03-28\",\n", - " \"subject\": \"Missing Information\",\n", - " \"text\": \"Sorry, but here is the pricing you asked for for the newest line of your models.\"\n", - " },\n", - " {\n", - " \"from\": \"John McGill \",\n", - " \"to\": [\"Steve \"],\n", - " \"date\": \"2024-02-15\",\n", - " \"subject\": \"Commited Pricing Strategy\",\n", - " \"text\": \"I know we went back and forth on this during the call but the pricing for now should follow the agreement at hand.\"\n", - " },\n", - " {\n", - " \"from\": \"Generic Airline Company\",\n", - " \"to\": [\"Steve \"],\n", - " \"date\": \"2023-07-25\",\n", - " \"subject\": \"Your latest flight travel plans\",\n", - " \"text\": \"Thank you for choose to fly Generic Airline Company. Your booking status is confirmed.\"\n", - " },\n", - " {\n", - " \"from\": \"Generic SaaS Company\",\n", - " \"to\": [\"Steve \"],\n", - " \"date\": \"2024-01-26\",\n", - " \"subject\": \"How to build generative AI applications using Generic Company Name\",\n", - " \"text\": \"Hey Steve! Generative AI is growing so quickly and we know you want to build fast!\"\n", - " },\n", - " {\n", - " \"from\": \"Paul Doe \",\n", - " \"to\": [\"Steve \", \"lisa@example.com\"],\n", - " \"date\": \"2024-04-09\",\n", - " \"subject\": \"Price Adjustment\",\n", - " \"text\": \"Re: our previous correspondence on 3/27 we'd like to make an amendment on our pricing proposal. We'll have to decrease the expected base price by 5%.\"\n", - " },\n", - "]" - ] - }, - { - "cell_type": "markdown", - "id": "db3453a3", - "metadata": {}, - "source": [ - "## Step 2: Define Fields for the Reranking\n", - "\n", - "Next, we define which fields we want to include for the reranking. In this case, we will use all fields." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "60ed6532", - "metadata": {}, - "outputs": [], - "source": [ - "rank_fields = [\"from\", \"to\", \"date\", \"subject\", \"text\"]" - ] - }, - { - "cell_type": "markdown", - "id": "be3594bc", - "metadata": {}, - "source": [ - "## Step 3: Call the Rerank Endpoint\n", - "\n", - "Now we are ready to call the Rerank endpoint, which will help us to find emails that are relevant to a particular query.\n", - "\n", - "We'll begin with a query about pricing from Microsoft (MS). " - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "id": "3a92ffc6", - "metadata": {}, - "outputs": [], - "source": [ - "query = \"What is the pricing that we received from MS?\"" - ] - }, - { - "cell_type": "markdown", - "id": "28664c16", - "metadata": {}, - "source": [ - "To pull relevant emails, the model needs to combine information from the `\"to\"` field (e.g., `\"john_fake_mcgill@microsoft.com\"`) and the `\"text\"` field (e.g., `\"Sorry, but here is the pricing you asked for ...\"`). \n", - "\n", - "We call the Rerank endpoint with `co.rerank()` and use five parameters: \n", - "- `query` - The query that we will use to find relevant documents\n", - "- `documents` - The set of documents (or, in this case, emails) to rerank\n", - "- `top_n` - The number of most relevant documents to return\n", - "- `model` - For this data, we need to use 'rerank-english-v3.0', Cohere's latest English reranking model \n", - "- `rank_fields` - The keys we would like to have considered for reranking\n", - "\n", - "The next code cell prints the two emails deemed most relevant by the Rerank endpoint, in decreasing order of relevance. It correctly pulled the relevant emails, and in the correct order." - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "id": "4cc5b622", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "{'from': 'John McGill ', 'to': ['Steve '], 'date': '2024-03-28', 'subject': 'Missing Information', 'text': 'Sorry, but here is the pricing you asked for for the newest line of your models.'}\n", - "{'from': 'John McGill ', 'to': ['Steve '], 'date': '2024-02-15', 'subject': 'Commited Pricing Strategy', 'text': 'I know we went back and forth on this during the call but the pricing for now should follow the agreement at hand.'}\n" - ] - } - ], - "source": [ - "results = co.rerank(query=query, \n", - " documents=emails, \n", - " top_n=2,\n", - " model='rerank-english-v3.0', \n", - " rank_fields=rank_fields)\n", - "\n", - "for hit in results.results:\n", - " email = emails[hit.index]\n", - " print(email)" - ] - }, - { - "cell_type": "markdown", - "id": "dcef93a0", - "metadata": {}, - "source": [ - "Now we'll work with another query, this time asking for the pricing from Oracle." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "id": "ee7dcfcc", - "metadata": {}, - "outputs": [], - "source": [ - "query = \"Which pricing did we get from Oracle\"" - ] - }, - { - "cell_type": "markdown", - "id": "2d5326fa", - "metadata": {}, - "source": [ - "We call the Rerank endpoint a second time and print the results. Again, the model returns a correct result." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "id": "650fc281", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Query: Which pricing did we get from Oracle\n", - "{'from': 'Paul Doe ', 'to': ['Steve ', 'lisa@example.com'], 'date': '2024-03-27', 'subject': 'Follow-up', 'text': 'We are happy to give you the following pricing for your project.'}\n", - "{'from': 'Paul Doe ', 'to': ['Steve ', 'lisa@example.com'], 'date': '2024-04-09', 'subject': 'Price Adjustment', 'text': \"Re: our previous correspondence on 3/27 we'd like to make an amendment on our pricing proposal. We'll have to decrease the expected base price by 5%.\"}\n" - ] - } - ], - "source": [ - "results = co.rerank(query=query, \n", - " documents=emails, \n", - " top_n=2, \n", - " model='rerank-english-v3.0', \n", - " rank_fields=rank_fields)\n", - "\n", - "print(\"Query:\", query)\n", - "for hit in results.results:\n", - " email = emails[hit.index]\n", - " print(email)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.5" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Rerank_Endpoint.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/Validating_Large_Language_Model_Outputs.ipynb b/notebooks/llmu/Validating_Large_Language_Model_Outputs.ipynb index 7b8574da..640b437b 100644 --- a/notebooks/llmu/Validating_Large_Language_Model_Outputs.ipynb +++ b/notebooks/llmu/Validating_Large_Language_Model_Outputs.ipynb @@ -1,950 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "XtZlzMox9SLA" - }, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "U7zqJNmPq1KI" - }, - "source": [ - "# Validating Large Language Model Outputs" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "npA3DLlzq6f8" - }, - "source": [ - "One key property of LLMs that’s different from traditional software is that the output is probabilistic in nature. The same input (i.e., the prompt) may not always produce the same response. While this property makes it possible to build entirely new classes of natural language applications, it also means that those applications require a mechanism for validating their outputs.\n", - "\n", - "An output validation step ensures that an LLM application is robust and predictable. In this article, we looked at what output validation is and how to implement it using [Guardrails AI](https://www.guardrailsai.com/).\n", - "\n", - "Read the accompanying [article here](https://docs.cohere.com/docs/validating-outputs).\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "Wlhq43AvrYpR" - }, - "source": [ - "## 1: Setup" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "9_ivCnkrgHIx", - "outputId": "95ef1aec-1ba2-49cd-e8f1-2cb10a824729" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Collecting git+https://github.com/guardrails-ai/guardrails.git@main\n", - " Cloning https://github.com/guardrails-ai/guardrails.git (to revision main) to /tmp/pip-req-build-vcruxxjc\n", - " Running command git clone --filter=blob:none --quiet https://github.com/guardrails-ai/guardrails.git /tmp/pip-req-build-vcruxxjc\n", - " Resolved https://github.com/guardrails-ai/guardrails.git to commit 6de5641b8f269164cd57cd95f32dacb9e7d83537\n", - " Installing build dependencies ... \u001b[?25l\u001b[?25hdone\n", - " Getting requirements to build wheel ... \u001b[?25l\u001b[?25hdone\n", - " Preparing metadata (pyproject.toml) ... \u001b[?25l\u001b[?25hdone\n", - "Requirement already satisfied: cohere in /usr/local/lib/python3.10/dist-packages (5.2.6)\n", - "Requirement already satisfied: fastavro<2.0.0,>=1.9.4 in /usr/local/lib/python3.10/dist-packages (from cohere) (1.9.4)\n", - "Requirement already satisfied: httpx>=0.21.2 in /usr/local/lib/python3.10/dist-packages (from cohere) (0.27.0)\n", - "Requirement already satisfied: pydantic>=1.9.2 in /usr/local/lib/python3.10/dist-packages (from cohere) (2.6.4)\n", - "Requirement already satisfied: requests<3.0.0,>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from cohere) (2.31.0)\n", - "Requirement already satisfied: tokenizers<0.16.0,>=0.15.2 in /usr/local/lib/python3.10/dist-packages (from cohere) (0.15.2)\n", - "Requirement already satisfied: types-requests<3.0.0,>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from cohere) (2.31.0.20240406)\n", - "Requirement already satisfied: typing_extensions>=4.0.0 in /usr/local/lib/python3.10/dist-packages (from cohere) (4.11.0)\n", - "Requirement already satisfied: coloredlogs<16.0.0,>=15.0.1 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (15.0.1)\n", - "Requirement already satisfied: griffe<0.37.0,>=0.36.9 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (0.36.9)\n", - "Requirement already satisfied: guardrails-api-client<0.2.0,>=0.1.1 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (0.1.1)\n", - "Requirement already satisfied: jwt<2.0.0,>=1.3.1 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (1.3.1)\n", - "Requirement already satisfied: langchain-core<0.2.0,>=0.1.18 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (0.1.42)\n", - "Requirement already satisfied: lxml<5.0.0,>=4.9.3 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (4.9.4)\n", - "Requirement already satisfied: openai<2 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (1.17.1)\n", - "Requirement already satisfied: opentelemetry-exporter-otlp-proto-grpc==1.20.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (1.20.0)\n", - "Requirement already satisfied: opentelemetry-exporter-otlp-proto-http==1.20.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (1.20.0)\n", - "Requirement already satisfied: opentelemetry-sdk==1.20.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (1.20.0)\n", - "Requirement already satisfied: pydash<8.0.0,>=7.0.6 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (7.0.7)\n", - "Requirement already satisfied: python-dateutil<3.0.0,>=2.8.2 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (2.8.2)\n", - "Requirement already satisfied: regex<2024.0.0,>=2023.10.3 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (2023.12.25)\n", - "Requirement already satisfied: rich<14.0.0,>=13.6.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (13.7.1)\n", - "Requirement already satisfied: rstr<4.0.0,>=3.2.2 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (3.2.2)\n", - "Requirement already satisfied: tenacity>=8.1.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (8.2.3)\n", - "Requirement already satisfied: tiktoken<0.6.0,>=0.5.1 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (0.5.2)\n", - "Requirement already satisfied: typer[all]<0.10.0,>=0.9.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-ai==0.4.3) (0.9.4)\n", - "Requirement already satisfied: backoff<3.0.0,>=1.10.0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (2.2.1)\n", - "Requirement already satisfied: deprecated>=1.2.6 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.2.14)\n", - "Requirement already satisfied: googleapis-common-protos~=1.52 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.63.0)\n", - "Requirement already satisfied: grpcio<2.0.0,>=1.0.0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.62.1)\n", - "Requirement already satisfied: opentelemetry-api~=1.15 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.20.0)\n", - "Requirement already satisfied: opentelemetry-exporter-otlp-proto-common==1.20.0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.20.0)\n", - "Requirement already satisfied: opentelemetry-proto==1.20.0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.20.0)\n", - "Requirement already satisfied: opentelemetry-semantic-conventions==0.41b0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-sdk==1.20.0->guardrails-ai==0.4.3) (0.41b0)\n", - "Requirement already satisfied: importlib-metadata<7.0,>=6.0 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-api~=1.15->opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (6.11.0)\n", - "Requirement already satisfied: protobuf<5.0,>=3.19 in /usr/local/lib/python3.10/dist-packages (from opentelemetry-proto==1.20.0->opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (3.20.3)\n", - "Requirement already satisfied: humanfriendly>=9.1 in /usr/local/lib/python3.10/dist-packages (from coloredlogs<16.0.0,>=15.0.1->guardrails-ai==0.4.3) (10.0)\n", - "Requirement already satisfied: colorama>=0.4 in /usr/local/lib/python3.10/dist-packages (from griffe<0.37.0,>=0.36.9->guardrails-ai==0.4.3) (0.4.6)\n", - "Requirement already satisfied: attrs>=21.3.0 in /usr/local/lib/python3.10/dist-packages (from guardrails-api-client<0.2.0,>=0.1.1->guardrails-ai==0.4.3) (23.2.0)\n", - "Requirement already satisfied: anyio in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere) (3.7.1)\n", - "Requirement already satisfied: certifi in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere) (2024.2.2)\n", - "Requirement already satisfied: httpcore==1.* in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere) (1.0.5)\n", - "Requirement already satisfied: idna in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere) (3.6)\n", - "Requirement already satisfied: sniffio in /usr/local/lib/python3.10/dist-packages (from httpx>=0.21.2->cohere) (1.3.1)\n", - "Requirement already satisfied: h11<0.15,>=0.13 in /usr/local/lib/python3.10/dist-packages (from httpcore==1.*->httpx>=0.21.2->cohere) (0.14.0)\n", - "Requirement already satisfied: cryptography!=3.4.0,>=3.1 in /usr/local/lib/python3.10/dist-packages (from jwt<2.0.0,>=1.3.1->guardrails-ai==0.4.3) (42.0.5)\n", - "Requirement already satisfied: PyYAML>=5.3 in /usr/local/lib/python3.10/dist-packages (from langchain-core<0.2.0,>=0.1.18->guardrails-ai==0.4.3) (6.0.1)\n", - "Requirement already satisfied: jsonpatch<2.0,>=1.33 in /usr/local/lib/python3.10/dist-packages (from langchain-core<0.2.0,>=0.1.18->guardrails-ai==0.4.3) (1.33)\n", - "Requirement already satisfied: langsmith<0.2.0,>=0.1.0 in /usr/local/lib/python3.10/dist-packages (from langchain-core<0.2.0,>=0.1.18->guardrails-ai==0.4.3) (0.1.47)\n", - "Requirement already satisfied: packaging<24.0,>=23.2 in /usr/local/lib/python3.10/dist-packages (from langchain-core<0.2.0,>=0.1.18->guardrails-ai==0.4.3) (23.2)\n", - "Requirement already satisfied: distro<2,>=1.7.0 in /usr/lib/python3/dist-packages (from openai<2->guardrails-ai==0.4.3) (1.7.0)\n", - "Requirement already satisfied: tqdm>4 in /usr/local/lib/python3.10/dist-packages (from openai<2->guardrails-ai==0.4.3) (4.66.2)\n", - "Requirement already satisfied: annotated-types>=0.4.0 in /usr/local/lib/python3.10/dist-packages (from pydantic>=1.9.2->cohere) (0.6.0)\n", - "Requirement already satisfied: pydantic-core==2.16.3 in /usr/local/lib/python3.10/dist-packages (from pydantic>=1.9.2->cohere) (2.16.3)\n", - "Requirement already satisfied: six>=1.5 in /usr/local/lib/python3.10/dist-packages (from python-dateutil<3.0.0,>=2.8.2->guardrails-ai==0.4.3) (1.16.0)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /usr/local/lib/python3.10/dist-packages (from requests<3.0.0,>=2.0.0->cohere) (3.3.2)\n", - "Requirement already satisfied: urllib3<3,>=1.21.1 in /usr/local/lib/python3.10/dist-packages (from requests<3.0.0,>=2.0.0->cohere) (2.0.7)\n", - "Requirement already satisfied: markdown-it-py>=2.2.0 in /usr/local/lib/python3.10/dist-packages (from rich<14.0.0,>=13.6.0->guardrails-ai==0.4.3) (3.0.0)\n", - "Requirement already satisfied: pygments<3.0.0,>=2.13.0 in /usr/local/lib/python3.10/dist-packages (from rich<14.0.0,>=13.6.0->guardrails-ai==0.4.3) (2.16.1)\n", - "Requirement already satisfied: huggingface_hub<1.0,>=0.16.4 in /usr/local/lib/python3.10/dist-packages (from tokenizers<0.16.0,>=0.15.2->cohere) (0.20.3)\n", - "Requirement already satisfied: click<9.0.0,>=7.1.1 in /usr/local/lib/python3.10/dist-packages (from typer[all]<0.10.0,>=0.9.0->guardrails-ai==0.4.3) (8.1.7)\n", - "Requirement already satisfied: shellingham<2.0.0,>=1.3.0 in /usr/local/lib/python3.10/dist-packages (from typer[all]<0.10.0,>=0.9.0->guardrails-ai==0.4.3) (1.5.4)\n", - "Requirement already satisfied: exceptiongroup in /usr/local/lib/python3.10/dist-packages (from anyio->httpx>=0.21.2->cohere) (1.2.0)\n", - "Requirement already satisfied: cffi>=1.12 in /usr/local/lib/python3.10/dist-packages (from cryptography!=3.4.0,>=3.1->jwt<2.0.0,>=1.3.1->guardrails-ai==0.4.3) (1.16.0)\n", - "Requirement already satisfied: wrapt<2,>=1.10 in /usr/local/lib/python3.10/dist-packages (from deprecated>=1.2.6->opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (1.14.1)\n", - "Requirement already satisfied: filelock in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers<0.16.0,>=0.15.2->cohere) (3.13.4)\n", - "Requirement already satisfied: fsspec>=2023.5.0 in /usr/local/lib/python3.10/dist-packages (from huggingface_hub<1.0,>=0.16.4->tokenizers<0.16.0,>=0.15.2->cohere) (2023.6.0)\n", - "Requirement already satisfied: jsonpointer>=1.9 in /usr/local/lib/python3.10/dist-packages (from jsonpatch<2.0,>=1.33->langchain-core<0.2.0,>=0.1.18->guardrails-ai==0.4.3) (2.4)\n", - "Requirement already satisfied: orjson<4.0.0,>=3.9.14 in /usr/local/lib/python3.10/dist-packages (from langsmith<0.2.0,>=0.1.0->langchain-core<0.2.0,>=0.1.18->guardrails-ai==0.4.3) (3.10.0)\n", - "Requirement already satisfied: mdurl~=0.1 in /usr/local/lib/python3.10/dist-packages (from markdown-it-py>=2.2.0->rich<14.0.0,>=13.6.0->guardrails-ai==0.4.3) (0.1.2)\n", - "Requirement already satisfied: pycparser in /usr/local/lib/python3.10/dist-packages (from cffi>=1.12->cryptography!=3.4.0,>=3.1->jwt<2.0.0,>=1.3.1->guardrails-ai==0.4.3) (2.22)\n", - "Requirement already satisfied: zipp>=0.5 in /usr/local/lib/python3.10/dist-packages (from importlib-metadata<7.0,>=6.0->opentelemetry-api~=1.15->opentelemetry-exporter-otlp-proto-grpc==1.20.0->guardrails-ai==0.4.3) (3.18.1)\n" - ] - } - ], - "source": [ - "! pip install cohere git+https://github.com/guardrails-ai/guardrails.git@main" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "6RTHgP7Y9YT9", - "outputId": "0ba8c0c5-a987-40e3-d6ad-6479b09296d2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[nltk_data] Downloading package punkt to /root/nltk_data...\n", - "[nltk_data] Unzipping tokenizers/punkt.zip.\n", - "\n", - "Installing hub:\u001b[35m/\u001b[0m\u001b[35m/guardrails/\u001b[0m\u001b[95mvalid_range...\u001b[0m\n", - "\n", - "\u001b[2K\u001b[32m[ ==]\u001b[0m Fetching manifest\n", - "\u001b[2K\u001b[32m[ ]\u001b[0m Downloading dependencies\n", - "\u001b[1A\u001b[2K\u001b[?25l\u001b[32m[ ]\u001b[0m Running post-install setup\n", - "\u001b[1A\u001b[2K✅Successfully installed hub:\u001b[35m/\u001b[0m\u001b[35m/guardrails/\u001b[0m\u001b[95mvalid_range\u001b[0m!\n", - "\n", - "\u001b[1mImport validator:\u001b[0m\n", - "from guardrails.hub import ValidRange\n", - "\n", - "\u001b[1mGet more info:\u001b[0m\n", - "\u001b[4;94mhttps://hub.guardrailsai.com/validator/guardrails/valid_range\u001b[0m\n", - "\n", - "\n", - "Installing hub:\u001b[35m/\u001b[0m\u001b[35m/guardrails/\u001b[0m\u001b[95mvalid_choices...\u001b[0m\n", - "\n", - "\u001b[2K\u001b[32m[= ]\u001b[0m Fetching manifest\n", - "\u001b[2K\u001b[32m[====]\u001b[0m Downloading dependencies\n", - "\u001b[1A\u001b[2K\u001b[?25l\u001b[32m[ ]\u001b[0m Running post-install setup\n", - "\u001b[1A\u001b[2K✅Successfully installed hub:\u001b[35m/\u001b[0m\u001b[35m/guardrails/\u001b[0m\u001b[95mvalid_choices\u001b[0m!\n", - "\n", - "\u001b[1mImport validator:\u001b[0m\n", - "from guardrails.hub import ValidChoices\n", - "\n", - "\u001b[1mGet more info:\u001b[0m\n", - "\u001b[4;94mhttps://hub.guardrailsai.com/validator/guardrails/valid_choices\u001b[0m\n", - "\n" - ] - } - ], - "source": [ - "!guardrails hub install hub://guardrails/valid_range\n", - "!guardrails hub install hub://guardrails/valid_choices" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "-6sn0QIqftCE" - }, - "outputs": [], - "source": [ - "import os\n", - "import cohere\n", - "import guardrails as gd\n", - "from guardrails.hub import ValidRange, ValidChoices\n", - "from pydantic import BaseModel, Field\n", - "from rich import print\n", - "from typing import List\n", - "\n", - "# Create a Cohere client\n", - "co = cohere.Client(api_key=\"COHERE_API_KEY\")\n", - "\n", - "# Configure the API key for Guardrails\n", - "os.environ[\"COHERE_API_KEY\"]=\"COHERE_API_KEY\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "woTZOEEurerY" - }, - "source": [ - "## 2: Define the Output Schema" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "WiCnYOLJftCF" - }, - "source": [ - "Our goal is to extract detailed patient information from a medical record.\n", - "As an example, we will use the following medical record:" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "JCXuT3sNftCF" - }, - "outputs": [], - "source": [ - "doctors_notes = \"\"\"49 y/o Male with chronic macular rash to face & hair, worse in beard, eyebrows & nares.\n", - "Itchy, flaky, slightly scaly. Moderate response to OTC steroid cream\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6U7hgyPpftCF" - }, - "source": [ - "We want our extracted information to contain the following fields:\n", - "\n", - "1. Patient's gender\n", - "2. Patient's age\n", - "3. A list of symptoms, each with a severity rating and an affected area\n", - "4. A list of medications, each with information about the patient's response to the medication\n", - "\n", - "Let's define the Pydantic classes below." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "WQZn8JQNftCF" - }, - "outputs": [], - "source": [ - "class Symptom(BaseModel):\n", - " symptom: str = Field(..., description=\"Symptom that a patient is experiencing\")\n", - " affected_area: str = Field(\n", - " ...,\n", - " description=\"What part of the body the symptom is affecting\",\n", - " validators=[ValidChoices([\"Head\", \"Face\", \"Neck\", \"Chest\"], on_fail=\"reask\")]\n", - " )\n", - "\n", - "class CurrentMed(BaseModel):\n", - " medication: str = Field(..., description=\"Name of the medication the patient is taking\")\n", - " response: str = Field(..., description=\"How the patient is responding to the medication\")\n", - "\n", - "\n", - "class PatientInfo(BaseModel):\n", - " gender: str = Field(..., description=\"Patient's gender\")\n", - " age: int = Field(..., description=\"Patient's age\", validators=[ValidRange(0, 100)])\n", - " symptoms: List[Symptom] = Field(..., description=\"Symptoms that the patient is experiencing\")\n", - " current_meds: List[CurrentMed] = Field(..., description=\"Medications that the patient is currently taking\")\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "gvoi6mO_rp5R" - }, - "source": [ - "## 3: Initialize a Guard Object Based on the Schema" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "VnajfL1FftCG" - }, - "outputs": [], - "source": [ - "PROMPT = \"\"\"Given the following doctor's notes about a patient,\n", - "please extract a dictionary that contains the patient's information.\n", - "\n", - "${doctors_notes}\n", - "\n", - "${gr.complete_json_suffix_v2}\n", - "\"\"\"" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 839 - }, - "id": "paqXhvhjftCG", - "outputId": "a4ee28d3-c1eb-4218-9c7a-23f55f2b3e90" - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/usr/local/lib/python3.10/dist-packages/guardrails/validators/__init__.py:50: FutureWarning: \n", - " Importing validators from `guardrails.validators` is deprecated.\n", - " All validators are now available in the Guardrails Hub. Please install\n", - " and import them from the hub instead. All validators will be\n", - " removed from this module in the next major release.\n", - "\n", - " Install with: `guardrails hub install hub:///`\n", - " Import as: from guardrails.hub import `ValidatorName`\n", - " \n", - " warn(\n" - ] - }, + "cells": [ { - "data": { - "text/html": [ - "
    Given the following doctor's notes about a patient,\n",
    -              "please extract a dictionary that contains the patient's information.\n",
    -              "\n",
    -              "${doctors_notes}\n",
    -              "\n",
    -              "\n",
    -              "Given below is XML that describes the information to extract from this document and the tags to extract it into.\n",
    -              "\n",
    -              "<output>\n",
    -              "    <string name=\"gender\" description=\"Patient's gender\"/>\n",
    -              "    <integer name=\"age\" description=\"Patient's age\" format=\"guardrails/valid_range: min=0 max=100\"/>\n",
    -              "    <list name=\"symptoms\" description=\"Symptoms that the patient is experiencing\">\n",
    -              "        <object>\n",
    -              "            <string name=\"symptom\" description=\"Symptom that a patient is experiencing\"/>\n",
    -              "            <string name=\"affected_area\" description=\"What part of the body the symptom is affecting\" \n",
    -              "format=\"guardrails/valid_choices: choices=['Head', 'Face', 'Neck', 'Chest']\"/>\n",
    -              "        </object>\n",
    -              "    </list>\n",
    -              "    <list name=\"current_meds\" description=\"Medications that the patient is currently taking\">\n",
    -              "        <object>\n",
    -              "            <string name=\"medication\" description=\"Name of the medication the patient is taking\"/>\n",
    -              "            <string name=\"response\" description=\"How the patient is responding to the medication\"/>\n",
    -              "        </object>\n",
    -              "    </list>\n",
    -              "</output>\n",
    -              "\n",
    -              "\n",
    -              "ONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the `name` \n",
    -              "attribute of the corresponding XML, and the value is of the type specified by the corresponding XML's tag. The JSON\n",
    -              "MUST conform to the XML format, including any types and format requests e.g. requests for lists, objects and \n",
    -              "specific types. Be correct and concise.\n",
    -              "\n",
    -              "Here are examples of simple (XML, JSON) pairs that show the expected behavior:\n",
    -              "- `<string name='foo' format='two-words lower-case' />` => `{'foo': 'example one'}`\n",
    -              "- `<list name='bar'><string format='upper-case' /></list>` => `{\"bar\": ['STRING ONE', 'STRING TWO', etc.]}`\n",
    -              "- `<object name='baz'><string name=\"foo\" format=\"capitalize two-words\" /><integer name=\"index\" format=\"1-indexed\" \n",
    -              "/></object>` => `{'baz': {'foo': 'Some String', 'index': 1}}`\n",
    -              "\n",
    -              "\n",
    -              "
    \n" - ], - "text/plain": [ - "Given the following doctor's notes about a patient,\n", - "please extract a dictionary that contains the patient's information.\n", - "\n", - "$\u001b[1m{\u001b[0mdoctors_notes\u001b[1m}\u001b[0m\n", - "\n", - "\n", - "Given below is XML that describes the information to extract from this document and the tags to extract it into.\n", - "\n", - "\u001b[1m<\u001b[0m\u001b[1;95moutput\u001b[0m\u001b[39m>\u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m <\u001b[0m\u001b[35m/\u001b[0m\u001b[95mobject\u001b[0m\u001b[39m>\u001b[0m\n", - "\u001b[39m <\u001b[0m\u001b[35m/\u001b[0m\u001b[95mlist\u001b[0m\u001b[39m>\u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m \u001b[0m\n", - "\u001b[39m <\u001b[0m\u001b[35m/\u001b[0m\u001b[95mobject\u001b[0m\u001b[39m>\u001b[0m\n", - "\u001b[39m <\u001b[0m\u001b[35m/\u001b[0m\u001b[95mlist\u001b[0m\u001b[39m>\u001b[0m\n", - "\u001b[39m<\u001b[0m\u001b[35m/\u001b[0m\u001b[95moutput\u001b[0m\u001b[39m>\u001b[0m\n", - "\n", - "\n", - "\u001b[39mONLY return a valid JSON object \u001b[0m\u001b[1;39m(\u001b[0m\u001b[39mno other text is necessary\u001b[0m\u001b[1;39m)\u001b[0m\u001b[39m, where the key of the field in JSON is the `name` \u001b[0m\n", - "\u001b[39mattribute of the corresponding XML, and the value is of the type specified by the corresponding XML's tag. The JSON\u001b[0m\n", - "\u001b[39mMUST conform to the XML format, including any types and format requests e.g. requests for lists, objects and \u001b[0m\n", - "\u001b[39mspecific types. Be correct and concise.\u001b[0m\n", - "\n", - "\u001b[39mHere are examples of simple \u001b[0m\u001b[1;39m(\u001b[0m\u001b[39mXML, JSON\u001b[0m\u001b[1;39m)\u001b[0m\u001b[39m pairs that show the expected behavior:\u001b[0m\n", - "\u001b[39m- `` => `\u001b[0m\u001b[1;39m{\u001b[0m\u001b[32m'foo'\u001b[0m\u001b[39m: \u001b[0m\u001b[32m'example one'\u001b[0m\u001b[1;39m}\u001b[0m\u001b[39m`\u001b[0m\n", - "\u001b[39m- `<\u001b[0m\u001b[35m/\u001b[0m\u001b[95mlist\u001b[0m\u001b[39m>` => `\u001b[0m\u001b[1;39m{\u001b[0m\u001b[32m\"bar\"\u001b[0m\u001b[39m: \u001b[0m\u001b[1;39m[\u001b[0m\u001b[32m'STRING ONE'\u001b[0m\u001b[39m, \u001b[0m\u001b[32m'STRING TWO'\u001b[0m\u001b[39m, etc.\u001b[0m\u001b[1;39m]\u001b[0m\u001b[1;39m}\u001b[0m\u001b[39m`\u001b[0m\n", - "\u001b[39m- `<\u001b[0m\u001b[35m/\u001b[0m\u001b[95mobject\u001b[0m\u001b[39m>` =\u001b[0m\u001b[1m>\u001b[0m `\u001b[1m{\u001b[0m\u001b[32m'baz'\u001b[0m: \u001b[1m{\u001b[0m\u001b[32m'foo'\u001b[0m: \u001b[32m'Some String'\u001b[0m, \u001b[32m'index'\u001b[0m: \u001b[1;36m1\u001b[0m\u001b[1m}\u001b[0m\u001b[1m}\u001b[0m`\n", - "\n", - "\n" + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/Validating_Large_Language_Model_Outputs.ipynb." ] - }, - "metadata": {}, - "output_type": "display_data" } - ], - "source": [ - "# Initialize a Guard object from the Pydantic model PatientInfo\n", - "guard = gd.Guard.from_pydantic(PatientInfo, prompt=PROMPT)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DU43-lW6ftCG" - }, - "source": [ - "## 4: Wrap an LLM Call with the Guard Object" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 116 - }, - "id": "4gH6LEoTMuER", - "outputId": "fd1161d6-b76d-4313-87fc-3cb61b1d4bb3" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    {\n",
    -              "    'gender': 'Male',\n",
    -              "    'age': 49,\n",
    -              "    'symptoms': [{'symptom': 'Chronic macular rash, itchy, flaky, slightly scaly', 'affected_area': 'Face'}],\n",
    -              "    'current_meds': [{'medication': 'OTC steroid cream', 'response': 'Moderate response'}]\n",
    -              "}\n",
    -              "
    \n" - ], - "text/plain": [ - "\u001b[1m{\u001b[0m\n", - " \u001b[32m'gender'\u001b[0m: \u001b[32m'Male'\u001b[0m,\n", - " \u001b[32m'age'\u001b[0m: \u001b[1;36m49\u001b[0m,\n", - " \u001b[32m'symptoms'\u001b[0m: \u001b[1m[\u001b[0m\u001b[1m{\u001b[0m\u001b[32m'symptom'\u001b[0m: \u001b[32m'Chronic macular rash, itchy, flaky, slightly scaly'\u001b[0m, \u001b[32m'affected_area'\u001b[0m: \u001b[32m'Face'\u001b[0m\u001b[1m}\u001b[0m\u001b[1m]\u001b[0m,\n", - " \u001b[32m'current_meds'\u001b[0m: \u001b[1m[\u001b[0m\u001b[1m{\u001b[0m\u001b[32m'medication'\u001b[0m: \u001b[32m'OTC steroid cream'\u001b[0m, \u001b[32m'response'\u001b[0m: \u001b[32m'Moderate response'\u001b[0m\u001b[1m}\u001b[0m\u001b[1m]\u001b[0m\n", - "\u001b[1m}\u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" + ], + "metadata": { + "language_info": { + "name": "python" } - ], - "source": [ - "# Wrap the Cohere API call with the `guard` object\n", - "response = guard(\n", - " instructions=PROMPT,\n", - " prompt_params={\"doctors_notes\": doctors_notes},\n", - " model='command-r',\n", - " temperature=0,\n", - " num_reasks=3,\n", - ")\n", - "\n", - "# Print the validated output from the LLM\n", - "print(response.validated_output)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "0lM_-rvjNN_k", - "outputId": "5218e56d-2a7c-422a-9e5e-258d40a95036" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    Logs\n",
    -              "├── ╭────────────────────────────────────────────────── Step 0 ───────────────────────────────────────────────────╮\n",
    -              "│   │ ╭──────────────────────────────────────────────── Prompt ─────────────────────────────────────────────────╮ │\n",
    -              "│   │ │ Given the following doctor's notes about a patient,                                                     │ │\n",
    -              "│   │ │ please extract a dictionary that contains the patient's information.                                    │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │ 49 y/o Male with chronic macular rash to face & hair, worse in beard, eyebrows & nares.                 │ │\n",
    -              "│   │ │ Itchy, flaky, slightly scaly. Moderate response to OTC steroid cream                                    │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │ Given below is XML that describes the information to extract from this document and the tags to extract │ │\n",
    -              "│   │ │ it into.                                                                                                │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │ <output>                                                                                                │ │\n",
    -              "│   │ │     <string name=\"gender\" description=\"Patient's gender\"/>                                              │ │\n",
    -              "│   │ │     <integer name=\"age\" description=\"Patient's age\" format=\"guardrails/valid_range: min=0 max=100\"/>    │ │\n",
    -              "│   │ │     <list name=\"symptoms\" description=\"Symptoms that the patient is experiencing\">                      │ │\n",
    -              "│   │ │         <object>                                                                                        │ │\n",
    -              "│   │ │             <string name=\"symptom\" description=\"Symptom that a patient is experiencing\"/>               │ │\n",
    -              "│   │ │             <string name=\"affected_area\" description=\"What part of the body the symptom is affecting\"   │ │\n",
    -              "│   │ │ format=\"guardrails/valid_choices: choices=['Head', 'Face', 'Neck', 'Chest']\"/>                          │ │\n",
    -              "│   │ │         </object>                                                                                       │ │\n",
    -              "│   │ │     </list>                                                                                             │ │\n",
    -              "│   │ │     <list name=\"current_meds\" description=\"Medications that the patient is currently taking\">           │ │\n",
    -              "│   │ │         <object>                                                                                        │ │\n",
    -              "│   │ │             <string name=\"medication\" description=\"Name of the medication the patient is taking\"/>      │ │\n",
    -              "│   │ │             <string name=\"response\" description=\"How the patient is responding to the medication\"/>     │ │\n",
    -              "│   │ │         </object>                                                                                       │ │\n",
    -              "│   │ │     </list>                                                                                             │ │\n",
    -              "│   │ │ </output>                                                                                               │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │ ONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the │ │\n",
    -              "│   │ │ `name` attribute of the corresponding XML, and the value is of the type specified by the corresponding  │ │\n",
    -              "│   │ │ XML's tag. The JSON MUST conform to the XML format, including any types and format requests e.g.        │ │\n",
    -              "│   │ │ requests for lists, objects and specific types. Be correct and concise.                                 │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │ Here are examples of simple (XML, JSON) pairs that show the expected behavior:                          │ │\n",
    -              "│   │ │ - `<string name='foo' format='two-words lower-case' />` => `{'foo': 'example one'}`                     │ │\n",
    -              "│   │ │ - `<list name='bar'><string format='upper-case' /></list>` => `{\"bar\": ['STRING ONE', 'STRING TWO',     │ │\n",
    -              "│   │ │ etc.]}`                                                                                                 │ │\n",
    -              "│   │ │ - `<object name='baz'><string name=\"foo\" format=\"capitalize two-words\" /><integer name=\"index\"          │ │\n",
    -              "│   │ │ format=\"1-indexed\" /></object>` => `{'baz': {'foo': 'Some String', 'index': 1}}`                        │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ │                                                                                                         │ │\n",
    -              "│   │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "│   │ ╭──────────────────────────────────────────── Message History ────────────────────────────────────────────╮ │\n",
    -              "│   │ │ No message history.                                                                                     │ │\n",
    -              "│   │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "│   │ ╭──────────────────────────────────────────── Raw LLM Output ─────────────────────────────────────────────╮ │\n",
    -              "│   │ │ {                                                                                                       │ │\n",
    -              "│   │ │     \"gender\": \"Male\",                                                                                   │ │\n",
    -              "│   │ │     \"age\": 49,                                                                                          │ │\n",
    -              "│   │ │     \"symptoms\": [                                                                                       │ │\n",
    -              "│   │ │         {                                                                                               │ │\n",
    -              "│   │ │             \"symptom\": \"Chronic macular rash, itchy, flaky, slightly scaly\",                            │ │\n",
    -              "│   │ │             \"affected_area\": \"Face & Head\"                                                              │ │\n",
    -              "│   │ │         }                                                                                               │ │\n",
    -              "│   │ │     ],                                                                                                  │ │\n",
    -              "│   │ │     \"current_meds\": [                                                                                   │ │\n",
    -              "│   │ │         {                                                                                               │ │\n",
    -              "│   │ │             \"medication\": \"OTC steroid cream\",                                                          │ │\n",
    -              "│   │ │             \"response\": \"Moderate response\"                                                             │ │\n",
    -              "│   │ │         }                                                                                               │ │\n",
    -              "│   │ │     ]                                                                                                   │ │\n",
    -              "│   │ │ }                                                                                                       │ │\n",
    -              "│   │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "│   │ ╭─────────────────────────────────────────── Validated Output ────────────────────────────────────────────╮ │\n",
    -              "│   │ │ {                                                                                                       │ │\n",
    -              "│   │ │     'gender': 'Male',                                                                                   │ │\n",
    -              "│   │ │     'age': 49,                                                                                          │ │\n",
    -              "│   │ │     'symptoms': [                                                                                       │ │\n",
    -              "│   │ │         {                                                                                               │ │\n",
    -              "│   │ │             'symptom': 'Chronic macular rash, itchy, flaky, slightly scaly',                            │ │\n",
    -              "│   │ │             'affected_area': FieldReAsk(                                                                │ │\n",
    -              "│   │ │                 incorrect_value='Face & Head',                                                          │ │\n",
    -              "│   │ │                 fail_results=[                                                                          │ │\n",
    -              "│   │ │                     FailResult(                                                                         │ │\n",
    -              "│   │ │                         outcome='fail',                                                                 │ │\n",
    -              "│   │ │                         metadata=None,                                                                  │ │\n",
    -              "│   │ │                         error_message=\"Value Face & Head is not in choices ['Head', 'Face', 'Neck',     │ │\n",
    -              "│   │ │ 'Chest'].\",                                                                                             │ │\n",
    -              "│   │ │                         fix_value=None                                                                  │ │\n",
    -              "│   │ │                     )                                                                                   │ │\n",
    -              "│   │ │                 ],                                                                                      │ │\n",
    -              "│   │ │                 path=['symptoms', 0, 'affected_area']                                                   │ │\n",
    -              "│   │ │             )                                                                                           │ │\n",
    -              "│   │ │         }                                                                                               │ │\n",
    -              "│   │ │     ],                                                                                                  │ │\n",
    -              "│   │ │     'current_meds': [                                                                                   │ │\n",
    -              "│   │ │         {'medication': 'OTC steroid cream', 'response': 'Moderate response'}                            │ │\n",
    -              "│   │ │     ]                                                                                                   │ │\n",
    -              "│   │ │ }                                                                                                       │ │\n",
    -              "│   │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "│   ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────────╯\n",
    -              "└── ╭────────────────────────────────────────────────── Step 1 ───────────────────────────────────────────────────╮\n",
    -              "    │ ╭──────────────────────────────────────────────── Prompt ─────────────────────────────────────────────────╮ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │ I was given the following JSON response, which had problems due to incorrect values.                    │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │ {                                                                                                       │ │\n",
    -              "    │ │   \"gender\": \"Male\",                                                                                     │ │\n",
    -              "    │ │   \"age\": 49,                                                                                            │ │\n",
    -              "    │ │   \"symptoms\": [                                                                                         │ │\n",
    -              "    │ │     {                                                                                                   │ │\n",
    -              "    │ │       \"symptom\": \"Chronic macular rash, itchy, flaky, slightly scaly\",                                  │ │\n",
    -              "    │ │       \"affected_area\": {                                                                                │ │\n",
    -              "    │ │         \"incorrect_value\": \"Face & Head\",                                                               │ │\n",
    -              "    │ │         \"error_messages\": [                                                                             │ │\n",
    -              "    │ │           \"Value Face & Head is not in choices ['Head', 'Face', 'Neck', 'Chest'].\"                      │ │\n",
    -              "    │ │         ]                                                                                               │ │\n",
    -              "    │ │       }                                                                                                 │ │\n",
    -              "    │ │     }                                                                                                   │ │\n",
    -              "    │ │   ],                                                                                                    │ │\n",
    -              "    │ │   \"current_meds\": [                                                                                     │ │\n",
    -              "    │ │     {                                                                                                   │ │\n",
    -              "    │ │       \"medication\": \"OTC steroid cream\",                                                                │ │\n",
    -              "    │ │       \"response\": \"Moderate response\"                                                                   │ │\n",
    -              "    │ │     }                                                                                                   │ │\n",
    -              "    │ │   ]                                                                                                     │ │\n",
    -              "    │ │ }                                                                                                       │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │ Help me correct the incorrect values based on the given error messages.                                 │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │ Given below is XML that describes the information to extract from this document and the tags to extract │ │\n",
    -              "    │ │ it into.                                                                                                │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │ <output>                                                                                                │ │\n",
    -              "    │ │     <string name=\"gender\" description=\"Patient's gender\"/>                                              │ │\n",
    -              "    │ │     <integer name=\"age\" description=\"Patient's age\" format=\"guardrails/valid_range: min=0 max=100\"/>    │ │\n",
    -              "    │ │     <list name=\"symptoms\" description=\"Symptoms that the patient is experiencing\">                      │ │\n",
    -              "    │ │         <object>                                                                                        │ │\n",
    -              "    │ │             <string name=\"symptom\" description=\"Symptom that a patient is experiencing\"/>               │ │\n",
    -              "    │ │             <string name=\"affected_area\" description=\"What part of the body the symptom is affecting\"   │ │\n",
    -              "    │ │ format=\"guardrails/valid_choices: choices=['Head', 'Face', 'Neck', 'Chest']\"/>                          │ │\n",
    -              "    │ │         </object>                                                                                       │ │\n",
    -              "    │ │     </list>                                                                                             │ │\n",
    -              "    │ │     <list name=\"current_meds\" description=\"Medications that the patient is currently taking\">           │ │\n",
    -              "    │ │         <object>                                                                                        │ │\n",
    -              "    │ │             <string name=\"medication\" description=\"Name of the medication the patient is taking\"/>      │ │\n",
    -              "    │ │             <string name=\"response\" description=\"How the patient is responding to the medication\"/>     │ │\n",
    -              "    │ │         </object>                                                                                       │ │\n",
    -              "    │ │     </list>                                                                                             │ │\n",
    -              "    │ │ </output>                                                                                               │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ │ ONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the │ │\n",
    -              "    │ │ `name` attribute of the corresponding XML, and the value is of the type specified by the corresponding  │ │\n",
    -              "    │ │ XML's tag. The JSON MUST conform to the XML format, including any types and format requests e.g.        │ │\n",
    -              "    │ │ requests for lists, objects and specific types. Be correct and concise. If you are unsure anywhere,     │ │\n",
    -              "    │ │ enter `null`.                                                                                           │ │\n",
    -              "    │ │                                                                                                         │ │\n",
    -              "    │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "    │ ╭──────────────────────────────────────────── Message History ────────────────────────────────────────────╮ │\n",
    -              "    │ │ No message history.                                                                                     │ │\n",
    -              "    │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "    │ ╭──────────────────────────────────────────── Raw LLM Output ─────────────────────────────────────────────╮ │\n",
    -              "    │ │ {                                                                                                       │ │\n",
    -              "    │ │   \"gender\": \"Male\",                                                                                     │ │\n",
    -              "    │ │   \"age\": 49,                                                                                            │ │\n",
    -              "    │ │   \"symptoms\": [                                                                                         │ │\n",
    -              "    │ │     {                                                                                                   │ │\n",
    -              "    │ │       \"symptom\": \"Chronic macular rash, itchy, flaky, slightly scaly\",                                  │ │\n",
    -              "    │ │       \"affected_area\": \"Face\"                                                                           │ │\n",
    -              "    │ │     }                                                                                                   │ │\n",
    -              "    │ │   ],                                                                                                    │ │\n",
    -              "    │ │   \"current_meds\": [                                                                                     │ │\n",
    -              "    │ │     {                                                                                                   │ │\n",
    -              "    │ │       \"medication\": \"OTC steroid cream\",                                                                │ │\n",
    -              "    │ │       \"response\": \"Moderate response\"                                                                   │ │\n",
    -              "    │ │     }                                                                                                   │ │\n",
    -              "    │ │   ]                                                                                                     │ │\n",
    -              "    │ │ }                                                                                                       │ │\n",
    -              "    │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "    │ ╭─────────────────────────────────────────── Validated Output ────────────────────────────────────────────╮ │\n",
    -              "    │ │ {                                                                                                       │ │\n",
    -              "    │ │     'gender': 'Male',                                                                                   │ │\n",
    -              "    │ │     'age': 49,                                                                                          │ │\n",
    -              "    │ │     'symptoms': [                                                                                       │ │\n",
    -              "    │ │         {                                                                                               │ │\n",
    -              "    │ │             'symptom': 'Chronic macular rash, itchy, flaky, slightly scaly',                            │ │\n",
    -              "    │ │             'affected_area': 'Face'                                                                     │ │\n",
    -              "    │ │         }                                                                                               │ │\n",
    -              "    │ │     ],                                                                                                  │ │\n",
    -              "    │ │     'current_meds': [                                                                                   │ │\n",
    -              "    │ │         {'medication': 'OTC steroid cream', 'response': 'Moderate response'}                            │ │\n",
    -              "    │ │     ]                                                                                                   │ │\n",
    -              "    │ │ }                                                                                                       │ │\n",
    -              "    │ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯ │\n",
    -              "    ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────────╯\n",
    -              "
    \n" - ], - "text/plain": [ - "Logs\n", - "├── ╭────────────────────────────────────────────────── Step 0 ───────────────────────────────────────────────────╮\n", - "│ │ \u001b[48;2;240;248;255m╭─\u001b[0m\u001b[48;2;240;248;255m───────────────────────────────────────────────\u001b[0m\u001b[48;2;240;248;255m Prompt \u001b[0m\u001b[48;2;240;248;255m────────────────────────────────────────────────\u001b[0m\u001b[48;2;240;248;255m─╮\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mGiven the following doctor's notes about a patient,\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mplease extract a dictionary that contains the patient's information.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m49 y/o Male with chronic macular rash to face & hair, worse in beard, eyebrows & nares.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mItchy, flaky, slightly scaly. Moderate response to OTC steroid cream\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mGiven below is XML that describes the information to extract from this document and the tags to extract\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mit into.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m`name` attribute of the corresponding XML, and the value is of the type specified by the corresponding \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mXML's tag. The JSON MUST conform to the XML format, including any types and format requests e.g. \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mrequests for lists, objects and specific types. Be correct and concise.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mHere are examples of simple (XML, JSON) pairs that show the expected behavior:\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m- `` => `{'foo': 'example one'}`\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m- `` => `{\"bar\": ['STRING ONE', 'STRING TWO', \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255metc.]}`\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m- `` => `{'baz': {'foo': 'Some String', 'index': 1}}`\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;248;255m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - "│ │ \u001b[48;2;231;223;235m╭─\u001b[0m\u001b[48;2;231;223;235m───────────────────────────────────────────\u001b[0m\u001b[48;2;231;223;235m Message History \u001b[0m\u001b[48;2;231;223;235m───────────────────────────────────────────\u001b[0m\u001b[48;2;231;223;235m─╮\u001b[0m │\n", - "│ │ \u001b[48;2;231;223;235m│\u001b[0m\u001b[48;2;231;223;235m \u001b[0m\u001b[48;2;231;223;235mNo message history.\u001b[0m\u001b[48;2;231;223;235m \u001b[0m\u001b[48;2;231;223;235m \u001b[0m\u001b[48;2;231;223;235m│\u001b[0m │\n", - "│ │ \u001b[48;2;231;223;235m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m╭─\u001b[0m\u001b[48;2;245;245;220m───────────────────────────────────────────\u001b[0m\u001b[48;2;245;245;220m Raw LLM Output \u001b[0m\u001b[48;2;245;245;220m────────────────────────────────────────────\u001b[0m\u001b[48;2;245;245;220m─╮\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m{\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"gender\": \"Male\",\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"age\": 49,\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"symptoms\": [\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m {\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"symptom\": \"Chronic macular rash, itchy, flaky, slightly scaly\",\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"affected_area\": \"Face & Head\"\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m }\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m ],\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"current_meds\": [\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m {\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"medication\": \"OTC steroid cream\",\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"response\": \"Moderate response\"\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m }\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m ]\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m}\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - "│ │ \u001b[48;2;245;245;220m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m╭─\u001b[0m\u001b[48;2;240;255;240m──────────────────────────────────────────\u001b[0m\u001b[48;2;240;255;240m Validated Output \u001b[0m\u001b[48;2;240;255;240m───────────────────────────────────────────\u001b[0m\u001b[48;2;240;255;240m─╮\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m{\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'gender': 'Male',\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'age': 49,\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'symptoms': [\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m {\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'symptom': 'Chronic macular rash, itchy, flaky, slightly scaly',\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'affected_area': FieldReAsk(\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m incorrect_value='Face & Head',\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m fail_results=[\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m FailResult(\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m outcome='fail',\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m metadata=None,\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m error_message=\"Value Face & Head is not in choices ['Head', 'Face', 'Neck', \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m'Chest'].\",\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m fix_value=None\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m )\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m ],\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m path=['symptoms', 0, 'affected_area']\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m )\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m }\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m ],\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'current_meds': [\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m {'medication': 'OTC steroid cream', 'response': 'Moderate response'}\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m ]\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m}\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - "│ │ \u001b[48;2;240;255;240m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - "│ ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────────╯\n", - "└── ╭────────────────────────────────────────────────── Step 1 ───────────────────────────────────────────────────╮\n", - " │ \u001b[48;2;240;248;255m╭─\u001b[0m\u001b[48;2;240;248;255m───────────────────────────────────────────────\u001b[0m\u001b[48;2;240;248;255m Prompt \u001b[0m\u001b[48;2;240;248;255m────────────────────────────────────────────────\u001b[0m\u001b[48;2;240;248;255m─╮\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mI was given the following JSON response, which had problems due to incorrect values.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m{\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"gender\": \"Male\",\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"age\": 49,\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"symptoms\": [\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m {\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"symptom\": \"Chronic macular rash, itchy, flaky, slightly scaly\",\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"affected_area\": {\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"incorrect_value\": \"Face & Head\",\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"error_messages\": [\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"Value Face & Head is not in choices ['Head', 'Face', 'Neck', 'Chest'].\"\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m ]\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m }\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m }\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m ],\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"current_meds\": [\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m {\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"medication\": \"OTC steroid cream\",\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \"response\": \"Moderate response\"\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m }\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m ]\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m}\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mHelp me correct the incorrect values based on the given error messages.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mGiven below is XML that describes the information to extract from this document and the tags to extract\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mit into.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mONLY return a valid JSON object (no other text is necessary), where the key of the field in JSON is the\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m`name` attribute of the corresponding XML, and the value is of the type specified by the corresponding \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mXML's tag. The JSON MUST conform to the XML format, including any types and format requests e.g. \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255mrequests for lists, objects and specific types. Be correct and concise. If you are unsure anywhere, \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255menter `null`.\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m│\u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m \u001b[0m\u001b[48;2;240;248;255m│\u001b[0m │\n", - " │ \u001b[48;2;240;248;255m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - " │ \u001b[48;2;231;223;235m╭─\u001b[0m\u001b[48;2;231;223;235m───────────────────────────────────────────\u001b[0m\u001b[48;2;231;223;235m Message History \u001b[0m\u001b[48;2;231;223;235m───────────────────────────────────────────\u001b[0m\u001b[48;2;231;223;235m─╮\u001b[0m │\n", - " │ \u001b[48;2;231;223;235m│\u001b[0m\u001b[48;2;231;223;235m \u001b[0m\u001b[48;2;231;223;235mNo message history.\u001b[0m\u001b[48;2;231;223;235m \u001b[0m\u001b[48;2;231;223;235m \u001b[0m\u001b[48;2;231;223;235m│\u001b[0m │\n", - " │ \u001b[48;2;231;223;235m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m╭─\u001b[0m\u001b[48;2;245;245;220m───────────────────────────────────────────\u001b[0m\u001b[48;2;245;245;220m Raw LLM Output \u001b[0m\u001b[48;2;245;245;220m────────────────────────────────────────────\u001b[0m\u001b[48;2;245;245;220m─╮\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m{\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"gender\": \"Male\",\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"age\": 49,\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"symptoms\": [\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m {\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"symptom\": \"Chronic macular rash, itchy, flaky, slightly scaly\",\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"affected_area\": \"Face\"\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m }\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m ],\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"current_meds\": [\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m {\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"medication\": \"OTC steroid cream\",\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \"response\": \"Moderate response\"\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m }\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m ]\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m│\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m}\u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m \u001b[0m\u001b[48;2;245;245;220m│\u001b[0m │\n", - " │ \u001b[48;2;245;245;220m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m╭─\u001b[0m\u001b[48;2;240;255;240m──────────────────────────────────────────\u001b[0m\u001b[48;2;240;255;240m Validated Output \u001b[0m\u001b[48;2;240;255;240m───────────────────────────────────────────\u001b[0m\u001b[48;2;240;255;240m─╮\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m{\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'gender': 'Male',\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'age': 49,\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'symptoms': [\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m {\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'symptom': 'Chronic macular rash, itchy, flaky, slightly scaly',\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'affected_area': 'Face'\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m }\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m ],\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m 'current_meds': [\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m {'medication': 'OTC steroid cream', 'response': 'Moderate response'}\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m ]\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m│\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m}\u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m \u001b[0m\u001b[48;2;240;255;240m│\u001b[0m │\n", - " │ \u001b[48;2;240;255;240m╰─────────────────────────────────────────────────────────────────────────────────────────────────────────╯\u001b[0m │\n", - " ╰─────────────────────────────────────────────────────────────────────────────────────────────────────────────╯\n" - ] - }, - "execution_count": 37, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "guard.history.last.tree" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "mk5r8xYSaXaC" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [], - "toc_visible": true - }, - "kernelspec": { - "display_name": "gd-base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" }, - "orig_nbformat": 4 - }, - "nbformat": 4, - "nbformat_minor": 0 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/What_Is_Similarity_Between_Sentences.ipynb b/notebooks/llmu/What_Is_Similarity_Between_Sentences.ipynb index 67832c9d..bfab0316 100644 --- a/notebooks/llmu/What_Is_Similarity_Between_Sentences.ipynb +++ b/notebooks/llmu/What_Is_Similarity_Between_Sentences.ipynb @@ -1,427 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "psRggLwvhi1E" - }, - "source": [ - "# Similarity Between Words and Sentences\n", - "\n", - "Sentence embeddings are the bread and butter of language models, as they associate each sentence with a particular list of numbers (a vector), in a way that similar sentences give similar vectors. We can think of embeddings as a way to locate each sentence in space (a high dimensional space, but a space nonetheless), in a way that similar sentences are located close by. Once we have each sentence somewhere in space, it’s natural to think of distances between them. And an even more intuitive way to think of distances is to think of similarities, i.e., a score assigned to each pair of sentences, which is high when these sentences are similar, and low when they are different. The similarity is a very useful concept in large language models, as it can be used for search, for translation, for summarization, and in many other applications. \n", - "\n", - "In this notebook, we understand the intuition behind similarities between sentences, including dot product and cosine similarity.\n", - "\n", - "_Read the accompanying [blog post here](https://docs.cohere.com/docs/similarity-between-words-and-sentences)._" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Overview\n", - "\n", - "We'll do the following steps:\n", - "- **Step 1: Turn Text into Embeddings** - Use Cohere's Embed endpoint to get sentence embeddings.\n", - "- **Step 2: Calculate Dot Products** - Calculate the dot products between each pair of sentence embeddings to understand similarity between them.\n", - "- **Step 3: Calculate Cosine Similarities** - Use scikit-learn to get the cosine similarity for each pair of sentence embeddings." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "id": "Zg5H7gd7V0Mu" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import numpy as np\n", - "import seaborn as sns\n", - "import altair as alt\n", - "from sklearn.metrics.pairwise import cosine_similarity" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4_YQVuAbf3Xh" - }, - "source": [ - "## Step 1: Turn Text into Embeddings\n", - "\n", - "In this notebook, we'll work with three sentences and store them in a Python list `texts`." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "texts = [\"I like to be in my house\", \n", - " \"I enjoy staying home\", \n", - " \"the isotope 238u decays to 206pb\"]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To get the corresponding sentence embeddings, we call the [Embed endpoint](https://docs.cohere.com/reference/embed) with `co.embed()`. We supply three parameters:\n", - "- `texts` - our list of sentences\n", - "- `model` - we use `embed-english-v3.0`, Cohere's latest (at the time of writing) English-only embeddings model to generate the embeddings\n", - "- `input_type` - we use `search_document` to indicate that we intend to use the embeddings for search use-cases\n", - "\n", - "You'll learn about these parameters in more detail in the [LLMU Module on Text Representation](https://docs.cohere.com/docs/intro-text-representation)." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "6HujlbFsIZjW" - }, - "outputs": [], - "source": [ - "response = co.embed(\n", - " texts=texts,\n", - " model='embed-english-v3.0',\n", - " input_type='search_document',\n", - " embedding_types=['float']\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The embeddings are stored in the `embeddings` value of the response. After getting the embeddings, we separate them by sentence." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/What_Is_Similarity_Between_Sentences.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "QIZoRxXVNPQY", - "outputId": "9b73065b-098e-44e3-c21d-e30b3a0f9fe2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Embedding for sentence 1 [ 0.05075073 0.03753662 -0.02958679 ... -0.07366943 -0.00842285\n", - " -0.01834106]\n", - "Embedding for sentence 2 [ 0.04333496 0.05407715 -0.02453613 ... -0.06182861 -0.01983643\n", - " -0.0096283 ]\n", - "Embedding for sentence 3 [ 0.02383423 0.00695801 -0.04669189 ... -0.04006958 -0.02304077\n", - " 0.01963806]\n" - ] - } - ], - "source": [ - "embeddings = response.embeddings.float\n", - "\n", - "[sentence1, sentence2, sentence3] = embeddings\n", - "\n", - "print(\"Embedding for sentence 1\", np.array(sentence1))\n", - "print(\"Embedding for sentence 2\", np.array(sentence2))\n", - "print(\"Embedding for sentence 3\", np.array(sentence3))" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "9pR9yDy1ot17" - }, - "source": [ - "Note that the embeddings are vectors (lists) of 1024 numbers, so they are truncated here (thus the dots in between). One would expect that the vectors corresponding to sentences 1 and 2 are similar to each other and that both are different from the vector corresponding to sentence 3. However, from inspection, this is not very clear. We need to calculate some similarities to see if this is the case. We will do that in the following two sections.\n", - "\n", - "## Step 2: Calculate Dot Products\n", - "\n", - "Let’s calculate the dot products between the three sentences to understand how similar they are to each other." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "8b-FViGlJQLe", - "outputId": "9eec0fc0-2f2c-43c6-c4ac-389e9ea671ee" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Similarity between sentences 1 and 2: 0.8187172323456494\n", - "Similarity between sentences 1 and 3: 0.1952170633623922\n", - "Similarity between sentences 2 and 3: 0.1982665457613819\n" - ] - } - ], - "source": [ - "print(\"Similarity between sentences 1 and 2:\", np.dot(sentence1, sentence2))\n", - "print(\"Similarity between sentences 1 and 3:\", np.dot(sentence1, sentence3))\n", - "print(\"Similarity between sentences 2 and 3:\", np.dot(sentence2, sentence3))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The similarity between sentences 1 and 2 (0.8188) is much larger than the similarities between the other pairs. This confirms our predictions.\n", - "\n", - "Just for consistency, let’s calculate the similarities between each sentence and itself, to confirm that a sentence and itself has the highest similarity score." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "sb-MX-MdhlPj", - "outputId": "4437d83b-7857-4dc3-d6cc-06d32b91aeb2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Similarity between sentences 1 and 1: 1.0001818411403132\n", - "Similarity between sentences 2 and 2: 0.9997857473557472\n", - "Similarity between sentences 3 and 3: 0.9998230785105999\n" - ] - } - ], - "source": [ - "print(\"Similarity between sentences 1 and 1:\", np.dot(sentence1, sentence1))\n", - "print(\"Similarity between sentences 2 and 2:\", np.dot(sentence2, sentence2))\n", - "print(\"Similarity between sentences 3 and 3:\", np.dot(sentence3, sentence3))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This checks out—the similarity between a sentence and itself is around 1, which is higher than all the other similarities." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "DWEpWsI0o8gn" - }, - "source": [ - "## Step 3: Calculate Cosine Similarities\n", - "\n", - "We use the `cosine_similarity()` function from scikit-learn to measure cosine similarity between the three sentences." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "D4ZkpwMSL_oH", - "outputId": "08252157-d689-45af-9210-0802d2a073ed" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Cosine similarity between sentences 1 and 2: 0.8187305165459495\n", - "Cosine similarity between sentences 1 and 3: 0.19521658630446206\n", - "Cosine similarity between sentences 2 and 3: 0.19830533175410783\n" - ] - } - ], - "source": [ - "print(\"Cosine similarity between sentences 1 and 2:\", cosine_similarity([sentence1], [sentence2])[0][0])\n", - "print(\"Cosine similarity between sentences 1 and 3:\", cosine_similarity([sentence1], [sentence3])[0][0])\n", - "print(\"Cosine similarity between sentences 2 and 3:\", cosine_similarity([sentence2], [sentence3])[0][0])" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now let’s check the similarity between each sentence and itself. " - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "tZ7ls1JlkngY", - "outputId": "8d28b53b-08f0-44dd-9498-671d1d35f27f" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Cosine similarity between sentences 1 and 1: 1.0000000000000004\n", - "Cosine similarity between sentences 2 and 2: 0.9999999999999996\n", - "Cosine similarity between sentences 3 and 3: 0.9999999999999996\n" - ] - } - ], - "source": [ - "print(\"Cosine similarity between sentences 1 and 1:\", cosine_similarity([sentence1], [sentence1])[0][0])\n", - "print(\"Cosine similarity between sentences 2 and 2:\", cosine_similarity([sentence2], [sentence2])[0][0])\n", - "print(\"Cosine similarity between sentences 3 and 3:\", cosine_similarity([sentence3], [sentence3])[0][0])" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We also plot the results in a grid." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 457 - }, - "id": "sO3AxCUJq7AH", - "outputId": "7118f5f8-7a78-4607-e31f-e08f4b23e5e3" - }, - "outputs": [ - { - "data": { - "text/plain": [ - "[Text(0.5, 0, 'I like to be in my house'),\n", - " Text(1.5, 0, 'I enjoy staying home'),\n", - " Text(2.5, 0, 'the isotope 238u decays to 206pb')]" - ] - }, - "execution_count": 12, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Get pairwise dot product similarities\n", - "dot_product_similarities = [[cosine_similarity([embeddings[i]], [embeddings[j]])[0][0] for i in range(len(embeddings))] for j in range(len(embeddings))]\n", - "\n", - "# Plot in 3x3 grid\n", - "ax = sns.heatmap(dot_product_similarities, vmin=0, vmax=1,\n", - " linewidths=1, linecolor='grey',\n", - " xticklabels=texts,\n", - " yticklabels=texts,\n", - ")\n", - "ax.set_xticklabels(labels=texts, rotation=45)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Notice that the dot product and cosine distance give nearly identical values. The reason for this is that the embedding is normalized (meaning each vector has norm equal to 1). When the embedding is not normalized, the dot product and cosine distance would give different values." - ] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "gpuClass": "standard", - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - }, - "vscode": { - "interpreter": { - "hash": "1fb8019e3560b882083e525615cf48e713d3a7345a15eb723d805e91aa410aac" - } - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/What_is_Semantic_Search.ipynb b/notebooks/llmu/What_is_Semantic_Search.ipynb index e37187e3..46d7e896 100644 --- a/notebooks/llmu/What_is_Semantic_Search.ipynb +++ b/notebooks/llmu/What_is_Semantic_Search.ipynb @@ -1,755 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "QrZoppfP32yt" - }, - "source": [ - "# What is Semantic Search?\n", - "\n", - "In this notebook, you'll build a semantic search model on a small dataset using Cohere's Embed endpoint.\n", - "\n", - "_Read the accompanying blog post [here](https://docs.cohere.com/docs/what-is-semantic-search)._" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "We'll start by installing the tools we'll need and then importing them." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/What_is_Semantic_Search.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - "id": "KfHExgpe3-WU", - "outputId": "793e8110-91c5-4d9b-9fc5-9a04f889df91" - }, - "outputs": [], - "source": [ - "# Install Cohere for embeddings, Umap to reduce embeddings to 2 dimensions, \n", - "# Altair for visualization, Annoy for approximate nearest neighbor search\n", - "! pip install cohere umap-learn altair annoy datasets tqdm -qq" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "jrtPurK92qD6" - }, - "outputs": [], - "source": [ - "import cohere\n", - "import numpy as np\n", - "import re\n", - "import pandas as pd\n", - "from tqdm import tqdm\n", - "from datasets import load_dataset\n", - "import umap\n", - "import altair as alt\n", - "from sklearn.metrics.pairwise import cosine_similarity\n", - "from annoy import AnnoyIndex\n", - "import warnings\n", - "warnings.filterwarnings('ignore')\n", - "pd.set_option('display.max_colwidth', None)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Fill in your Cohere API key in the next cell. To do this, begin by [signing up to Cohere](https://os.cohere.ai/) (for free!) if you haven't yet. Then get your API key [here](https://dashboard.cohere.com/api-keys)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "agXt3qRK5N8o" - }, - "outputs": [], - "source": [ - "co = cohere.ClientV2(\"COHERE_API_KEY\") # Get your free API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "xfwpfElZ0rjJ" - }, - "source": [ - "## The dataset" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 300 - }, - "id": "P6uurRiy3-Gu", - "outputId": "3efeb1b2-c663-4fd3-edb8-666fa7597465" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    text
    0Where is the world cup?
    1The world cup is in Qatar
    2What color is the sky?
    3The sky is blue
    4Where does the bear live?
    5The bear lives in the the woods
    6What is an apple?
    7An apple is a fruit
    \n", - "
    " - ], - "text/plain": [ - " text\n", - "0 Where is the world cup?\n", - "1 The world cup is in Qatar\n", - "2 What color is the sky?\n", - "3 The sky is blue\n", - "4 Where does the bear live?\n", - "5 The bear lives in the the woods\n", - "6 What is an apple?\n", - "7 An apple is a fruit" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "qa_df = pd.DataFrame({'text':\n", - " [\n", - " 'Where is the world cup?',\n", - " 'The world cup is in Qatar',\n", - " 'What color is the sky?',\n", - " 'The sky is blue',\n", - " 'Where does the bear live?',\n", - " 'The bear lives in the the woods',\n", - " 'What is an apple?',\n", - " 'An apple is a fruit',\n", - " ]})\n", - "\n", - "qa_df" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "VWUOlOD80tUN" - }, - "source": [ - "## Creating the embedding" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "gKcbOZ7y4Wc9" - }, - "outputs": [], - "source": [ - "qa = co.embed(texts=list(qa_df['text']), \n", - " model='embed-english-v3.0', \n", - " input_type=\"search_document\",\n", - " embedding_types=[\"float\"]).embeddings.float" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "YAPQbyo_0vEu" - }, - "source": [ - "## Plotting the embedding in 2D" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 468 - }, - "id": "U9eRFb5X50wA", - "outputId": "32358ca2-e1fd-4c04-ef5d-91cecc43e195" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.Chart(...)" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# UMAP reduces the dimensions from 1024 to 2 dimensions that we can plot\n", - "reducer = umap.UMAP(n_neighbors=2) \n", - "umap_embeds = reducer.fit_transform(qa)\n", - "# Prepare the data to plot and interactive visualization\n", - "# using Altair\n", - "#df_explore = pd.DataFrame(data={'text': qa['text']})\n", - "#print(df_explore)\n", - "\n", - "#df_explore = pd.DataFrame(data={'text': qa_df[0]})\n", - "df_explore = qa_df\n", - "df_explore['x'] = umap_embeds[:,0]\n", - "df_explore['y'] = umap_embeds[:,1]\n", - "\n", - "# Plot\n", - "chart = alt.Chart(df_explore).mark_circle(size=60).encode(\n", - " x=#'x',\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False)\n", - " ),\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False)\n", - " ),\n", - " tooltip=['text']\n", - ").properties(\n", - " width=700,\n", - " height=400\n", - ")\n", - "chart.interactive()" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "6Sr9U66jzwf_" - }, - "source": [ - "## Plotting the cosine similarities" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 269 - }, - "id": "a6ScQzHA49Ds", - "outputId": "c0310077-0b62-4042-d8f8-fb80a9d21d99" - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import seaborn as sb\n", - "\n", - "def plot_similarities(data, embedding):\n", - " similarities = []\n", - "\n", - " for i in range(len(data)):\n", - " similarities.append([])\n", - " for j in range(len(data)):\n", - " #print(qa_df['text'][i], ',', qa_df['text'][j], '->', cosine_similarity(np.array([qa[i]]), np.array([qa[j]])))\n", - " similarities[-1].append(cosine_similarity(np.array([embedding[i]]), np.array([embedding[j]])))\n", - " #print()\n", - "\n", - " similarities = np.array(similarities).squeeze()\n", - " #print(similarities)\n", - " sb.heatmap(similarities)\n", - "\n", - "plot_similarities(qa_df, qa)" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "TI2AFuM_EFX9" - }, - "source": [ - "## A more complicated example" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 457 - }, - "id": "rLL388ui8C5R", - "outputId": "642374ba-2616-4cf6-d0fc-800817042a29" - }, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    text
    0Where is the world cup?
    1What color is the sky?
    2Where does the bear live?
    3What is an apple?
    4The world cup is in Qatar
    5The world cup is in the moon
    6The previous world cup was in Russia
    7The sky is green
    8The sky is blue
    9The bear lives in the the woods
    10The bear lives in his apartment
    11An apple is a fruit
    12Apple is a company
    \n", - "
    " - ], - "text/plain": [ - " text\n", - "0 Where is the world cup?\n", - "1 What color is the sky?\n", - "2 Where does the bear live?\n", - "3 What is an apple?\n", - "4 The world cup is in Qatar\n", - "5 The world cup is in the moon\n", - "6 The previous world cup was in Russia\n", - "7 The sky is green\n", - "8 The sky is blue\n", - "9 The bear lives in the the woods\n", - "10 The bear lives in his apartment\n", - "11 An apple is a fruit\n", - "12 Apple is a company" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "qa_df_confusing = pd.DataFrame({'text':\n", - " [\n", - " 'Where is the world cup?',\n", - " 'What color is the sky?',\n", - " 'Where does the bear live?',\n", - " 'What is an apple?',\n", - " 'The world cup is in Qatar',\n", - " 'The world cup is in the moon',\n", - " 'The previous world cup was in Russia',\n", - " 'The sky is green',\n", - " 'The sky is blue',\n", - " 'The bear lives in the the woods',\n", - " 'The bear lives in his apartment',\n", - " 'An apple is a fruit',\n", - " 'Apple is a company'\n", - " ]})\n", - "\n", - "qa_df_confusing" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "id": "wuF-go3LEsLB" - }, - "outputs": [], - "source": [ - "qa_confusing = co.embed(texts=list(qa_df_confusing['text']), \n", - " model='embed-english-v3.0', \n", - " input_type=\"search_document\",\n", - " embedding_types=[\"float\"]).embeddings.float" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 468 - }, - "id": "RY4OnBhHELoV", - "outputId": "be79b56f-79ea-46a6-afa1-e30016294be5" - }, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "
    \n", - "" - ], - "text/plain": [ - "alt.Chart(...)" - ] - }, - "execution_count": 12, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - " # UMAP reduces the dimensions from 1024 to 2 dimensions that we can plot\n", - "reducer = umap.UMAP(n_neighbors=2)\n", - "umap_embeds = reducer.fit_transform(qa_confusing)\n", - "# Prepare the data to plot and interactive visualization\n", - "# using Altair\n", - "#df_explore = pd.DataFrame(data={'text': qa['text']})\n", - "#print(df_explore)\n", - "\n", - "#df_explore = pd.DataFrame(data={'text': qa_df[0]})\n", - "df_explore = qa_df_confusing\n", - "df_explore['x'] = umap_embeds[:,0]\n", - "df_explore['y'] = umap_embeds[:,1]\n", - "\n", - "# Plot\n", - "chart = alt.Chart(df_explore).mark_circle(size=60).encode(\n", - " x=#'x',\n", - " alt.X('x',\n", - " scale=alt.Scale(zero=False)\n", - " ),\n", - " y=\n", - " alt.Y('y',\n", - " scale=alt.Scale(zero=False)\n", - " ),\n", - " tooltip=['text']\n", - ").properties(\n", - " width=700,\n", - " height=400\n", - ")\n", - "chart.interactive()" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 269 - }, - "id": "31_8qCIU-L3t", - "outputId": "a74cf627-f975-47b8-b4b0-48aa66737882" - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
    " - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plot_similarities(qa_df_confusing, qa_confusing)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 1 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/co_aws_ch3_text_generation.ipynb b/notebooks/llmu/co_aws_ch3_text_generation.ipynb index 7c7e8dc4..b53cb4e3 100644 --- a/notebooks/llmu/co_aws_ch3_text_generation.ipynb +++ b/notebooks/llmu/co_aws_ch3_text_generation.ipynb @@ -1,566 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - " \"Open" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text Generation Using Cohere Command on Amazon Bedrock" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Cohere's Command family of models, available on Amazon Bedrock, are powerful LLMs that offer these capabilities. This includes cutting-edge models like Command R and Command R+\n", - "\n", - "In this notebook, we'll explore how to use Cohere's Command R+ model on Amazon Bedrock. We'll use a customer support agent scenario as an example and cover a range of tasks, including text generation, summarization, rewriting, and extraction." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let's install and import the necessary libraries and set up our Cohere client using the cohere SDK. To use Bedrock, we create a BedrockClient by passing the necessary AWS credentials." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "# Create Bedrock client via the native Cohere SDK\n", - "# Contact your AWS administrator for the credentials\n", - "co = cohere.BedrockClient(\n", - " aws_region=\"YOUR_AWS_REGION\",\n", - " aws_access_key=\"YOUR_AWS_ACCESS_KEY_ID\",\n", - " aws_secret_key=\"YOUR_AWS_SECRET_ACCESS_KEY\",\n", - " aws_session_token=\"YOUR_AWS_SESSION_TOKEN\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Creating some contextual information" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Before we begin, let's create some context to use in our text generation tasks. In this example, we'll use a technical support FAQ as our context:" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "# Technical support FAQ\n", - "faq_tech_support = \"\"\"- Question: How do I set up my new smartphone with my mobile plan?\n", - "- Answer:\n", - " - Insert your SIM card into the device.\n", - " - Turn on your phone and follow the on-screen setup instructions.\n", - " - Connect to your mobile network and enter your account details when prompted.\n", - " - Download and install any necessary apps or updates.\n", - " - Contact customer support if you need further assistance.\n", - "\n", - "- Question: My internet connection is slow. How can I improve my mobile data speed?\n", - "- Answer:\n", - " - Check your signal strength and move to an area with better coverage.\n", - " - Restart your device and try connecting again.\n", - " - Ensure your data plan is active and has sufficient data.\n", - " - Consider upgrading your plan for faster speeds.\n", - "\n", - "- Question: I can't connect to my mobile network. What should I do?\n", - "- Answer:\n", - " - Check your SIM card is inserted correctly and not damaged.\n", - " - Restart your device and try connecting again.\n", - " - Ensure your account is active and not suspended.\n", - " - Check for any network outages in your area.\n", - " - Contact customer support for further assistance.\n", - "\n", - "- Question: How do I set up my voicemail?\n", - "- Answer:\n", - " - Dial your voicemail access number (usually provided by your carrier).\n", - " - Follow the prompts to set up your voicemail greeting and password.\n", - " - Record your voicemail greeting and save it.\n", - " - Test your voicemail by calling your number and leaving a message.\n", - "\n", - "- Question: I'm having trouble sending text messages. What could be the issue?\n", - "- Answer:\n", - " - Check your signal strength and move to an area with better coverage.\n", - " - Ensure your account has sufficient credit or an active plan.\n", - " - Restart your device and try sending a message again.\n", - " - Check your message settings and ensure they are correct.\n", - " - Contact customer support if the issue persists.\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Function to generate text" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, let's define a function to generate text using the Command R+ model on Bedrock." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [], - "source": [ - "def generate_text(message):\n", - " response = co.chat(message=message,\n", - " model=\"cohere.command-r-plus-v1:0\")\n", - " return response" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text generation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's use our technical support FAQ as context and generate a response to a customer inquiry." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Response to customer inquiry: \n", - "\n", - "Brief slowdowns in data speed can be frustrating. Here are some quick steps to improve your mobile data performance: \n", - "\n", - "1. Check your signal strength: Move to an area with better coverage if needed. \n", - "2. Restart your device: A simple restart can often improve connectivity. \n", - "3. Data plan status: Ensure your data plan is active and you have sufficient data allocated for faster speeds. \n", - "4. Consider a plan upgrade: If the issue persists, consider contacting your provider to discuss faster speed plans. \n", - "\n", - "Additionally, checking for any network outages in your area and ensuring your SIM card is inserted correctly can also help maintain a stable connection. \n", - "\n", - "If the issue continues, reach out to your mobile provider's customer support for further guidance and troubleshooting.\n" - ] - } - ], - "source": [ - "inquiry = \"I've noticed some fluctuations in my mobile network's performance recently.The connection seems stable most of the time, but every now and then, I experience brief periods of slow data speeds. It happens a few times a day and is quite inconvenient.\"\n", - "\n", - "prompt = f\"\"\"Use the FAQs below to provide a concise response to this customer inquiry.\n", - "\n", - "# Customer inquiry\n", - "{inquiry}\n", - "\n", - "# FAQs\n", - "{faq_tech_support}\"\"\"\n", - "\n", - "response = generate_text(prompt)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text summarization" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, let's summarize the customer inquiry into a single sentence." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I experience intermittent periods of slow data speeds a few times a day on my mobile network.\n" - ] - } - ], - "source": [ - "prompt=f\"\"\"Summarize this customer inquiry into one short sentence.\n", - "\n", - "Inquiry: {inquiry}\"\"\"\n", - "\n", - "response = generate_text(prompt)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text Rewriting" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, let's rewrite the generated response into an email format." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Subject: Troubleshooting Guide for Slow Data and Network Issues\n", - "\n", - "Dear [Customer Name], \n", - "\n", - "I hope this email finds you well. I understand that experiencing slow data speeds and network connectivity issues can be frustrating. Here are some detailed troubleshooting steps to help resolve these problems: \n", - "\n", - "- Signal Strength: \n", - " - Please check your device's signal strength by looking at the signal bars displayed on your screen. \n", - " - If you're in an area with weak coverage, try moving to a different location with better reception. You can also try going outdoors or near a window to improve signal strength. \n", - "\n", - "- Device Restart: \n", - " - Simply restart your device. This step can often resolve minor glitches and connectivity issues. Turn your device off, wait for a few seconds, and then turn it back on. \n", - "\n", - "- Account Status: \n", - " - Ensure that your account is active and in good standing. Sometimes, a suspended or inactive account can lead to connectivity issues. You can log in to your online account or contact our customer support team to confirm your account status. \n", - "\n", - "- Contact Customer Support: \n", - " - If the above steps do not resolve the issue, please don't hesitate to reach out to our customer support team for further assistance. Our team is readily available to provide additional guidance and ensure that your issue is thoroughly addressed. This can include updating your plan to improve network performance if necessary. \n", - "\n", - "Please let me know if these steps helped resolve your issue. Your satisfaction is important to us, and we want to ensure that you have a seamless network experience. If there is anything further I can assist with, feel free to respond to this email directly, and I will be happy to help. \n", - "\n", - "Thank you for choosing [Company Name]. We value your patience and understanding during this process. \n", - "\n", - "Best regards, \n", - "\n", - "[Your Name] \n", - "Customer Support Agent \n", - "[Company Name] \n", - "\n", - "Please note: This email is ready to send, but feel free to include a personalized greeting and closing if you wish, along with any additional information specific to the customer's account or issue.\n" - ] - } - ], - "source": [ - "prompt=f\"\"\"Rewrite this customer support agent response into an email format, ready to send to the customer.\n", - "\n", - "If you're experiencing brief periods of slow data speeds or difficulty sending text messages and connecting to your mobile network, here are some troubleshooting steps you can follow:\n", - "\n", - "1. Check your signal strength - Move to an area with better coverage.\n", - "2. Restart your device and try connecting again.\n", - "3. Ensure your account is active and not suspended.\n", - "4. Contact customer support for further assistance. (This can include updating your plan for better network performance.)\n", - "\n", - "Did these steps help resolve the issue? Let me know if you need further assistance.\"\"\"\n", - "\n", - "response = generate_text(prompt)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text Extraction" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, let's extract some information from the customer inquiry and response." - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "```json\n", - "{\n", - " \"category\": \"technical support\",\n", - " \"product\": \"mobile\",\n", - " \"status\": \"open\"\n", - "}\n", - "```\n" - ] - } - ], - "source": [ - "prompt=f\"\"\"Extract the following as a JSON from the text provided below:\n", - "- category (Options: technical support, promotions, billing)\n", - "- product (Options: broadband, mobile, TV)\n", - "- status (Options: open, closed)\n", - "\n", - "# Customer Inquiry\n", - "{inquiry}\n", - "\n", - "# Customer Support Agent's Response\n", - "If you're experiencing brief periods of slow data speeds or difficulty sending text messages and connecting to your mobile network, here are some troubleshooting steps you can follow:\n", - "\n", - "1. Check your signal strength - Move to an area with better coverage.\n", - "2. Restart your device and try connecting again.\n", - "3. Ensure your account is active and not suspended.\n", - "4. Contact customer support for further assistance. (This can include updating your plan for better network performance.)\n", - "\n", - "Did these steps help resolve the issue? Let me know if you need further assistance.\"\"\"\n", - "\n", - "response = generate_text(prompt)\n", - "\n", - "print(response.text)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Building a Chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "All our examples so far run on a single-turn interaction. But with the Chat endpoint, we can build a chatbot that keeps a memory of all previous interactions. This allows developers to build chatbot-style applications that maintain the state of a conversation.\n", - "\n", - "The following is an implementation of a simple chatbot in a customer support setting, where the chatbot acts as a helpful customer support agent.\n", - "\n", - "For this, we introduce a couple of additional parameters to the Chat endpoint:\n", - "- preamble: A preamble contains instructions to help steer a chatbot’s response toward specific characteristics, such as a persona, style, or format. Here we are using a simple preamble of “You are a helpful customer support agent that assist customers of a mobile network service.”\n", - "- chat_history: We store the history of a conversation between a user and the chatbot as a list, append every new conversation turn, and pass this information to the next endpoint call." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [], - "source": [ - "# Define a preamble\n", - "preamble = \"\"\"## Task and Context\n", - "You are a helpful customer support agent that assists customers of a mobile network service.\"\"\"\n", - "\n", - "# Run the chatbot\n", - "def run_chatbot(message, chat_history=[]):\n", - " response = co.chat(message=message,\n", - " model=\"cohere.command-r-plus-v1:0\",\n", - " preamble=preamble,\n", - " chat_history=chat_history)\n", - " \n", - " print(response.text)\n", - " \n", - " chat_history = response.chat_history\n", - " \n", - " return chat_history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here’s an example conversation that runs over a few turns." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I'm sorry to hear that you've been experiencing issues with your mobile network's performance. Can you tell me a little more about the specific problems you've been facing? For example, are you experiencing dropped calls, slow data speeds, or something else entirely? The more information you can provide, the better I'll be able to assist you in troubleshooting the issue.\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"Hi. I've noticed some fluctuations in my mobile network's performance recently.\")" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "I'm sorry to hear that you're experiencing issues with your data speed. Here are a few troubleshooting steps you can try to improve your mobile data performance: \n", - "\n", - "- Check your device's signal: Weak or fluctuating signals can lead to poor data speeds. Try moving to a different location or adjusting your device's position to see if that improves your signal strength. \n", - "\n", - "- Restart your device: Sometimes, a simple restart can refresh your device's connection to the network and improve data speeds. \n", - "\n", - "- Check for network outages: It's possible that the issue is not on your end. Check your network provider's website or social media accounts to see if there are any reported outages or maintenance updates in your area. \n", - "\n", - "- Update your device software: Ensure that your device's operating system and apps are up to date. Outdated software can sometimes cause issues with network performance. \n", - "\n", - "- Review data usage and plan: Check your data usage to ensure you haven't exceeded your plan limits, which can result in reduced speeds. Also, review your current plan to see if it meets your data needs, and consider upgrading if necessary. \n", - "\n", - "- Contact your network provider: If none of the above steps help, it's advisable to contact your mobile network provider's customer support. They can run further diagnostics, check the network towers in your area, and suggest advanced troubleshooting techniques. \n", - "\n", - "Remember to keep an eye on your data speed after each troubleshooting step to pinpoint the issue effectively.\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"At times, the data speed is very poor. What should I do?\", chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "You're welcome! If you have any other questions or concerns, feel free to reach out. I'm here to help.\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"Thanks, I'll try these.\", chat_history)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here's what is contained in the chat history after a few turns." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Chat history:\n", - "message=\"Hi. I've noticed some fluctuations in my mobile network's performance recently.\" tool_calls=None role='USER' \n", - "\n", - "message=\"I'm sorry to hear that you've been experiencing issues with your mobile network's performance. Can you tell me a little more about the specific problems you've been facing? For example, are you experiencing dropped calls, slow data speeds, or something else entirely? The more information you can provide, the better I'll be able to assist you in troubleshooting the issue.\" tool_calls=None role='CHATBOT' \n", - "\n", - "message='At times, the data speed is very poor. What should I do?' tool_calls=None role='USER' \n", - "\n", - "message=\"I'm sorry to hear that you're experiencing issues with your data speed. Here are a few troubleshooting steps you can try to improve your mobile data performance: \\n\\n- Check your device's signal: Weak or fluctuating signals can lead to poor data speeds. Try moving to a different location or adjusting your device's position to see if that improves your signal strength. \\n\\n- Restart your device: Sometimes, a simple restart can refresh your device's connection to the network and improve data speeds. \\n\\n- Check for network outages: It's possible that the issue is not on your end. Check your network provider's website or social media accounts to see if there are any reported outages or maintenance updates in your area. \\n\\n- Update your device software: Ensure that your device's operating system and apps are up to date. Outdated software can sometimes cause issues with network performance. \\n\\n- Review data usage and plan: Check your data usage to ensure you haven't exceeded your plan limits, which can result in reduced speeds. Also, review your current plan to see if it meets your data needs, and consider upgrading if necessary. \\n\\n- Contact your network provider: If none of the above steps help, it's advisable to contact your mobile network provider's customer support. They can run further diagnostics, check the network towers in your area, and suggest advanced troubleshooting techniques. \\n\\nRemember to keep an eye on your data speed after each troubleshooting step to pinpoint the issue effectively.\" tool_calls=None role='CHATBOT' \n", - "\n", - "message=\"Thanks, I'll try these.\" tool_calls=None role='USER' \n", - "\n", - "message=\"You're welcome! If you have any other questions or concerns, feel free to reach out. I'm here to help.\" tool_calls=None role='CHATBOT' \n", - "\n" - ] - } - ], - "source": [ - "print(\"Chat history:\")\n", - "for turn in chat_history:\n", - " print(turn, \"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this notebook, we explored how to use Command R+ on Amazon Bedrock for various text generation and manipulation tasks. We covered text generation, summarization, rewriting, and extraction, demonstrating how these capabilities can be applied to real-world scenarios.\n", - "\n", - "If you want to learn more LLM use cases, visit our LLMU chapter on [use case patterns](https://docs.cohere.com/docs/use-case-patterns)." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/co_aws_ch3_text_generation.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/co_aws_ch4_semantic_search.ipynb b/notebooks/llmu/co_aws_ch4_semantic_search.ipynb index 3a6ce3fa..1d911c66 100644 --- a/notebooks/llmu/co_aws_ch4_semantic_search.ipynb +++ b/notebooks/llmu/co_aws_ch4_semantic_search.ipynb @@ -1,433 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - " \"Open" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Semantic Search Using Cohere Embed on Amazon Bedrock" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Text embeddings are numerical representations created by language models that convert text into vectors. They capture and encode the context of a document. These vectors store a wealth of context about the documents they represent, opening up the possibility of a variety of applications, from semantic search and retrieval-augmented generation (RAG) to topic modeling and text classification.\n", - "\n", - "Cohere's Embed model, available on Amazon Bedrock, is a powerful text embeddings model that offers these capabilities. This model supports over 100 languages and is unique among text embedding models due to its emphasis on document quality for applications like semantic search.\n", - "\n", - "In this notebook, we'll explore how to use Cohere's Embed model on Amazon Bedrock. " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let's install and import the necessary libraries and set up our Cohere client using the cohere SDK. To use Bedrock, we create a BedrockClient by passing the necessary AWS credentials." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere pandas hnswlib -q" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "import pandas as pd\n", - "import cohere\n", - "import hnswlib\n", - "import re" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "# Create Bedrock client via the native Cohere SDK\n", - "# Contact your AWS administrator for the credentials\n", - "co = cohere.BedrockClient(\n", - " aws_region=\"YOUR_AWS_REGION\",\n", - " aws_access_key=\"YOUR_AWS_ACCESS_KEY_ID\",\n", - " aws_secret_key=\"YOUR_AWS_SECRET_ACCESS_KEY\",\n", - " aws_session_token=\"YOUR_AWS_SESSION_TOKEN\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Download dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We use a dataset (MultiFIN) containing a list of real-world article headlines covering 15 languages (English, Turkish, Danish, Spanish, Polish, Greek, Finnish, Hebrew, Japanese, Hungarian, Norwegian, Russian, Italian, Icelandic, and Swedish). This is an open-source dataset curated for financial natural language processing (NLP) and is available on a [GitHub repository](https://github.com/RasmusKaer/MultiFin).\n", - "\n", - "In our case, we’ve created a CSV file with MultiFIN’s data, as well as a column with translations. We don’t use this column to feed the model; we use it to help us follow along when we print the results for those who don’t speak Danish or Spanish. We point to that CSV to create our dataframe." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
    \n", - "\n", - "\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
    textlabelslangidtranslation
    0Revenue Recognition['Accounting & Assurance']EnglishIsrael-4145Revenue Recognition
    1Más de la mitad de las empresas españolas fuer...['Financial Crime']SpanishSpain-2044More than half of the Spanish companies were v...
    2Wynagrodzenie netto w Polsce to średnio 71% pe...['Human Resource']PolishPoland-1567The net salary in Poland is an average of 71% ...
    3Time to talk: What has to change for women at ...['Human Resource']EnglishTurkey-5447Time to talk: What has to change for women at ...
    4Total Retail 2017['Retail & Consumers']EnglishSpain-1981Total Retail 2017
    \n", - "
    " - ], - "text/plain": [ - " text \\\n", - "0 Revenue Recognition \n", - "1 Más de la mitad de las empresas españolas fuer... \n", - "2 Wynagrodzenie netto w Polsce to średnio 71% pe... \n", - "3 Time to talk: What has to change for women at ... \n", - "4 Total Retail 2017 \n", - "\n", - " labels lang id \\\n", - "0 ['Accounting & Assurance'] English Israel-4145 \n", - "1 ['Financial Crime'] Spanish Spain-2044 \n", - "2 ['Human Resource'] Polish Poland-1567 \n", - "3 ['Human Resource'] English Turkey-5447 \n", - "4 ['Retail & Consumers'] English Spain-1981 \n", - "\n", - " translation \n", - "0 Revenue Recognition \n", - "1 More than half of the Spanish companies were v... \n", - "2 The net salary in Poland is an average of 71% ... \n", - "3 Time to talk: What has to change for women at ... \n", - "4 Total Retail 2017 " - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "url = \"https://raw.githubusercontent.com/cohere-ai/cohere-aws/main/notebooks/bedrock/multiFIN_train.csv\"\n", - "df = pd.read_csv(url)\n", - "\n", - "# Inspect dataset\n", - "df.head(5)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Pre-Process Dataset" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "MultiFIN has over 6,000 records in 15 different languages. For our example use case, we focus on three languages: English, Spanish, and Danish.\n", - "For this, we’ll need to do some pre-processing steps. First we remove the duplicates, remove the languages other than the three we need, and pick the top 80 articles for demonstration purposes." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "lang\n", - "Spanish 33\n", - "English 29\n", - "Danish 18\n", - "Name: count, dtype: int64" - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "# Ensure there is no duplicated text in the headers\n", - "def remove_duplicates(text):\n", - " return re.sub(r'((\\b\\w+\\b.{1,2}\\w+\\b)+).+\\1', r'\\1', text, flags=re.I)\n", - "\n", - "df ['text'] = df['text'].apply(remove_duplicates)\n", - "\n", - "# Keep only selected languages\n", - "languages = ['English', 'Spanish', 'Danish']\n", - "df = df.loc[df['lang'].isin(languages)]\n", - "\n", - "# Pick the top 80 longest articles\n", - "df['text_length'] = df['text'].str.len()\n", - "df.sort_values(by=['text_length'], ascending=False, inplace=True)\n", - "top_80_df = df[:80]\n", - "\n", - "# Language distribution\n", - "top_80_df['lang'].value_counts()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Embed and index documents" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we want to embed our documents and store the embeddings. The embeddings are very large vectors that encapsulate the semantic meaning of our document. In particular, we use Cohere’s `embed-multilingual-v3.0` model, which creates embeddings with 1,024 dimensions.\n", - "\n", - "With the v3.0 embeddings models, we need to specify the input_type parameter to indicate the nature of the document. In semantic search applications, this is either `search_document`, which is for the documents to search, or `search_query`, which is for the search query that we’ll define later.\n", - "We also keep track of the language and translation of the document to enrich the display of the results.\n", - "\n", - "Next, we create a search index using the `hnsw` vector library. This stores the embeddings in an index, which makes searching the documents more efficient." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "# Embed documents\n", - "docs = top_80_df['text'].to_list()\n", - "docs_lang = top_80_df['lang'].to_list()\n", - "translated_docs = top_80_df['translation'].to_list() #for reference when returning non-English results\n", - "doc_embs = co.embed(texts=docs,\n", - " model=\"cohere.embed-multilingual-v3\",\n", - " input_type='search_document').embeddings\n", - "\n", - "# Create a search index\n", - "index = hnswlib.Index(space='ip', dim=1024)\n", - "index.init_index(max_elements=len(doc_embs), ef_construction=512, M=64)\n", - "index.add_items(doc_embs, list(range(len(doc_embs))))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we build a function that takes a query as input, embeds it, and finds the four headers more closely related to it." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "# Retrieval of 4 closest docs to query\n", - "def retrieval(query):\n", - " # Embed query and retrieve results\n", - " query_emb = co.embed(texts=[query],\n", - " model=\"cohere.embed-multilingual-v3\",\n", - " input_type=\"search_query\").embeddings\n", - " doc_ids = index.knn_query(query_emb, k=3)[0][0] # we will retrieve 4 closest neighbors\n", - " \n", - " # Print and append results\n", - " print(f\"QUERY: {query.upper()} \\n\")\n", - " retrieved_docs, translated_retrieved_docs = [], []\n", - " \n", - " for doc_id in doc_ids:\n", - " # Append results\n", - " retrieved_docs.append(docs[doc_id])\n", - " translated_retrieved_docs.append(translated_docs[doc_id])\n", - " \n", - " # Print results\n", - " print(f\"ORIGINAL ({docs_lang[doc_id]}): {docs[doc_id]}\")\n", - " if docs_lang[doc_id] != \"English\":\n", - " print(f\"TRANSLATION: {translated_docs[doc_id]} \\n----\")\n", - " else:\n", - " print(\"----\")\n", - " print(\"END OF RESULTS \\n\\n\")\n", - " return retrieved_docs, translated_retrieved_docs" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now try to query the index with a couple of examples, one each in English and Danish.\n", - "\n", - "As for results from the English query, notice how the retrieval system was able to surface documents similar in meaning, i.e., data science vs. AI. This is something that keyword-based search systems would not be able to capture.\n", - "\n", - "As for results from the Danish query, it highlights the ability to perform cross-lingual search with the Embed multilingual model. You can enter a query in one language and get relevant search results that span other languages.\n", - "Another observation here is that the English acronym “PP&E” stands for “property, plant, and equipment,” and the model was able to connect it to the query." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "QUERY: CAN DATA SCIENCE HELP MEET SUSTAINABILITY GOALS? \n", - "\n", - "ORIGINAL (English): Using AI to better manage the environment could reduce greenhouse gas emissions, boost global GDP by up to 38m jobs by 2030\n", - "----\n", - "ORIGINAL (English): Quality of business reporting on the Sustainable Development Goals improves, but has a long way to go to meet and drive targets.\n", - "----\n", - "ORIGINAL (English): Only 10 years to achieve Sustainable Development Goals but businesses remain on starting blocks for integration and progress\n", - "----\n", - "END OF RESULTS \n", - "\n", - "\n", - "QUERY: HVOR KAN JEG FINDE DEN SENESTE DANSKE BOLIGPLAN? \n", - "\n", - "ORIGINAL (Danish): Nyt fra CFOdirect: Ny PP&E-guide, FAQs om den nye leasingstandard, podcast om udfordringerne ved implementering af leasingstandarden og meget mere\n", - "TRANSLATION: New from CFOdirect: New PP&E guide, FAQs on the new leasing standard, podcast on the challenges of implementing the leasing standard and much more \n", - "----\n", - "ORIGINAL (Danish): Lovforslag fremlagt om rentefri lån, udskudt frist for lønsumsafgift, førtidig udbetaling af skattekredit og loft på indestående på skattekontoen\n", - "TRANSLATION: Bills presented on interest -free loans, deferred deadline for payroll tax, early payment of tax credit and ceiling on the balance in the tax account \n", - "----\n", - "ORIGINAL (Danish): Nyt fra CFOdirect: Shareholder-spørgsmål til ledelsen, SEC cybersikkerhedsguide, den amerikanske skattereform og meget mere\n", - "TRANSLATION: New from CFOdirect: Shareholder questions for management, the SEC cybersecurity guide, US tax reform and more \n", - "----\n", - "END OF RESULTS \n", - "\n", - "\n" - ] - } - ], - "source": [ - "queries = [\n", - " \"Can data science help meet sustainability goals?\", # English example\n", - " \"Hvor kan jeg finde den seneste danske boligplan?\" # Danish example - \"Where can I find the latest Danish property plan?\"\n", - "]\n", - "\n", - "for query in queries:\n", - " retrieval(query)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Semantic search applications, enabled by text embeddings, offer a significantly more effective approach to retrieving and analyzing information. Cohere's Embed model can do this across over 100 languages. Its application in fields like financial analysis, as demonstrated in this chapter, shows how it can transform data retrieval and processing tasks, saving time and improving accuracy." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/co_aws_ch4_semantic_search.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/co_aws_ch5_rerank_sm.ipynb b/notebooks/llmu/co_aws_ch5_rerank_sm.ipynb index 6525adb8..52896850 100644 --- a/notebooks/llmu/co_aws_ch5_rerank_sm.ipynb +++ b/notebooks/llmu/co_aws_ch5_rerank_sm.ipynb @@ -1,328 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "
    \"Open" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Reranking Using Cohere Rerank on Amazon SageMaker" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Reranking is an essential technique in information retrieval systems, especially in large-scale search applications. It is a process of reordering a set of initially retrieved documents based on their relevance to a user's query.\n", - "\n", - "What’s great about reranking is that while it gives a huge boost to search results, implementing Cohere’s Rerank models requires adding only one line of code to any existing search system, whether a semantic search system or a traditional search system that uses keyword-based approaches.\n", - "\n", - "In this notebook, we'll explore how to use the Cohere Rerank endpoint on Amazon SageMaker. In particular, we'll look at an example of a multi-aspect search on semi-structured data, and walk through how to perform reranking on email data that contains multiple fields: “title” and “content.”" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let's install and import the necessary libraries and set up our Cohere client.\n", - "\n", - "We'll need to create a SageMaker endpoint that exposes access to a Cohere model (Rerank v3 in our case). For this, we’ll use the cohere_aws SDK which makes it easy to set up the endpoint, together with AWS’s boto3 library." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere cohere-aws boto3" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "import boto3\n", - "import cohere\n", - "import cohere_aws\n", - "from cohere_aws import Client" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "# Create SageMaker client via the native Cohere SDK\n", - "# Contact your AWS administrator for the credentials\n", - "co = cohere.SagemakerClient(\n", - " aws_region=\"YOUR_AWS_REGION\",\n", - " aws_access_key=\"YOUR_AWS_ACCESS_KEY_ID\",\n", - " aws_secret_key=\"YOUR_AWS_SECRET_ACCESS_KEY\",\n", - " aws_session_token=\"YOUR_AWS_SESSION_TOKEN\",\n", - ")\n", - "\n", - "# For creating an endpoint, you need to use the cohere_aws client: Set environment variables with the AWS credentials\n", - "os.environ['AWS_ACCESS_KEY_ID'] = \"YOUR_AWS_ACCESS_KEY_ID\"\n", - "os.environ['AWS_SECRET_ACCESS_KEY'] = \"YOUR_AWS_SECRET_ACCESS_KEY\"\n", - "os.environ['AWS_SESSION_TOKEN'] = \"YOUR_AWS_SESSION_TOKEN\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Create Endpoint" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "With SageMaker, we’ll need to create an endpoint via an AWS instance. The marketplace listing provides more details, including pricing, on the recommended instance type for a particular model.\n", - "\n", - "To create the endpoint, we define:\n", - "\n", - "- arn: The model package ARN we defined in the previous step\n", - "- endpoint_name: A name we can give as an identifier\n", - "- instance_type: The instance type to be used\n", - "- n_instances: The number of instances\n", - "\n", - "We pass the arguments to the create_endpoint method from the cohere_aws library. " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Create SageMaker endpoint via the cohere_aws SDK\n", - "cohere_package = \"cohere-rerank-english-v3-01-d3687e0d2e3a366bb904275616424807\"\n", - "model_package_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:model-package/{cohere_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:model-package/{cohere_package}\",\n", - " \"us-west-1\": f\"arn:aws:sagemaker:us-west-1:382657785993:model-package/{cohere_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:model-package/{cohere_package}\",\n", - " \"ca-central-1\": f\"arn:aws:sagemaker:ca-central-1:470592106596:model-package/{cohere_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:model-package/{cohere_package}\",\n", - " \"eu-west-1\": f\"arn:aws:sagemaker:eu-west-1:985815980388:model-package/{cohere_package}\",\n", - " \"eu-west-2\": f\"arn:aws:sagemaker:eu-west-2:856760150666:model-package/{cohere_package}\",\n", - " \"eu-west-3\": f\"arn:aws:sagemaker:eu-west-3:843114510376:model-package/{cohere_package}\",\n", - " \"eu-north-1\": f\"arn:aws:sagemaker:eu-north-1:136758871317:model-package/{cohere_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:model-package/{cohere_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:model-package/{cohere_package}\",\n", - " \"ap-northeast-2\": f\"arn:aws:sagemaker:ap-northeast-2:745090734665:model-package/{cohere_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:model-package/{cohere_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:model-package/{cohere_package}\",\n", - " \"sa-east-1\": f\"arn:aws:sagemaker:sa-east-1:270155090741:model-package/{cohere_package}\",\n", - "}\n", - "\n", - "region = boto3.Session().region_name\n", - "\n", - "if region not in model_package_map.keys():\n", - " raise Exception(\"UNSUPPORTED REGION\")\n", - "\n", - "model_package_arn = model_package_map[region]\n", - "\n", - "co_aws = Client(region_name=region)\n", - "\n", - "co_aws.create_endpoint(arn=model_package_arn, endpoint_name=\"my-rerank-v3\", instance_type=\"ml.g5.xlarge\", n_instances=1)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Retrieve Documents" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s assume that the first stage of retrieval has already been performed, whether it’s through a semantic, keyword, or any other type of search system.\n", - "\n", - "Here we have a list of nine documents that represent the search results of that first stage. Each document has two fields, Title and Content, corresponding to the contents of an email. Each email is a dictionary containing these fields that preserves its semi-structured format, which the Rerank endpoint can take advantage of." - ] - }, - { - "cell_type": "code", - "execution_count": 48, - "metadata": {}, - "outputs": [], - "source": [ - "documents = [\n", - " {\"Title\":\"Incorrect Password\",\"Content\":\"Hello, I have been trying to access my account for the past hour and it keeps saying my password is incorrect. Can you please help me?\"},\n", - " {\"Title\":\"Confirmation Email Missed\",\"Content\":\"Hi, I recently purchased a product from your website but I never received a confirmation email. Can you please look into this for me?\"},\n", - " {\"Title\":\"Questions about Return Policy\",\"Content\":\"Hello, I have a question about the return policy for this product. I purchased it a few weeks ago and it is defective.\"},\n", - " {\"Title\":\"Customer Support is Busy\",\"Content\":\"Good morning, I have been trying to reach your customer support team for the past week but I keep getting a busy signal. Can you please help me?\"},\n", - " {\"Title\":\"Received Wrong Item\",\"Content\":\"Hi, I have a question about my recent order. I received the wrong item and I need to return it.\"},\n", - " {\"Title\":\"Customer Service is Unavailable\",\"Content\":\"Hello, I have been trying to reach your customer support team for the past hour but I keep getting a busy signal. Can you please help me?\"},\n", - " {\"Title\":\"Return Policy for Defective Product\",\"Content\":\"Hi, I have a question about the return policy for this product. I purchased it a few weeks ago and it is defective.\"},\n", - " {\"Title\":\"Wrong Item Received\",\"Content\":\"Good morning, I have a question about my recent order. I received the wrong item and I need to return it.\"},\n", - " {\"Title\":\"Return Defective Product\",\"Content\":\"Hello, I have a question about the return policy for this product. I purchased it a few weeks ago and it is defective.\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Rerank Documents" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To use the endpoint, we now use the cohere SDK. Adding a reranking component is simple with Cohere Rerank. It takes just one line of code to implement." - ] - }, - { - "cell_type": "code", - "execution_count": 49, - "metadata": {}, - "outputs": [], - "source": [ - "query = 'What emails have been about refunds?'\n", - "\n", - "response = co.rerank(documents=documents,\n", - " query=query,\n", - " rank_fields=[\"Title\",\"Content\"],\n", - " top_n=3,\n", - " model=\"my-rerank-v3\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# View Results" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Since we defined top_n=3, we’ll get the top three most relevant documents to the query. For each document, the response contains the index of its position in the original list and its relevance score against the query." - ] - }, - { - "cell_type": "code", - "execution_count": 50, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Documents \n", - "\n", - "#1:\n", - "{'Title': 'Questions about Return Policy', 'Content': 'Hello, I have a question about the return policy for this product. I purchased it a few weeks ago and it is defective.'}\n", - "\n", - "#2:\n", - "{'Title': 'Return Policy for Defective Product', 'Content': 'Hi, I have a question about the return policy for this product. I purchased it a few weeks ago and it is defective.'}\n", - "\n", - "#3:\n", - "{'Title': 'Return Defective Product', 'Content': 'Hello, I have a question about the return policy for this product. I purchased it a few weeks ago and it is defective.'}\n", - "\n" - ] - } - ], - "source": [ - "print(\"Documents\",\"\\n\")\n", - "\n", - "for idx,doc in enumerate(response.results):\n", - " print(f\"#{idx+1}:\\n{documents[doc.index]}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The search query was looking for emails about refunds. But none of the documents mention the word “refunds” specifically.\n", - "\n", - "However, the Rerank model was able to retrieve the right documents. From the list of documents, some mention the word “return.” The Rerank model can capture semantically similar meanings between two pieces of text, so it is able to return documents that mention return instead, which has a very similar meaning to return." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Delete endpoint" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Important Note: You will continue to incur charges for as long as an endpoint is running, so remember to delete the endpoint when your usage ends." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "co_aws.delete_endpoint()\n", - "co_aws.close()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Reranking is a valuable technique used in information retrieval systems to enhance the relevance of search results. Cohere's Rerank endpoint, including its latest model, Rerank 3, offers improved capabilities for enterprise search.\n", - "\n", - "By incorporating reranking with a single line of code, as shown in our example in this chapter, the model successfully identified semantically similar documents, even when specific keywords were absent from the query. This example highlights the potential benefits of integrating reranking into existing search systems to enhance search accuracy and user satisfaction." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/co_aws_ch5_rerank_sm.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/co_aws_ch6_rag_bedrock_sm.ipynb b/notebooks/llmu/co_aws_ch6_rag_bedrock_sm.ipynb index 9a86edd7..3deabba7 100644 --- a/notebooks/llmu/co_aws_ch6_rag_bedrock_sm.ipynb +++ b/notebooks/llmu/co_aws_ch6_rag_bedrock_sm.ipynb @@ -1,810 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - " \"Open" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Retrieval-Augmented Generation (RAG) Using Cohere on AWS" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Large Language Models (LLMs) have proven effective at performing text generation tasks and maintaining the context of a conversation in a chat setting. However, at times, we can encounter a scenario where an LLM hallucinates and provides factually inaccurate responses to a given question. This is especially true in business settings, where companies have proprietary data that an LLM would not have seen during its training phase.\n", - "\n", - "Retrieval-augmented generation (RAG) bridges the gap by allowing an LLM to integrate external data sources and use them in its response generation. This significantly minimizes the hallucination issue, making the model's responses more accurate and reliable." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere cohere-aws boto3 hnswlib unstructured -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "import os\n", - "import cohere\n", - "import boto3\n", - "import cohere_aws\n", - "from cohere_aws import Client" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, we set up the clients for Bedrock (to be used for Chat and Embed) and SageMaker (to be used for Rerank) using the same steps as in the previous chapters. Here we name the clients co_br for Bedrock and co_sm for SageMaker.\n", - "\n", - "To use Bedrock, we create a BedrockClient by passing the necessary AWS credentials." - ] - }, - { - "cell_type": "code", - "execution_count": 42, - "metadata": {}, - "outputs": [], - "source": [ - "# Create Bedrock client via the native Cohere SDK\n", - "# Contact your AWS administrator for the credentials\n", - "\n", - "co_br = cohere.BedrockClient(\n", - " aws_region=\"YOUR_AWS_REGION\",\n", - " aws_access_key=\"YOUR_AWS_ACCESS_KEY_ID\",\n", - " aws_secret_key=\"YOUR_AWS_SECRET_ACCESS_KEY\",\n", - " aws_session_token=\"YOUR_AWS_SESSION_TOKEN\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Later we'll need to create a SageMaker endpoint that exposes access to a Cohere model (Rerank v3 in our case). For this, we’ll use the cohere_aws SDK which makes it easy to set up the endpoint, together with AWS’s boto3 library.\n", - "\n", - "Once the endpoint is created (as we’ll walk through later), we can access it using the cohere SDK. To do this, let’s create a SagemakerClient by passing the necessary AWS credentials." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "# Create SageMaker client via the native Cohere SDK\n", - "# Contact your AWS administrator for the credentials\n", - "\n", - "co_sm = cohere.SagemakerClient(\n", - " aws_region=\"YOUR_AWS_REGION\",\n", - " aws_access_key=\"YOUR_AWS_ACCESS_KEY_ID\",\n", - " aws_secret_key=\"YOUR_AWS_SECRET_ACCESS_KEY\",\n", - " aws_session_token=\"YOUR_AWS_SESSION_TOKEN\",\n", - ")\n", - "\n", - "# For creating an endpoint, you need to use the cohere_aws client: Set environment variables with the AWS credentials\n", - "os.environ['AWS_ACCESS_KEY_ID'] = \"YOUR_AWS_ACCESS_KEY_ID\"\n", - "os.environ['AWS_SECRET_ACCESS_KEY'] = \"YOUR_AWS_SECRET_ACCESS_KEY\"\n", - "os.environ['AWS_SESSION_TOKEN'] = \"YOUR_AWS_SESSION_TOKEN\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Create SageMaker Endpoint" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The next step is to create a Rerank SageMaker endpoint by defining the model package Amazon Resource Names (ARN) for the Rerank model. The ARN is an identifying string for a SageMaker resource, and it varies between the regions where a resource is available.\n", - "\n", - "Here, we define the Cohere package for the Rerank model and map the model package against each region, which gives the complete ARN for each region." - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "metadata": {}, - "outputs": [], - "source": [ - "# Create SageMaker endpoint via the cohere_aws SDK\n", - "\n", - "cohere_package = \"cohere-rerank-english-v3-01-d3687e0d2e3a366bb904275616424807\"\n", - "model_package_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:model-package/{cohere_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:model-package/{cohere_package}\",\n", - " \"us-west-1\": f\"arn:aws:sagemaker:us-west-1:382657785993:model-package/{cohere_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:model-package/{cohere_package}\",\n", - " \"ca-central-1\": f\"arn:aws:sagemaker:ca-central-1:470592106596:model-package/{cohere_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:model-package/{cohere_package}\",\n", - " \"eu-west-1\": f\"arn:aws:sagemaker:eu-west-1:985815980388:model-package/{cohere_package}\",\n", - " \"eu-west-2\": f\"arn:aws:sagemaker:eu-west-2:856760150666:model-package/{cohere_package}\",\n", - " \"eu-west-3\": f\"arn:aws:sagemaker:eu-west-3:843114510376:model-package/{cohere_package}\",\n", - " \"eu-north-1\": f\"arn:aws:sagemaker:eu-north-1:136758871317:model-package/{cohere_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:model-package/{cohere_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:model-package/{cohere_package}\",\n", - " \"ap-northeast-2\": f\"arn:aws:sagemaker:ap-northeast-2:745090734665:model-package/{cohere_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:model-package/{cohere_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:model-package/{cohere_package}\",\n", - " \"sa-east-1\": f\"arn:aws:sagemaker:sa-east-1:270155090741:model-package/{cohere_package}\",\n", - "}\n", - "\n", - "region = boto3.Session().region_name\n", - "if region not in model_package_map.keys():\n", - " raise Exception(\"UNSUPPORTED REGION\")\n", - "\n", - "model_package_arn = model_package_map[region]\n", - "\n", - "co_aws = Client(region_name=region)\n", - "\n", - "co_aws.create_endpoint(arn=model_package_arn, endpoint_name=\"my-rerank-v3\", instance_type=\"ml.g5.xlarge\", n_instances=1)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Quick Example" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We’ll start with a quick example to understand the key aspects of RAG.\n", - "\n", - "With RAG, the first step is to define the documents that an LLM will have access to. Here, we have a short list of simple documents. Typically, there is a retrieval process to retrieve the most relevant documents based on a user query, which we’ll cover in the longer walkthrough next. But at this point, let’s assume that these are the only documents and we’ll pass all of them to the LLM." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "documents = [\n", - " {\n", - " \"title\": \"Tall penguins\",\n", - " \"text\": \"Emperor penguins are the tallest.\"},\n", - " {\n", - " \"title\": \"Penguin habitats\",\n", - " \"text\": \"Emperor penguins only live in Antarctica.\"},\n", - " {\n", - " \"title\": \"What are animals?\",\n", - " \"text\": \"Animals are different from plants.\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We have seen how to use the Chat endpoint in the text generation chapter. To use the RAG feature, we simply need to add one additional parameter, documents, to the endpoint call. These are the documents we have defined earlier, which are now available to the model for it to consider utilizing in its response.\n", - "\n", - "Let’s now see how the model responds when given the user message, \"What are the tallest living penguins?\"" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "RESPONSE:\n", - "\n", - "The tallest living penguins are the Emperor penguins. These penguins only live in Antarctica.\n", - "\n", - "CITATIONS:\n", - "\n", - "start=4 end=53 text='tallest living penguins are the Emperor penguins.' document_ids=['doc_0']\n", - "start=69 end=93 text='only live in Antarctica.' document_ids=['doc_1']\n" - ] - } - ], - "source": [ - "message = \"What are the tallest living penguins?\"\n", - "\n", - "response = co_br.chat(message=message,\n", - " documents=documents,\n", - " model=\"cohere.command-r-plus-v1:0\")\n", - "\n", - "print(\"\\nRESPONSE:\\n\")\n", - "print(response.text)\n", - " \n", - "if response.citations:\n", - " print(\"\\nCITATIONS:\\n\") \n", - " for citation in response.citations:\n", - " print(citation)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the response, the model used the documents to inform its answer to the question. For example, the tallest living penguins are emperor penguins part of its response was cited from doc_0, which is the first document in the list containing the text Emperor penguins are the tallest." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# A More Comprehensive Example" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now that we’ve covered the basics, let’s look at a more comprehensive example of RAG that includes:\n", - "\n", - "- Building a retrieval system that includes turning documents into text embeddings and storing them in an index\n", - "- Building a query generation system that turns user messages into optimized queries for retrieval\n", - "- Wrapping a user interaction with an LLM in a chat interface\n", - "- Building a response generation system that’s able to answer different types of queries, such as those that require and don’t require RAG\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let’s import the necessary libraries for this project. This includes hnswlib for the vector library and unstructured for chunking the documents (more details on these later)." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [], - "source": [ - "import uuid\n", - "import hnswlib\n", - "from typing import List, Dict\n", - "from unstructured.partition.html import partition_html\n", - "from unstructured.chunking.title import chunk_by_title" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, we’ll define the documents we’ll use for RAG. We’ll use a few pages from the Cohere documentation that discuss prompt engineering, each in the Python list raw_documents below. Each entry is identified by its title and URL." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Define Documents" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [], - "source": [ - "raw_documents = [\n", - " {\n", - " \"title\": \"Crafting Effective Prompts\",\n", - " \"url\": \"https://docs.cohere.com/docs/crafting-effective-prompts\"},\n", - " {\n", - " \"title\": \"Advanced Prompt Engineering Techniques\",\n", - " \"url\": \"https://docs.cohere.com/docs/advanced-prompt-engineering-techniques\"},\n", - " {\n", - " \"title\": \"Prompt Truncation\",\n", - " \"url\": \"https://docs.cohere.com/docs/prompt-truncation\"},\n", - " {\n", - " \"title\": \"Preambles\",\n", - " \"url\": \"https://docs.cohere.com/docs/preambles\"}\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Create Vectorstore" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The Vectorstore class handles the ingestion of documents into embeddings (or vectors) and the retrieval of relevant documents given a query.\n", - "\n", - "It includes a few methods:\n", - "\n", - "- load_and_chunk: Loads the raw documents from the URL and breaks them into smaller chunks. We’ll utilize the partition_html method from the unstructured library to perform the chunking.\n", - "- embed: Generates embeddings of the chunked documents. We use the Embed endpoint available on Bedrock, which uses the cohere.embed-english-v3 model.\n", - "- index: Indexes the document chunk embeddings to ensure efficient similarity search during retrieval. For this, we’ll use the hnswlib vector library.\n", - "- retrieve: Uses semantic search to retrieve relevant document chunks from the index, given a query. It involves two steps: first, dense retrieval from the index via the Embed endpoint, and second, a reranking via the Rerank endpoint to boost the search results further." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [], - "source": [ - "class Vectorstore:\n", - "\n", - " def __init__(self, raw_documents: List[Dict[str, str]]):\n", - " self.raw_documents = raw_documents\n", - " self.docs = []\n", - " self.docs_embs = []\n", - " self.retrieve_top_k = 10\n", - " self.rerank_top_k = 3\n", - " self.load_and_chunk()\n", - " self.embed()\n", - " self.index()\n", - "\n", - "\n", - " def load_and_chunk(self) -> None:\n", - " \"\"\"\n", - " Loads the text from the sources and chunks the HTML content.\n", - " \"\"\"\n", - " print(\"Loading documents...\")\n", - "\n", - " for raw_document in self.raw_documents:\n", - " elements = partition_html(url=raw_document[\"url\"])\n", - " chunks = chunk_by_title(elements)\n", - " for chunk in chunks:\n", - " self.docs.append(\n", - " {\n", - " \"title\": raw_document[\"title\"],\n", - " \"text\": str(chunk),\n", - " \"url\": raw_document[\"url\"],\n", - " }\n", - " )\n", - "\n", - " def embed(self) -> None:\n", - " \"\"\"\n", - " Embeds the document chunks using the Cohere API.\n", - " \"\"\"\n", - " print(\"Embedding document chunks...\")\n", - "\n", - " batch_size = 90\n", - " self.docs_len = len(self.docs)\n", - " for i in range(0, self.docs_len, batch_size):\n", - " batch = self.docs[i : min(i + batch_size, self.docs_len)]\n", - " texts = [item[\"text\"] for item in batch]\n", - " docs_embs_batch = co_br.embed(\n", - " texts=texts,\n", - " model=\"cohere.embed-english-v3\",\n", - " input_type=\"search_document\"\n", - " ).embeddings\n", - " self.docs_embs.extend(docs_embs_batch)\n", - "\n", - " def index(self) -> None:\n", - " \"\"\"\n", - " Indexes the document chunks for efficient retrieval.\n", - " \"\"\"\n", - " print(\"Indexing document chunks...\")\n", - "\n", - " self.idx = hnswlib.Index(space=\"ip\", dim=1024)\n", - " self.idx.init_index(max_elements=self.docs_len, ef_construction=512, M=64)\n", - " self.idx.add_items(self.docs_embs, list(range(len(self.docs_embs))))\n", - "\n", - " print(f\"Indexing complete with {self.idx.get_current_count()} document chunks.\")\n", - "\n", - " def retrieve(self, query: str) -> List[Dict[str, str]]:\n", - " \"\"\"\n", - " Retrieves document chunks based on the given query.\n", - "\n", - " Parameters:\n", - " query (str): The query to retrieve document chunks for.\n", - "\n", - " Returns:\n", - " List[Dict[str, str]]: A list of dictionaries representing the retrieved document chunks, with 'title', 'text', and 'url' keys.\n", - " \"\"\"\n", - "\n", - " # Dense retrieval\n", - " query_emb = co_br.embed(\n", - " texts=[query],\n", - " model=\"cohere.embed-english-v3\",\n", - " input_type=\"search_query\"\n", - " ).embeddings\n", - " \n", - " doc_ids = self.idx.knn_query(query_emb, k=self.retrieve_top_k)[0][0]\n", - "\n", - " # Reranking\n", - " rank_fields = [\"title\", \"text\"] # We'll use the title and text fields for reranking\n", - "\n", - " docs_to_rerank = [self.docs[doc_id] for doc_id in doc_ids]\n", - " rerank_results = co_sm.rerank(\n", - " query=query,\n", - " documents=docs_to_rerank,\n", - " top_n=self.rerank_top_k,\n", - " rank_fields=rank_fields,\n", - " model=\"my-rerank-v3\")\n", - "\n", - " doc_ids_reranked = [doc_ids[result.index] for result in rerank_results.results]\n", - "\n", - " docs_retrieved = []\n", - " for doc_id in doc_ids_reranked:\n", - " docs_retrieved.append(\n", - " {\n", - " \"title\": self.docs[doc_id][\"title\"],\n", - " \"text\": self.docs[doc_id][\"text\"],\n", - " \"url\": self.docs[doc_id][\"url\"],\n", - " }\n", - " )\n", - "\n", - " return docs_retrieved" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Process Documents" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now that the Vectorstore component is set up, we can process the documents, which will involve chunking, embedding, and indexing. We do this by creating an instance of the Vectorstore and passing the raw documents we defined earlier." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loading documents...\n", - "Embedding document chunks...\n", - "Indexing document chunks...\n", - "Indexing complete with 44 document chunks.\n" - ] - } - ], - "source": [ - "# Create an instance of the Vectorstore class with the given sources\n", - "vectorstore = Vectorstore(raw_documents)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can test if the retrieval is working by entering a search query." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "[{'title': 'Advanced Prompt Engineering Techniques',\n", - " 'text': 'Few-shot Prompting\\n\\nUnlike the zero-shot examples above, few-shot prompting is a technique that provides a model with examples of the task being performed before asking the specific question to be answered. We can steer the LLM toward a high-quality solution by providing a few relevant and diverse examples in the prompt. Good examples condition the model to the expected response type and style.',\n", - " 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'},\n", - " {'title': 'Crafting Effective Prompts',\n", - " 'text': 'Incorporating Example Outputs\\n\\nLLMs respond well when they have specific examples to work from. For example, instead of asking for the salient points of the text and using bullet points “where appropriate”, give an example of what the output should look like.',\n", - " 'url': 'https://docs.cohere.com/docs/crafting-effective-prompts'},\n", - " {'title': 'Advanced Prompt Engineering Techniques',\n", - " 'text': 'In addition to giving correct examples, including negative examples with a clear indication of why they are wrong can help the LLM learn to distinguish between correct and incorrect responses. Ordering the examples can also be important; if there are patterns that could be picked up on that are not relevant to the correctness of the question, the model may incorrectly pick up on those instead of the semantics of the question itself.',\n", - " 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}]" - ] - }, - "execution_count": 19, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "vectorstore.retrieve(\"Prompting by giving examples\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Run Chatbot" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can now run the chatbot. For this, we create a generate_chat function which includes the RAG components:\n", - "- For each user message, we use the endpoint’s search query generation feature to turn the message into one or more queries that are optimized for retrieval. The endpoint can even return no query, which means that a user message can be responded to directly without retrieval. This is done by calling the Chat endpoint with the search_queries_only parameter and setting it as True.\n", - "- If there is no search query generated, we call the Chat endpoint to generate a response directly. If there is at least one, we call the retrieve method from the Vectorstore instance to retrieve the most relevant documents to each query.\n", - "- Finally, all the results from all queries are appended to a list and passed to the Chat endpoint for response generation.\n", - "- We print the response, together with the citations and the list of document chunks cited, for easy reference." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [], - "source": [ - "def run_chatbot(message, chat_history=None):\n", - " \n", - " if chat_history is None:\n", - " chat_history = []\n", - " \n", - " # Generate search queries, if any \n", - " response = co_br.chat(message=message,\n", - " search_queries_only=True,\n", - " model=\"cohere.command-r-plus-v1:0\",\n", - " chat_history=chat_history)\n", - " \n", - " search_queries = []\n", - " for query in response.search_queries:\n", - " search_queries.append(query.text)\n", - "\n", - " # If there are search queries, retrieve the documents\n", - " if search_queries:\n", - " print(\"Retrieving information...\", end=\"\")\n", - "\n", - " # Retrieve document chunks for each query\n", - " documents = []\n", - " for query in search_queries:\n", - " documents.extend(vectorstore.retrieve(query))\n", - "\n", - " # Use document chunks to respond\n", - " response = co_br.chat(\n", - " message=message,\n", - " model=\"cohere.command-r-plus-v1:0\",\n", - " documents=documents,\n", - " chat_history=chat_history)\n", - "\n", - " else:\n", - " response = co_br.chat(\n", - " message=message,\n", - " model=\"cohere.command-r-plus-v1:0\",\n", - " chat_history=chat_history)\n", - " \n", - " # Print the chatbot response, citations, and documents\n", - " \n", - " print(\"\\nRESPONSE:\\n\")\n", - " print(response.text)\n", - " \n", - " if response.citations:\n", - " print(\"\\nCITATIONS:\\n\") \n", - " for citation in response.citations:\n", - " print(citation)\n", - " print(\"\\nDOCUMENTS:\\n\") \n", - " for document in response.documents:\n", - " print(document)\n", - " \n", - " chat_history = response.chat_history\n", - "\n", - " return chat_history\n", - " " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here is a sample conversation consisting of a few turns. " - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "RESPONSE:\n", - "\n", - "Of course! I am here to help. Please go ahead and ask your question, and I will do my best to provide a helpful response.\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"Hello, I have a question\")" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Retrieving information...\n", - "RESPONSE:\n", - "\n", - "Zero-shot prompting is when no examples of the task are provided to the model. On the other hand, few-shot prompting is a technique where a model is given a few examples of the task being performed before asking the specific question to be answered.\n", - "\n", - "CITATIONS:\n", - "\n", - "start=0 end=19 text='Zero-shot prompting' document_ids=['doc_0']\n", - "start=28 end=78 text='no examples of the task are provided to the model.' document_ids=['doc_0']\n", - "start=98 end=116 text='few-shot prompting' document_ids=['doc_0']\n", - "start=140 end=197 text='model is given a few examples of the task being performed' document_ids=['doc_0']\n", - "start=205 end=249 text='asking the specific question to be answered.' document_ids=['doc_0']\n", - "\n", - "DOCUMENTS:\n", - "\n", - "{'id': 'doc_0', 'text': 'Few-shot Prompting\\n\\nUnlike the zero-shot examples above, few-shot prompting is a technique that provides a model with examples of the task being performed before asking the specific question to be answered. We can steer the LLM toward a high-quality solution by providing a few relevant and diverse examples in the prompt. Good examples condition the model to the expected response type and style.', 'title': 'Advanced Prompt Engineering Techniques', 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"What's the difference between zero-shot and few-shot prompting\", chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Retrieving information...\n", - "RESPONSE:\n", - "\n", - "Few-shot prompting can vastly improve the quality of the model's completions. By providing a few relevant and diverse examples, the model can be steered toward a high-quality solution. These examples condition the model to the expected response type and style.\n", - "\n", - "CITATIONS:\n", - "\n", - "start=23 end=77 text=\"vastly improve the quality of the model's completions.\" document_ids=['doc_2']\n", - "start=97 end=126 text='relevant and diverse examples' document_ids=['doc_0']\n", - "start=145 end=184 text='steered toward a high-quality solution.' document_ids=['doc_0']\n", - "start=200 end=260 text='condition the model to the expected response type and style.' document_ids=['doc_0']\n", - "\n", - "DOCUMENTS:\n", - "\n", - "{'id': 'doc_2', 'text': 'Advanced Prompt Engineering Techniques\\n\\nSuggest Edits\\n\\nThe previous chapter discussed general rules and heuristics to follow for successfully prompting the Command family of models. Here, we will discuss specific advanced prompt engineering techniques that can in many cases vastly improve the quality of the model’s completions. These include how to give clear and unambiguous instructions, few-shot prompting, chain-of-thought (CoT) techniques, and prompt chaining.', 'title': 'Advanced Prompt Engineering Techniques', 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}\n", - "{'id': 'doc_0', 'text': 'Few-shot Prompting\\n\\nUnlike the zero-shot examples above, few-shot prompting is a technique that provides a model with examples of the task being performed before asking the specific question to be answered. We can steer the LLM toward a high-quality solution by providing a few relevant and diverse examples in the prompt. Good examples condition the model to the expected response type and style.', 'title': 'Advanced Prompt Engineering Techniques', 'url': 'https://docs.cohere.com/docs/advanced-prompt-engineering-techniques'}\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"How would the latter help?\", chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Retrieving information...\n", - "RESPONSE:\n", - "\n", - "Sorry, I don't have any information about 5G networks. Is there anything else you would like to ask?\n" - ] - } - ], - "source": [ - "chat_history = run_chatbot(\"What do you know about 5G networks?\", chat_history)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "There are a few observations worth pointing out:\n", - "\n", - "- Direct response: For user messages that don’t require retrieval (“Hello, I have a question”), the chatbot responds directly without requiring retrieval.\n", - "- Citation generation: For responses that do require retrieval (\"What's the difference between zero-shot and few-shot prompting\"), the endpoint returns the response together with the citations. These are fine-grained citations, which means they refer to specific spans of the generated text.\n", - "- State management: The endpoint maintains the state of the conversation via the chat_history parameter, for example, by correctly responding to a vague user message such as \"How would the latter help?\"\n", - "- Response synthesis: The model can decide if none of the retrieved documents provide the necessary information to answer a user message. For example, when asked the question, “What do you know about 5G networks”, the chatbot retrieves external information from the index. However, it doesn’t use any of the information in its response as none of it is relevant to the question." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here are the contents of the chat history." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Chat history:\n", - "message='Hello, I have a question' tool_calls=None role='USER' \n", - "\n", - "message='Of course! I am here to help. Please go ahead and ask your question, and I will do my best to provide a helpful response.' tool_calls=None role='CHATBOT' \n", - "\n", - "message=\"What's the difference between zero-shot and few-shot prompting\" tool_calls=None role='USER' \n", - "\n", - "message='Zero-shot prompting is when no examples of the task are provided to the model. On the other hand, few-shot prompting is a technique where a model is given a few examples of the task being performed before asking the specific question to be answered.' tool_calls=None role='CHATBOT' \n", - "\n", - "message='How would the latter help?' tool_calls=None role='USER' \n", - "\n", - "message=\"Few-shot prompting can vastly improve the quality of the model's completions. By providing a few relevant and diverse examples, the model can be steered toward a high-quality solution. These examples condition the model to the expected response type and style.\" tool_calls=None role='CHATBOT' \n", - "\n", - "message='What do you know about 5G networks?' tool_calls=None role='USER' \n", - "\n", - "message=\"Sorry, I don't have any information about 5G networks. Is there anything else you would like to ask?\" tool_calls=None role='CHATBOT' \n", - "\n", - "==================================================\n" - ] - } - ], - "source": [ - "print(\"Chat history:\")\n", - "for c in chat_history:\n", - " print(c, \"\\n\")\n", - "print(\"=\"*50)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This notebook demonstrated how to create a RAG application using Cohere Chat and Embed on Amazon Bedrock and Cohere Rerank on Amazon SageMaker. RAG enhances LLMs by enabling them to integrate external data sources and reduce hallucination, resulting in more accurate and reliable responses.\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/co_aws_ch6_rag_bedrock_sm.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/co_aws_ch7_tool_use.ipynb b/notebooks/llmu/co_aws_ch7_tool_use.ipynb index 5060f647..813467c6 100644 --- a/notebooks/llmu/co_aws_ch7_tool_use.ipynb +++ b/notebooks/llmu/co_aws_ch7_tool_use.ipynb @@ -1,448 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - " \"Open" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Text Generation Using Cohere Command on Amazon Bedrock" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Tool use extends the ideas in RAG by making it possible to build applications that not only can answer questions but can also automate tasks.\n", - "\n", - "With a tool use approach, external systems are used to guide an LLM’s response, but by leveraging a much bigger set of tools than what’s possible with RAG. The concept of tool use expands on LLMs' useful feature of being able to act as a reasoning and decision-making engine. Tool use also enables developers to build applications that can take actions, that is, capable of doing both read and write operations on an external system.\n", - "\n", - "In this notebook, we'll see how to build an application that leverages tool use. We’ll use Cohere's Command R+ model on Amazon Bedrock. We'll build an onboarding assistant that helps new hires to a fictitious company called Co1t get set up in their first week. The assistant can help answer user questions about the company, search for information from e-mails, and create meeting appointments." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let's install and import the necessary libraries and set up our Cohere client using the cohere SDK. To use Bedrock, we create a BedrockClient by passing the necessary AWS credentials." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "\n", - "# Create Bedrock client via the native Cohere SDK\n", - "# Contact your AWS administrator for the credentials\n", - "co = cohere.BedrockClient(\n", - " aws_region=\"YOUR_AWS_REGION\",\n", - " aws_access_key=\"YOUR_AWS_ACCESS_KEY_ID\",\n", - " aws_secret_key=\"YOUR_AWS_SECRET_ACCESS_KEY\",\n", - " aws_session_token=\"YOUR_AWS_SESSION_TOKEN\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Set up the tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The pre-requisite, before we can run a tool use workflow, is to set up the tools. Let's create three tools. Here, we are defining a Python function for each tool, but more broadly, the tool can be any function or service that can receive and send objects." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "def search_faqs(query):\n", - " faqs = [\n", - " {\"text\" : \"Submitting Travel Expenses:\\nSubmit your expenses through our user-friendly finance tool.\"},\n", - " {\"text\" : \"Side Projects Policy:\\nWe encourage you to explore your passions! Just ensure there's no conflict of interest with our business.\"},\n", - " {\"text\" : \"Wellness Benefits:\\nTo promote a healthy lifestyle, we provide gym memberships, on-site yoga classes, and health insurance.\"}\n", - " ]\n", - " return {\"faqs\" : faqs}\n", - "\n", - "def search_emails(query):\n", - " emails = [\n", - " {\"from\": \"hr@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"A Warm Welcome to Co1t, David!\", \"text\": \"We are delighted to have you on board. Please find attached your first week's agenda.\"},\n", - " {\"from\": \"it@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"Instructions for IT Setup\", \"text\": \"Welcome, David! To get you started, please follow the attached guide to set up your work accounts.\"},\n", - " {\"from\": \"john@co1t.com\", \"to\": \"david@co1t.com\", \"date\": \"2024-06-24\", \"subject\": \"First Week Check-In\", \"text\": \"Hi David, let's chat briefly tomorrow to discuss your first week. Also, come join us for lunch this Thursday at noon to meet everyone!\"},\n", - " ]\n", - " return {\"emails\" : emails}\n", - " \n", - "def create_calendar_event(date: str, time: str, duration: int):\n", - " # You can implement any logic here\n", - " return {\"is_success\": True,\n", - " \"message\": f\"Created a {duration} hour long event at {time} on {date}\"}\n", - " \n", - "functions_map = {\n", - " \"search_faqs\": search_faqs,\n", - " \"search_emails\": search_emails,\n", - " \"create_calendar_event\": create_calendar_event\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The next step is to define the tool schemas in a format that can be passed to the Chat endpoint. The schema must contain the following fields: `name`, `description`, and `parameter_definitions` in the format shown below." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " {\n", - " \"name\": \"search_faqs\",\n", - " \"description\": \"Given a user query, searches a company's frequently asked questions (FAQs) list and returns the most relevant matches to the query.\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"The query from the user\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }, \n", - " {\n", - " \"name\": \"search_emails\",\n", - " \"description\": \"Given a user query, searches a person's emails and returns the most relevant matches to the query.\",\n", - " \"parameter_definitions\": {\n", - " \"query\": {\n", - " \"description\": \"The query from the user\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }, \n", - " {\n", - " \"name\": \"create_calendar_event\",\n", - " \"description\": \"Creates a new calendar event of the specified duration at the specified time and date. A new event cannot be created on the same time as an existing event.\",\n", - " \"parameter_definitions\": {\n", - " \"date\": {\n", - " \"description\": \"the date on which the event starts, formatted as mm/dd/yy\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"time\": {\n", - " \"description\": \"the time of the event, formatted using 24h military time formatting\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"duration\": {\n", - " \"description\": \"the number of hours the event lasts for\",\n", - " \"type\": \"float\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Run the tool use workflow" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can now run the tool use workflow. We can think of a tool use system as consisting of four components:\n", - "- The user\n", - "- The application\n", - "- The LLM\n", - "- The tools\n", - "\n", - "At its most basic, these four components interact in a workflow through four steps:\n", - "- **Step 1: Get user message** – The LLM gets the user message (via the application)\n", - "- **Step 2: Generate tool calls** – The LLM makes a decision on the tools to call (if any) and generates - the tool calls\n", - "- **Step 3: Get tool results** - The tools are executed by the application and the results are sent to the LLM\n", - "- **Step 4: Generate response and citations** – The LLM generates the response and citations to back to the user\n", - "\n", - "Let's create a function called `run_assistant` to implement these steps, and along the way, print out the key events and messages. Optionally, this function also accepts the chat history as an argument to keep the state in a multi-turn conversation. " - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"cohere.command-r-plus-v1:0\"\n", - "\n", - "preamble=\"\"\"## Task and Context\n", - "You are an assistant who assist new employees of Co1t with their first week. You respond to their questions and assist them with their needs. Today is Monday, June 24, 2024\"\"\"\n", - "\n", - "def run_assistant(message, chat_history=None):\n", - " \n", - " if chat_history is None:\n", - " chat_history = []\n", - " \n", - " # Step 1: get user message\n", - " print(f\"Question:\\n{message}\")\n", - " print(\"=\"*50)\n", - "\n", - " # Step 2: Generate tool calls (if any) \n", - " response = co.chat(\n", - " message=message,\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " chat_history=chat_history\n", - " )\n", - "\n", - " while response.tool_calls:\n", - " tool_calls = response.tool_calls\n", - " \n", - " if response.text:\n", - " print(\"Tool plan:\")\n", - " print(response.text,\"\\n\")\n", - " print(\"Tool calls:\")\n", - " for call in tool_calls:\n", - " print(f\"Tool name: {call.name} | Parameters: {call.parameters}\")\n", - " print(\"=\"*50)\n", - " \n", - " # Step 3: Get tool results\n", - " tool_results = []\n", - " for tc in tool_calls:\n", - " tool_call = {\"name\": tc.name, \"parameters\": tc.parameters}\n", - " tool_output = functions_map[tc.name](**tc.parameters)\n", - " tool_results.append({\"call\": tool_call, \"outputs\": [tool_output]})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " message=\"\",\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - " )\n", - "\n", - " chat_history = response.chat_history\n", - " \n", - " # Print final response\n", - " print(\"RESPONSE:\\n\")\n", - " print(response.text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " if response.citations:\n", - " print(\"\\nCITATIONS:\\n\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - "\n", - " print(\"\\nCITED REFERENCES:\\n\")\n", - " for document in response.documents:\n", - " print(document)\n", - " \n", - " return chat_history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Single-step" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Cohere supports running tool use in single-step and multi-step modes. In a single-step scenario, the model will make only one round of tool calling. Having said that, within this one round, the model can decide to call multiple tools in parallel. This can be calling the same tool multiple times, calling different tools, or a combination of both.\n", - "\n", - "Let’s look at an example of a new hire asking about IT access and travel expensing.\n", - "\n", - "Given three tools to choose from, the model is able to pick the right tools (in this case, `search_faqs` and `search_emails`) based on what the user is asking for.\n", - "\n", - "Also, notice that the model first generates a plan about what it should do (\"I will do ...\") before actually generating the tool call(s).\n", - "\n", - "Additionally, the model also generates fine-grained citations in tool use mode based on the tool results it receives, the same way we saw with RAG." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Any emails about setting up IT access? Also, how do I submit travel expenses?\n", - "==================================================\n", - "Tool plan:\n", - "I will search for emails about setting up IT access and travel expenses. \n", - "\n", - "Tool calls:\n", - "Tool name: search_emails | Parameters: {'query': 'IT access'}\n", - "Tool name: search_emails | Parameters: {'query': 'travel expenses'}\n", - "==================================================\n", - "RESPONSE:\n", - "\n", - "You have an email from *it@co1t.com* with the subject \"Instructions for IT Setup\". It contains an attached guide to set up your work accounts. \n", - "\n", - "To submit travel expenses, you can send an email to *expenses@co1t.com*.\n", - "==================================================\n", - "\n", - "CITATIONS:\n", - "\n", - "start=23 end=35 text='*it@co1t.com' document_ids=['search_emails:0:2:0', 'search_emails:1:2:0']\n", - "start=54 end=80 text='\"Instructions for IT Setup' document_ids=['search_emails:0:2:0', 'search_emails:1:2:0']\n", - "start=98 end=141 text='attached guide to set up your work accounts' document_ids=['search_emails:0:2:0', 'search_emails:1:2:0']\n", - "\n", - "CITED REFERENCES:\n", - "\n", - "{'emails': '[{\"date\":\"2024-06-24\",\"from\":\"hr@co1t.com\",\"subject\":\"A Warm Welcome to Co1t, David!\",\"text\":\"We are delighted to have you on board. Please find attached your first week\\'s agenda.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"it@co1t.com\",\"subject\":\"Instructions for IT Setup\",\"text\":\"Welcome, David! To get you started, please follow the attached guide to set up your work accounts.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"john@co1t.com\",\"subject\":\"First Week Check-In\",\"text\":\"Hi David, let\\'s chat briefly tomorrow to discuss your first week. Also, come join us for lunch this Thursday at noon to meet everyone!\",\"to\":\"david@co1t.com\"}]', 'id': 'search_emails:0:2:0', 'tool_name': 'search_emails'}\n", - "{'emails': '[{\"date\":\"2024-06-24\",\"from\":\"hr@co1t.com\",\"subject\":\"A Warm Welcome to Co1t, David!\",\"text\":\"We are delighted to have you on board. Please find attached your first week\\'s agenda.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"it@co1t.com\",\"subject\":\"Instructions for IT Setup\",\"text\":\"Welcome, David! To get you started, please follow the attached guide to set up your work accounts.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"john@co1t.com\",\"subject\":\"First Week Check-In\",\"text\":\"Hi David, let\\'s chat briefly tomorrow to discuss your first week. Also, come join us for lunch this Thursday at noon to meet everyone!\",\"to\":\"david@co1t.com\"}]', 'id': 'search_emails:1:2:0', 'tool_name': 'search_emails'}\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Any emails about setting up IT access? Also, how do I submit travel expenses?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multi-step" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Cohere supports running more complex tasks in tool use – tasks that require tool calls to happen in a sequence. This is referred to as \"multi-step\" tool use.\n", - "\n", - "To illustrate this, let's ask the assistant to block time for any lunch invites received in the email.\n", - "\n", - "Here, we see the assistant running these steps:\n", - "- First, it calls the search_emails tool to find any lunch invites, which it found one.\n", - "- Next, it calls the create_calendar_event tool to create an event to block the person's calendar on the day mentioned by the email.\n", - "\n", - "This is also an example of tool use enabling a write operation instead of just a read operation that we saw with RAG." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Can you check if there are any lunch invites, and for those days, block an hour on my calendar from 12-1PM.\n", - "==================================================\n", - "Tool plan:\n", - "I will search the user's emails for lunch invites, and then create calendar events for the dates of the invites. \n", - "\n", - "Tool calls:\n", - "Tool name: search_emails | Parameters: {'query': 'lunch invite'}\n", - "==================================================\n", - "Tool plan:\n", - "I have found an email from John inviting David for lunch on Thursday at noon. I will now create a calendar event for this lunch. \n", - "\n", - "Tool calls:\n", - "Tool name: create_calendar_event | Parameters: {'date': '06/27/24', 'duration': 1, 'time': '12:00'}\n", - "==================================================\n", - "RESPONSE:\n", - "\n", - "I have found an email from John inviting you for lunch on Thursday at noon. I have created a calendar event for this lunch.\n", - "==================================================\n", - "\n", - "CITATIONS:\n", - "\n", - "start=16 end=31 text='email from John' document_ids=['search_emails:0:2:0']\n", - "start=49 end=74 text='lunch on Thursday at noon' document_ids=['search_emails:0:2:0']\n", - "start=93 end=107 text='calendar event' document_ids=['create_calendar_event:0:4:0']\n", - "\n", - "CITED REFERENCES:\n", - "\n", - "{'emails': '[{\"date\":\"2024-06-24\",\"from\":\"hr@co1t.com\",\"subject\":\"A Warm Welcome to Co1t, David!\",\"text\":\"We are delighted to have you on board. Please find attached your first week\\'s agenda.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"it@co1t.com\",\"subject\":\"Instructions for IT Setup\",\"text\":\"Welcome, David! To get you started, please follow the attached guide to set up your work accounts.\",\"to\":\"david@co1t.com\"},{\"date\":\"2024-06-24\",\"from\":\"john@co1t.com\",\"subject\":\"First Week Check-In\",\"text\":\"Hi David, let\\'s chat briefly tomorrow to discuss your first week. Also, come join us for lunch this Thursday at noon to meet everyone!\",\"to\":\"david@co1t.com\"}]', 'id': 'search_emails:0:2:0', 'tool_name': 'search_emails'}\n", - "{'id': 'create_calendar_event:0:4:0', 'is_success': 'true', 'message': 'Created a 1 hour long event at 12:00 on 06/27/24', 'tool_name': 'create_calendar_event'}\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Can you check if there are any lunch invites, and for those days, block an hour on my calendar from 12-1PM.\")\n", - "# Answer: Thursday, June 27, 2024" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This notebook demonstrated how to use Command R+ on Amazon Bedrock in an application that implements tool use. We covered the steps to create and define the tools, and looked at how tool use works in single-step and multi-step scenarios." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/co_aws_ch7_tool_use.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/co_aws_ch8_ft_command.ipynb b/notebooks/llmu/co_aws_ch8_ft_command.ipynb index 04a95b80..22f79610 100644 --- a/notebooks/llmu/co_aws_ch8_ft_command.ipynb +++ b/notebooks/llmu/co_aws_ch8_ft_command.ipynb @@ -1,570 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - " \"Open" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Finetune and deploy a custom Command-R model\n", - "\n", - "This sample notebook shows you how to finetune and deploy a custom Command-R model using Amazon SageMaker.\n", - "\n", - "> **Note**: This is a reference notebook and it cannot run unless you make changes suggested in the notebook.\n", - "\n", - "## Pre-requisites:\n", - "1. **Note**: This notebook contains elements which render correctly in Jupyter interface. Open this notebook from an Amazon SageMaker Notebook Instance or Amazon SageMaker Studio.\n", - "1. Ensure that IAM role used has **AmazonSageMakerFullAccess**\n", - "1. To deploy this ML model successfully, ensure that:\n", - " 1. Either your IAM role has these three permissions and you have authority to make AWS Marketplace subscriptions in the AWS account used: \n", - " 1. **aws-marketplace:ViewSubscriptions**\n", - " 1. **aws-marketplace:Unsubscribe**\n", - " 1. **aws-marketplace:Subscribe** \n", - " 2. or your AWS account has a subscription to the packages for [Cohere Command Finetuning](TODO). If so, skip step: [Subscribe to the finetune algorithm](#1.-Subscribe-to-the-finetune-algorithm)\n", - "\n", - "## Contents:\n", - "1. [Subscribe to the finetune algorithm](#1.-Subscribe-to-the-finetune-algorithm)\n", - "2. [Upload data and finetune Command-R Model](#2.-Upload-data-and-finetune-Command-R)\n", - "3. [Create an endpoint for inference with the custom model](#3.-Create-an-endpoint-for-inference-with-the-custom-model)\n", - " 1. [Create an endpoint](#A.-Create-an-endpoint)\n", - " 2. [Perform real-time inference](#B.-Perform-real-time-inference)\n", - "4. [Clean-up](#4.-Clean-up)\n", - " 1. [Delete the endpoint](#A.-Delete-the-endpoint)\n", - " 2. [Unsubscribe to the listing (optional)](#Unsubscribe-to-the-listing-(optional))\n", - " \n", - "\n", - "## Usage instructions\n", - "You can run this notebook one cell at a time (By using Shift+Enter for running a cell)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 1. Subscribe to the finetune algorithm" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To subscribe to the model algorithm:\n", - "1. Open the algorithm listing page [Cohere Command Finetuning](TODO)\n", - "2. On the AWS Marketplace listing, click on the **Continue to Subscribe** button.\n", - "3. On the **Subscribe to this software** page, review and click on **\"Accept Offer\"** if you and your organization agrees with EULA, pricing, and support terms. \n", - "4. Once you click on **Continue to configuration** button and then choose a **region**, you will see a **Product Arn** displayed. This is the algorithm ARN that you need to specify while creating a finetune or deploying the finetuned model as an endpoint using boto3. Copy the ARN corresponding to your region and specify the same in the following cell." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere-aws -q" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "scrolled": true - }, - "outputs": [], - "source": [ - "import os\n", - "from cohere_aws import Client\n", - "import boto3\n", - "import sagemaker as sage\n", - "from sagemaker.s3 import S3Uploader\n", - "\n", - "## Set environment variables with the AWS credentials\n", - "os.environ['AWS_ACCESS_KEY_ID'] = \"YOUR_AWS_ACCESS_KEY_ID\"\n", - "os.environ['AWS_SECRET_ACCESS_KEY'] = \"YOUR_AWS_SECRET_ACCESS_KEY\"\n", - "os.environ['AWS_SESSION_TOKEN'] = \"YOUR_AWS_SESSION_TOKEN\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The algorithm is available in the list of AWS regions specified below." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "region = boto3.Session().region_name\n", - "\n", - "cohere_package = \"cohere-command-r-ft-v-0-1-2-bae2282f0f4a30bca8bc6fea9efeb7ca\"\n", - "\n", - "# Mapping for algorithms\n", - "algorithm_map = {\n", - " \"us-east-1\": f\"arn:aws:sagemaker:us-east-1:865070037744:algorithm/{cohere_package}\",\n", - " \"us-east-2\": f\"arn:aws:sagemaker:us-east-2:057799348421:algorithm/{cohere_package}\",\n", - " \"us-west-2\": f\"arn:aws:sagemaker:us-west-2:594846645681:algorithm/{cohere_package}\",\n", - " \"eu-central-1\": f\"arn:aws:sagemaker:eu-central-1:446921602837:algorithm/{cohere_package}\",\n", - " \"ap-southeast-1\": f\"arn:aws:sagemaker:ap-southeast-1:192199979996:algorithm/{cohere_package}\",\n", - " \"ap-southeast-2\": f\"arn:aws:sagemaker:ap-southeast-2:666831318237:algorithm/{cohere_package}\",\n", - " \"ap-northeast-1\": f\"arn:aws:sagemaker:ap-northeast-1:977537786026:algorithm/{cohere_package}\",\n", - " \"ap-south-1\": f\"arn:aws:sagemaker:ap-south-1:077584701553:algorithm/{cohere_package}\",\n", - "}\n", - "if region not in algorithm_map.keys():\n", - " raise Exception(f\"Current boto3 session region {region} is not supported.\")\n", - "\n", - "arn = algorithm_map[region]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 2. Upload data and finetune Command-R\n", - "\n", - "Select a path on S3 to store the training and evaluation datasets and update the **s3_data_dir** below:" - ] - }, - { - "cell_type": "code", - "execution_count": 63, - "metadata": {}, - "outputs": [], - "source": [ - "s3_data_dir = f\"s3://YOUR_S3_DATA_PATH\" # Do not add a trailing slash otherwise the upload will not work" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Upload sample training data to S3:\n", - "\n", - "### Note:\n", - "\n", - "You'll need your data in a .jsonl file that contains prompt-completion pairs as your examples. [Doc](https://docs.cohere.com/docs/chat-preparing-the-data?_gl=1*1e7yk91*_gcl_au*MTI2MjAwNTE3Ni4xNzExNjQ3Mjgx*_ga*MTU3OTEwNjY0MC4xNjk2MDIxNjA4*_ga_CRGS116RZS*MTcxNDA4NTk4OS40OTIuMS4xNzE0MDg2MDM1LjE0LjAuMA..#data-requirements)\n", - "\n", - "\n", - "### Example:\n", - "\n", - "JSONL:\n", - "```\n", - "{'messages': \n", - " [{'role': 'System',\n", - " 'content': 'You are a chatbot trained to answer to my every question.'\n", - " },\n", - " {'role': 'User',\n", - " 'content': 'Hello'\n", - " },\n", - " {'role': 'Chatbot',\n", - " 'content': 'Greetings! How can I help you?'\n", - " },\n", - "\t{'role': 'User',\n", - " 'content': 'What makes a good running route?'\n", - " },\n", - " {'role': 'Chatbot',\n", - " 'content': 'A sidewalk-lined road is ideal so that you’re up and off the road away from vehicular traffic.'\n", - " }\n", - " ]\n", - "}\n", - "```\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "sess = sage.Session()\n", - "# TODO[Optional]: change it to your data\n", - "train_dataset = S3Uploader.upload(\"./sample_finetune_scienceQA_train.jsonl\", s3_data_dir, sagemaker_session=sess)\n", - "eval_dataset = S3Uploader.upload(\"./sample_finetune_scienceQA_eval.jsonl\", s3_data_dir, sagemaker_session=sess)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "**Note:** Repeat the for the same for the evaluation dataset if you have one. If absent, we will auto-split the training dataset into training and evaluation datasets with the ratio of 80:20.\n", - "\n", - "Remember the dataset must contain at least 32 examples. If an evaluation dataset is provided, both training and evaluation datasets must contain at least 16 examples. The above split ratio is overwritten if the evaluation split is lesser than 16 examples. So for a dataset of size 50 the evaluation is 16 examples and the remaining 34 examples are used for training.\n", - "\n", - "We recommend using a dataset than contains at least 100 examples but a larger dataset is likely to yield high quality finetunes. Be aware that a larger dataset would mean that the time to finetune would also be longer." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Specify a directory on S3 where finetuned models should be stored. Make sure you *do not reuse the same directory* across multiple runs. " - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "# TODO update this with a custom S3 path\n", - "# DO NOT re-use the same s3 directory for multiple finetunes\n", - "# DO NOT add a trailing slash at the end\n", - "s3_models_dir = f\"s3://YOUR_S3_MODEL_PATH\" " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Create Cohere client:" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "co = Client(region_name=region)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### Optional: Define hyperparameters\n", - "\n", - "- `train_epochs`: This is the maximum number of training epochs to run for. Defaults to **1**.\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 1 | 1 | 10 |\n", - "- `learning_rate`: The initial learning rate to be used during training. Default to **0.01**\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 0.01 | 0.000005 | 0.1 |\n", - "- `train_batch_size`: The batch size used during training. Defaults to **16** for Command.\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 16 | 8 | 32 |\n", - "- `early_stopping_patience`: Stop training if the loss metric does not improve beyond 'early_stopping_threshold' for this many times of evaluation. Defaults to **10**\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 10 | 1 | 15 |\n", - "- `early_stopping_threshold`: How much the loss must improve to prevent early stopping. Defaults to **0.001**.\n", - "| Default | Min | Max |\n", - "| --- | --- | --- |\n", - "| 0.001 | 0.001 | 0.1 |" - ] - }, - { - "cell_type": "code", - "execution_count": 60, - "metadata": {}, - "outputs": [], - "source": [ - "# Example of how to pass hyperparameters to the fine-tuning job\n", - "train_parameters = {\n", - " \"train_epochs\": 1,\n", - " \"early_stopping_enabled\": False,\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Create fine-tuning jobs for the uploaded datasets. Add a field for `eval_data` if you have pre-split your dataset and uploaded both training and evaluation datasets to S3. Remember to use p4de for Command-R Finetuning." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "INFO:sagemaker:Creating training-job with name: cohere-command-R-ft-v-0-1-1-2024-05-07-04-45-52-979\n" - ] + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/co_aws_ch8_ft_command.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "2024-05-07 04:45:53 Starting - Starting the training job...\n", - "2024-05-07 04:46:01 Pending - Training job waiting for capacity...\n", - "2024-05-07 04:46:39 Pending - Preparing the instances for training........................\n", - "2024-05-07 04:50:49 Downloading - Downloading input data........................................................................................................................................................................\n", - "2024-05-07 05:18:40 Training - Training image download completed. Training in progress.........\u001b[34mINFO:root:Loading weights from /opt/ml/additonals3data\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 7]Finished loading all variables in 89.23 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 6]Finished loading all variables in 89.34 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 2]Finished loading all variables in 90.56 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 5]Finished loading all variables in 89.63 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 1]Finished loading all variables in 91.93 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 0]Finished loading all variables in 89.76 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 4]Finished loading all variables in 90.22 s\u001b[0m\n", - "\u001b[34mINFO:root:[Rank 3]Finished loading all variables in 94.28 s\u001b[0m\n", - "\u001b[34mINFO:root:Finished assigning 646 variables from checkpoint\u001b[0m\n", - "\u001b[34mINFO:root:Trainable params: 194560, Non trainable params: 4636421376\u001b[0m\n", - "\u001b[34mINFO:root:Weights loaded from /opt/ml/additonals3data\u001b[0m\n", - "\u001b[34mINFO:root:After removing empty, NA and duplicate documents: 5262\u001b[0m\n", - "\u001b[34mINFO:root:After removing empty, NA and duplicate documents: 1901\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 1, train loss: 0.714844, step time: 10.336927s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 2, train loss: 0.204102, step time: 6.781313s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 3, train loss: 0.208984, step time: 6.751096s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 4, train loss: 0.126953, step time: 6.754856s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 5, train loss: 0.182617, step time: 6.749898s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 6, train loss: 0.124023, step time: 6.750475s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 7, train loss: 0.132812, step time: 6.743358s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 8, train loss: 0.134766, step time: 6.948356s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 9, train loss: 0.120117, step time: 6.746192s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 10, train loss: 0.134766, step time: 6.750047s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 11, train loss: 0.142578, step time: 6.737731s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 12, train loss: 0.190430, step time: 6.743964s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 13, train loss: 0.109863, step time: 6.748515s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 148, train loss: 0.113281, step time: 6.784575s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 149, train loss: 0.082520, step time: 6.785972s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 150, train loss: 0.111328, step time: 6.970726s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 151, train loss: 0.089844, step time: 6.780622s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 152, train loss: 0.070312, step time: 6.781899s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 153, train loss: 0.109375, step time: 6.782448s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 154, train loss: 0.071777, step time: 6.780784s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 155, train loss: 0.068359, step time: 6.777630s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 156, train loss: 0.050049, step time: 6.941135s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 157, train loss: 0.070801, step time: 6.774098s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 158, train loss: 0.107422, step time: 6.972581s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 159, train loss: 0.072754, step time: 6.774719s\u001b[0m\n", - "\u001b[34mINFO:root:Finished step 160, train loss: 0.032715, step time: 6.775016s\u001b[0m\n", - "\u001b[34mINFO:root:Exporting finished.\u001b[0m\n", - "\u001b[34mINFO:root:Model weights exported at /opt/ml/model/finetune/export_test-scienceQA in 135.482641s\u001b[0m\n", - "\n", - "2024-05-07 05:57:48 Uploading - Uploading generated training model\n", - "2024-05-07 05:59:24 Completed - Training job completed\n", - "Training seconds: 4114\n", - "Billable seconds: 4114\n" - ] - } - ], - "source": [ - "finetune_name = \"test-scienceQA\"\n", - "co.create_finetune(arn=arn,\n", - " name=finetune_name,\n", - " train_data=train_dataset,\n", - " eval_data=eval_dataset,\n", - " s3_models_dir=s3_models_dir,\n", - " instance_type=\"ml.p4de.24xlarge\",\n", - " training_parameters=train_parameters\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The finetuned weights for the above will be store in a tar file `{s3_models_dir}/sample-finetune.tar.gz` where the file name is the same as the name used during the creation of the finetune." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 3. Create an endpoint for inference with the custom model" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### A. Create an endpoint\n", - "\n", - "The Cohere AWS SDK provides a built-in method for creating an endpoint for inference. This will automatically deploy the model you finetuned earlier.\n", - "\n", - "> **Note**: This is equivalent to creating and deploying a `ModelPackage` in SageMaker's SDK.\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "endpoint_name=\"command-finetune-test-scienceQA\"\n", - "co.create_endpoint(arn=arn,\n", - " endpoint_name=endpoint_name,\n", - " s3_models_dir=s3_models_dir,\n", - " recreate=True,\n", - " instance_type=\"ml.p4de.24xlarge\")\n", - "\n", - "# If the endpoint is already created, you just need to connect to it\n", - "# co.connect_to_endpoint(endpoint_name=endpoint_name)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### B. Perform real-time inference\n", - "\n", - "Now, you can access all models deployed on the endpoint for inference:" - ] - }, - { - "cell_type": "code", - "execution_count": 86, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "cohere.Chat {\n", - "\tresponse_id: ba6be7d5-0509-4038-adfb-7de695c264b0\n", - "\tgeneration_id: 3b509b4f-b6b3-4eee-ae5d-ccb5e46f8822\n", - "\ttext: C\n", - "\tchat_history: [{'role': 'USER', 'message': 'Select the best estimate.\\nQuestion: How long is a guitar?\\nOptions:(A) 32 feet (B) 32 yards (C) 32 inches (D) 32 miles\\nAnswer:'}, {'role': 'CHATBOT', 'message': 'C'}]\n", - "\tpreamble: None\n", - "\tfinish_reason: COMPLETE\n", - "\ttoken_count: None\n", - "\ttool_calls: None\n", - "\tcitations: None\n", - "\tdocuments: None\n", - "\tsearch_results: None\n", - "\tsearch_queries: None\n", - "\tis_search_required: None\n", - "}\n" - ] - } - ], - "source": [ - "message = \"Select the best estimate.\\nQuestion: How long is a guitar?\\nOptions:(A) 32 feet (B) 32 yards (C) 32 inches (D) 32 miles\\nAnswer:\"\n", - "\n", - "result = co.chat(message=message, return_prompt=True)\n", - "print(result)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now let's evaluate our finetuned model using the evaluation dataset" - ] - }, - { - "cell_type": "code", - "execution_count": 89, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "100%|██████████| 2095/2095 [01:35<00:00, 21.83it/s]" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Accuracy of finetuned model is 0.8310\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "\n" - ] - } - ], - "source": [ - "import json\n", - "from tqdm import tqdm\n", - "total = 0\n", - "correct = 0\n", - "for line in tqdm(open('./sample_finetune_scienceQA_eval.jsonl').readlines()):\n", - " total += 1\n", - " question_answer_json = json.loads(line)\n", - " question = question_answer_json[\"messages\"][0][\"content\"]\n", - " answer = question_answer_json[\"messages\"][1][\"content\"]\n", - " model_ans = co.chat(message=question, temperature=0, k=1).text\n", - " if model_ans == answer:\n", - " correct +=1\n", - "\n", - "print(f\"Accuracy of finetuned model is %.4f\" % (correct / total))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 4. Clean-up" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### A. Delete the endpoint\n", - "\n", - "After you've successfully performed inference, you can delete the deployed endpoint to avoid being charged continuously. This can also be done via the Cohere AWS SDK:" - ] - }, - { - "cell_type": "code", - "execution_count": 76, - "metadata": {}, - "outputs": [], - "source": [ - "co.delete_endpoint()\n", - "co.close()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Unsubscribe to the listing (optional)\n", - "\n", - "If you would like to unsubscribe to the model package, follow these steps. Before you cancel the subscription, ensure that you do not have any [deployable models](https://console.aws.amazon.com/sagemaker/home#/models) created from the model package or using the algorithm. Note - You can find this information by looking at the container name associated with the model. \n", - "\n", - "**Steps to unsubscribe to product from AWS Marketplace**:\n", - "1. Navigate to __Machine Learning__ tab on [__Your Software subscriptions page__](https://aws.amazon.com/marketplace/ai/library?productType=ml&ref_=mlmp_gitdemo_indust)\n", - "2. Locate the listing that you want to cancel the subscription for, and then choose __Cancel Subscription__ to cancel the subscription.\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - }, - "vscode": { - "interpreter": { - "hash": "3b57b3736fb00bc0deb03789040183ddbda4c9eb8e8f6bef7ea4333bc64826af" - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/examples/deploy_streamlit/readme.md b/notebooks/llmu/examples/deploy_streamlit/readme.md index 039fb0d1..4685a630 100644 --- a/notebooks/llmu/examples/deploy_streamlit/readme.md +++ b/notebooks/llmu/examples/deploy_streamlit/readme.md @@ -1,15 +1 @@ -# Startup Idea Generator App - -This is the code companion for the LLMU chapter: [Deploying with Streamlit](https://docs.cohere.com/docs/deploying-with-streamlit) - -This example demonstrated how, using Cohere and Streamlit, you can quickly create a basic prototype of an LLM application. - -Before running the app, make sure to install the required dependencies: -```bash -$ pip install -r requirements.txt -``` - -To run the app, enter: -```bash -$ streamlit run app.py -``` \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/readme.md. \ No newline at end of file diff --git a/notebooks/llmu/examples/rag_quickstart_connectors/gdrive/README.md b/notebooks/llmu/examples/rag_quickstart_connectors/gdrive/README.md index 4d18ed11..56becd5e 100644 --- a/notebooks/llmu/examples/rag_quickstart_connectors/gdrive/README.md +++ b/notebooks/llmu/examples/rag_quickstart_connectors/gdrive/README.md @@ -1,88 +1 @@ -# Demo Google Drive Connector - -This is a demo Google Drive connector used in LLM University's Chat with Retrieval-Augmented Generation module. Read the [article](https://txt.cohere.com/rag-chatbot-connector/) to learn more. - -## Limitations - -Currently this connector can only search for Google Docs, Google Sheets, and Google Slide files. It does not support searching for other file types. - -## Authentication - -This connector supports two types of authentication: Service Account and OAuth. - -### Service Account - -For service account authentication this connector requires two environment variables: - -#### `GDRIVE_SERVICE_ACCOUNT_INFO` - -The `GDRIVE_SERVICE_ACCOUNT_INFO` variable should contain the JSON content of the service account credentials file. To get the credentials file, follow these steps: - -1. [Create a project in Google Cloud Console](https://cloud.google.com/resource-manager/docs/creating-managing-projects). -2. [Create a service account](https://cloud.google.com/iam/docs/creating-managing-service-accounts) and [activate the Google Drive API](https://console.cloud.google.com/apis/api/drive.googleapis.com) in the Google Cloud Console. -3. [Create a service account key](https://cloud.google.com/iam/docs/creating-managing-service-account-keys) and download the credentials file as JSON. The credentials file should look like this: - -```json -{ - "type": "service_account", - "project_id": "{project id}", - "private_key_id": "{private_key_id}", - "private_key": "{private_key}", - "client_email": "{client_email}", - "client_id": "{client_id}", - "auth_uri": "{auth_uri}", - "token_uri": "{token_uri}", - "auth_provider_x509_cert_url": "{auth_provider_x509_cert_url}", - "client_x509_cert_url": "{client_x509_cert_url}", - "universe_domain": "{universe_domain}" -} -``` - -4. Convert the JSON credentails to a string through `json.dumps(credentials)` and save the result in the `GDRIVE_SERVICE_ACCOUNT_INFO` environment variable. -5. Make sure to [share the folder(s) you want to search with the service account email address](https://support.google.com/a/answer/7337554?hl=en). - -#### `GDRIVE_CONNECTOR_API_KEY` - -The `GDRIVE_CONNECTOR_API_KEY` should contain an API key for the connector. This value must be present in the `Authorization` header for all requests to the connector. - -### OAuth - -When using OAuth for authentication, the connector does not require any additional environment variables. Instead, the OAuth flow should occur outside of the Connector and Cohere's API will forward the user's access token to this connector through the `Authorization` header. - -With OAuth the connector will be able to search any Google Drive folders that the user has access to. - -## Optional Configuration - -This connector also supports a few optional environment variables to configure the search: - -1. `GDRIVE_SEARCH_LIMIT` - Number of results to return. Default is 10. -2. `GDRIVE_FOLDER_ID` - ID of the folder to search in. If not provided, the search will be performed in the whole drive. - -## Development - -Create a virtual environment and install dependencies with poetry. We recommend using in-project virtual environments: - -```bash - $ poetry config virtualenvs.in-project true - $ poetry install --no-root -``` - -Next, start up the search connector server: - -```bash - $ poetry shell - $ flask --app provider --debug run --port 5000 -``` - -and check with curl to see that everything works: - -```bash - $ curl --request POST \ - --url http://localhost:5000/search \ - --header 'Content-Type: application/json' \ - --data '{ - "query": "stainless propane griddle" - }' -``` - -Alternatively, load up the Swagger UI and try out the API from a browser: http://localhost:5000/ui/ +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/README.md. \ No newline at end of file diff --git a/notebooks/llmu/multi_step_tool_use.ipynb b/notebooks/llmu/multi_step_tool_use.ipynb index 521e1e0d..3ea43925 100644 --- a/notebooks/llmu/multi_step_tool_use.ipynb +++ b/notebooks/llmu/multi_step_tool_use.ipynb @@ -1,600 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Multi-Step Tool Use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "*Read the accompanying [article here](https://cohere.ai/blog/multi-step-tool-use/).*\n", - "\n", - "Multi-step tool use happens when more than one tool is required, and the output of one tool is needed as the input to another tool.\n", - "\n", - "In other words, tool-calling needs to happen in a sequence.\n", - "\n", - "This is especially true in complex workflows where tasks have to be broken down into a sequence of steps.\n", - "\n", - "In this notebook, we’ll build a calendar assistant that can check a user’s calendar and create new appointments based on available times. This use case requires tool calls to happen in sequence, i.e., multi-step.\n", - "\n", - "It is also an example of using tools for performing not just a read operation (retrieving information) but also a write operation (taking actions).\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let’s install the Cohere Python SDK and set up the Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import json\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Create Tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now create two tools:\n", - "- A function called `list_calendar_events` to list the existing calendar events based on a given date. For simplicity, we are not going to connect to an actual calendar. So we’ll include a mock events record, which is a simple list of events in a day.\n", - "- A function called `create_calendar_event` to create a new calendar event based on the provided date, time, and duration. Again, to keep things simple, we are not going to make actual changes to a database, but instead will just return a simple success message for illustration purposes." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Define the tools\n", - "\n", - "def list_calendar_events(date: str):\n", - " events = [{\"start\": \"8:00\", \"end\": \"8:59\"}, {\"start\": \"9:00\", \"end\": \"9:59\"}, {\"start\": \"11:00\", \"end\": \"11:59\"},{\"start\": \"12:00\", \"end\": \"12:59\"}]\n", - "\n", - " return {\n", - " \"existing_events\": events\n", - " }\n", - "\n", - "def create_calendar_event(date: str, time: str, duration: int):\n", - " \n", - " return {\n", - " \"is_success\": True,\n", - " \"message\": f\"Created a {duration} hour long event at {time} on {date}\"\n", - " }\n", - "\n", - "functions_map = {\n", - " \"list_calendar_events\": list_calendar_events,\n", - " \"create_calendar_event\": create_calendar_event\n", - "}\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we define the tool schema for the two tools." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " {\n", - " \"name\": \"list_calendar_events\",\n", - " \"description\": \"Returns a list of existing events for the specified date, including the start time and end time for each event.\",\n", - " \"parameter_definitions\": {\n", - " \"date\": {\n", - " \"description\": \"the date to list events for, formatted as mm/dd/yy\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }, \n", - " {\n", - " \"name\": \"create_calendar_event\",\n", - " \"description\": \"Creates a new calendar event of the specified duration at the specified time and date. A new event cannot be created on the same time as an existing event.\",\n", - " \"parameter_definitions\": {\n", - " \"date\": {\n", - " \"description\": \"the date on which the event starts, formatted as mm/dd/yy\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"time\": {\n", - " \"description\": \"the time of the event, formatted using 24h military time formatting\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " },\n", - " \"duration\": {\n", - " \"description\": \"the number of hours the event lasts for\",\n", - " \"type\": \"float\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s also create a custom preamble. The important part here is mentioning the date since the `list_calendar_events` tool is a simple enough version that it doesn’t do any date-handling. What we’re doing is nudging the model to use this date for the create_calendar_event tool call, which requires a date field." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "preamble=\"\"\"## Task & Context\n", - "You are a calendar assistant who helps people schedule events on their calendar. You must make sure that a new event does not overlap with any existing event.\n", - "Today is Thursday, May 23, 2024\n", - "\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's create a `run_assistant` function that does the following:\n", - "- Get the user message (Step 1)\n", - "- Call the Chat endpoint for tool call generation (Step 2)\n", - "- If the response contains at least one tool call, execute the tool call(s) and get the tool results (Step 3)\n", - "- Repeat Steps 2 and 3 until there are no more tool calls\n", - "- Generate the final response with citations (Step 4)\n", - "\n", - "Here, we remove the `force_single_step` argument as it is `False` by default. This indicates to the Cohere API to enable a multi-step workflow and use the right ReAct-style prompt for running the workflow." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus\"\n", - "\n", - "def run_assistant(message, chat_history=None):\n", - " if chat_history is None:\n", - " chat_history = []\n", - " \n", - " # Step 1: Get user message\n", - " print(f\"Question:\\n{message}\")\n", - " print(\"=\"*50)\n", - "\n", - " # Step 2: Generate tool calls (if any) \n", - " response = co.chat(\n", - " message=message,\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " chat_history=chat_history\n", - " )\n", - "\n", - " while response.tool_calls:\n", - " tool_calls = response.tool_calls\n", - " \n", - " if response.text:\n", - " print(\"Tool plan:\")\n", - " print(response.text,\"\\n\")\n", - " print(\"Tool calls:\")\n", - " for call in tool_calls:\n", - " print(f\"Tool name: {call.name} | Parameters: {call.parameters}\")\n", - " print(\"=\"*50)\n", - " \n", - " # Step 3: Get tool results\n", - " tool_results = []\n", - " for tc in tool_calls:\n", - " tool_call = {\"name\": tc.name, \"parameters\": tc.parameters}\n", - " tool_output = functions_map[tc.name](**tc.parameters)\n", - " tool_results.append({\"call\": tool_call, \"outputs\": [tool_output]})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " message=\"\",\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - " )\n", - "\n", - " # Append the current chat turn to the chat history\n", - " chat_history = response.chat_history\n", - " \n", - " # Print final response\n", - " print(\"Final response:\")\n", - " print(response.text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " if response.citations:\n", - " print(\"Citations:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - " print(\"\\nCited Documents:\")\n", - " for document in response.documents:\n", - " print(document)\n", - " print(\"=\"*50)\n", - " \n", - " return chat_history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Simple question that doesn't require multi-step" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now ask the assistant the first question, starting with a simple one about the number of meetings for the day. This requires just a single step of tool calling to check existing calendar events.\n", - "\n", - "That’s exactly what the assistant does, and it gives the correct answer." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "How many meetings do I have today\n", - "==================================================\n", - "Tool plan:\n", - "I will use the 'list_calendar_events' tool to find out how many meetings the user has today. \n", - "\n", - "Tool calls:\n", - "Tool name: list_calendar_events | Parameters: {'date': '05/23/2024'}\n", - "==================================================\n", - "Final response:\n", - "You have four meetings today.\n", - "==================================================\n", - "Citations:\n", - "start=9 end=22 text='four meetings' document_ids=['list_calendar_events:0:2:0']\n", - "\n", - "Cited Documents:\n", - "{'existing_events': '[{\"end\":\"8:59\",\"start\":\"8:00\"},{\"end\":\"9:59\",\"start\":\"9:00\"},{\"end\":\"11:59\",\"start\":\"11:00\"},{\"end\":\"12:59\",\"start\":\"12:00\"}]', 'id': 'list_calendar_events:0:2:0', 'tool_name': 'list_calendar_events'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"How many meetings do I have today\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multi-step" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now try to ask a question that requires multi-step tool calling — this one asking the assistant to book an appointment. To complete this task, the assistant will have to first query the existing events and then use the information to create a new event that wouldn’t cause a conflict.\n", - "\n", - "In the first step, the assistant calls the `list_calendar_events` tool to get a list of existing events.\n", - "\n", - "In the second step, it calls the `create_calendar_event` tool to create a new event. It creates a new event at 10 a.m., which is indeed the first available slot after 9 a.m." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Create an hour-long appointment for the first available free slot after 9am\n", - "==================================================\n", - "Tool plan:\n", - "I will first check the user's calendar for 23/05/2024 to see if there are any free slots after 9am. I will then create an hour-long appointment for the first available free slot. \n", - "\n", - "Tool calls:\n", - "Tool name: list_calendar_events | Parameters: {'date': '05/23/2024'}\n", - "==================================================\n", - "Tool plan:\n", - "The user's calendar shows that they have a free slot from 10:00 to 10:59. I will now create an hour-long appointment for this time slot. \n", - "\n", - "Tool calls:\n", - "Tool name: create_calendar_event | Parameters: {'date': '05/23/2024', 'duration': 1, 'time': '10:00'}\n", - "==================================================\n", - "Final response:\n", - "I've created a 1-hour long appointment for 10:00 on 23/05/2024.\n", - "==================================================\n", - "Citations:\n", - "start=15 end=62 text='1-hour long appointment for 10:00 on 23/05/2024' document_ids=['create_calendar_event:0:4:0']\n", - "\n", - "Cited Documents:\n", - "{'id': 'create_calendar_event:0:4:0', 'is_success': 'true', 'message': 'Created a 1 hour long event at 10:00 on 05/23/2024', 'tool_name': 'create_calendar_event'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Create an hour-long appointment for the first available free slot after 9am\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And here’s a look at the chat history. Compared to the single-step scenario, it contains multiple pairs of CHATBOT - TOOL messages, with each pair being one step in the sequence of tool calls. In this particular case, we have two of them." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "message='Create an hour-long appointment for the first available free slot after 9am' tool_calls=None role='USER' \n", - "\n", - "message=\"I will first check the user's calendar for 23/05/2024 to see if there are any free slots after 9am. I will then create an hour-long appointment for the first available free slot.\" tool_calls=[ToolCall(name='list_calendar_events', parameters={'date': '05/23/2024'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='list_calendar_events', parameters={'date': '05/23/2024'}), outputs=[{'existing_events': [{'end': '8:59', 'start': '8:00'}, {'end': '9:59', 'start': '9:00'}, {'end': '11:59', 'start': '11:00'}, {'end': '12:59', 'start': '12:00'}]}])] role='TOOL' \n", - "\n", - "message=\"The user's calendar shows that they have a free slot from 10:00 to 10:59. I will now create an hour-long appointment for this time slot.\" tool_calls=[ToolCall(name='create_calendar_event', parameters={'date': '05/23/2024', 'duration': 1, 'time': '10:00'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='create_calendar_event', parameters={'date': '05/23/2024', 'duration': 1, 'time': '10:00'}), outputs=[{'is_success': True, 'message': 'Created a 1 hour long event at 10:00 on 05/23/2024'}])] role='TOOL' \n", - "\n", - "message=\"I've created a 1-hour long appointment for 10:00 on 23/05/2024.\" tool_calls=None role='CHATBOT' \n", - "\n" - ] - } - ], - "source": [ - "# Print chat history\n", - "for turn in chat_history:\n", - " print(turn,\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The chat history also highlights another difference between the single-step and multi-step scenarios.\n", - "\n", - "Notice that in the multi-step example above, the CHATBOT turns generate a textual response at each tool-calling step (look for the message) before making the actual tool calls.\n", - "\n", - "Let’s call this the model’s “intermediate response” to differentiate it from the model’s final response, which is the response that the user sees. These intermediate responses are the model’s internal reasoning logic, which guides its next course of action." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Multi-step parallel" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now look at an example of multi-step, parallel tool use. Let’s ask the assistant to create two separate events on the same day.\n", - "\n", - "And here’s the assistant’s response. It follows the same two steps as in the earlier example: first, checking the existing events, and second, creating the new events.\n", - "\n", - "The difference this time is that since it needs to create two events, it calls `create_calendar_event` twice within the same step. Here the assistant makes the correct judgment and tool calls are independent of each other, so they can be done in parallel." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Create two hour-long appointments for any available time between 8am to 6pm\n", - "==================================================\n", - "Tool plan:\n", - "I will first list the events for 23/05/2024. Then, I will create two hour-long appointments for any available time between 8am and 6pm. \n", - "\n", - "Tool calls:\n", - "Tool name: list_calendar_events | Parameters: {'date': '05/23/2024'}\n", - "==================================================\n", - "Tool plan:\n", - "The available times between 8am and 6pm are: 10am-11am, 1pm-6pm. I will now create two hour-long appointments for the user during these times. \n", - "\n", - "Tool calls:\n", - "Tool name: create_calendar_event | Parameters: {'date': '05/23/2024', 'duration': 1, 'time': '10:00'}\n", - "Tool name: create_calendar_event | Parameters: {'date': '05/23/2024', 'duration': 1, 'time': '13:00'}\n", - "==================================================\n", - "Final response:\n", - "I have created two hour-long appointments for you today: one at 10am and another at 1pm.\n", - "==================================================\n", - "Citations:\n", - "start=64 end=68 text='10am' document_ids=['create_calendar_event:0:4:0']\n", - "start=84 end=87 text='1pm' document_ids=['create_calendar_event:1:4:0']\n", - "\n", - "Cited Documents:\n", - "{'id': 'create_calendar_event:0:4:0', 'is_success': 'true', 'message': 'Created a 1 hour long event at 10:00 on 05/23/2024', 'tool_name': 'create_calendar_event'}\n", - "{'id': 'create_calendar_event:1:4:0', 'is_success': 'true', 'message': 'Created a 1 hour long event at 13:00 on 05/23/2024', 'tool_name': 'create_calendar_event'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Create two hour-long appointments for any available time between 8am to 6pm\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## State management (memory)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The chat history for each turn consists of the following messages, and will accumulate with every new turn.\n", - "\n", - "- The USER message\n", - "- Followed by the CHATBOT message with the list of tool calls\n", - "- Followed the TOOL message with the list of tool results\n", - "- Finally, followed by the CHATBOT message with the final response to the user\n" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Considering the new appointments you made, when is my next available time?\n", - "==================================================\n", - "Tool plan:\n", - "I will list the events for 23/05/2024 and inform the user of their next available time. \n", - "\n", - "Tool calls:\n", - "Tool name: list_calendar_events | Parameters: {'date': '05/23/2024'}\n", - "==================================================\n", - "Final response:\n", - "Your next available time is 2pm.\n", - "==================================================\n", - "Citations:\n", - "start=28 end=31 text='2pm' document_ids=['list_calendar_events:0:8:0']\n", - "\n", - "Cited Documents:\n", - "{'existing_events': '[{\"end\":\"8:59\",\"start\":\"8:00\"},{\"end\":\"9:59\",\"start\":\"9:00\"},{\"end\":\"11:59\",\"start\":\"11:00\"},{\"end\":\"12:59\",\"start\":\"12:00\"}]', 'id': 'list_calendar_events:0:8:0', 'tool_name': 'list_calendar_events'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Considering the new appointments you made, when is my next available time?\", chat_history)" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "message='Create two hour-long appointments for any available time between 8am to 6pm' tool_calls=None role='USER' \n", - "\n", - "message='I will first list the events for 23/05/2024. Then, I will create two hour-long appointments for any available time between 8am and 6pm.' tool_calls=[ToolCall(name='list_calendar_events', parameters={'date': '05/23/2024'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='list_calendar_events', parameters={'date': '05/23/2024'}), outputs=[{'existing_events': [{'end': '8:59', 'start': '8:00'}, {'end': '9:59', 'start': '9:00'}, {'end': '11:59', 'start': '11:00'}, {'end': '12:59', 'start': '12:00'}]}])] role='TOOL' \n", - "\n", - "message='The available times between 8am and 6pm are: 10am-11am, 1pm-6pm. I will now create two hour-long appointments for the user during these times.' tool_calls=[ToolCall(name='create_calendar_event', parameters={'date': '05/23/2024', 'duration': 1, 'time': '10:00'}), ToolCall(name='create_calendar_event', parameters={'date': '05/23/2024', 'duration': 1, 'time': '13:00'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='create_calendar_event', parameters={'date': '05/23/2024', 'duration': 1, 'time': '10:00'}), outputs=[{'is_success': True, 'message': 'Created a 1 hour long event at 10:00 on 05/23/2024'}]), ToolResult(call=ToolCall(name='create_calendar_event', parameters={'date': '05/23/2024', 'duration': 1, 'time': '13:00'}), outputs=[{'is_success': True, 'message': 'Created a 1 hour long event at 13:00 on 05/23/2024'}])] role='TOOL' \n", - "\n", - "message='I have created two hour-long appointments for you today: one at 10am and another at 1pm.' tool_calls=None role='CHATBOT' \n", - "\n", - "message='Considering the new appointments you made, when is my next available time?' tool_calls=None role='USER' \n", - "\n", - "message='I will list the events for 23/05/2024 and inform the user of their next available time.' tool_calls=[ToolCall(name='list_calendar_events', parameters={'date': '05/23/2024'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='list_calendar_events', parameters={'date': '05/23/2024'}), outputs=[{'existing_events': [{'end': '8:59', 'start': '8:00'}, {'end': '9:59', 'start': '9:00'}, {'end': '11:59', 'start': '11:00'}, {'end': '12:59', 'start': '12:00'}]}])] role='TOOL' \n", - "\n", - "message='Your next available time is 2pm.' tool_calls=None role='CHATBOT' \n", - "\n" - ] - } - ], - "source": [ - "# Print chat history\n", - "for turn in chat_history:\n", - " print(turn,\"\\n\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/multi_step_tool_use.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/readme.md b/notebooks/llmu/readme.md index 3b62489d..4685a630 100644 --- a/notebooks/llmu/readme.md +++ b/notebooks/llmu/readme.md @@ -1,42 +1 @@ -# LLM University - -Here you will find the notebooks used in the [LLM University course](https://llm.university/). It starts with the basics and progresses into more advanced topics. If you are new to Cohere, these guides are a great way to get started. - -# Contents - -## [What are Large Language Models?](https://cohere.com/llmu#large-language-models) -- [Similarity Between Words and Sentences](What_Is_Similarity_Between_Sentences.ipynb) - -## [Text Representation](https://cohere.com/llmu#text-representation) -- [Introduction to Text Embeddings, Semantic Search, and Clustering](Introduction_Text_Embeddings.ipynb) -- [Topic Modeling](../guides/Analyzing_Hacker_News_with_Six_Language_Understanding_Methods.ipynb) -- [Few-Shot Classification](Classify_Endpoint.ipynb) -- [Fine-Tuning for Classification](Fine_Tuning_for_Classify.ipynb) - -## [Text Generation](https://cohere.com/llmu#text-generation) -- [Building a Chatbot](Building_a_Chatbot.ipynb) -- [Parameters for Controlling Outputs](Parameters_for_Controlling_Outputs.ipynb) -- [Prompt Engineering Basics](Prompt_Engineering_Basics.ipynb) -- [Fine-Tuning for Chat](Fine_Tuning_for_Chat.ipynb) - -## [Semantic Search](https://cohere.com/llmu#semantic-search) -- [What is Semantic Search?](What_is_Semantic_Search.ipynb) -- [Keyword Search, Dense Retrieval, Reranking, and Generating Answers](End_To_End_Wikipedia_Search.ipynb) - -## [Prompt Engineering](https://cohere.com/llmu#prompt-engineering) -- [Constructing Prompts](Constructing_Prompt_Commands.ipynb) -- [Use Case Patterns](Command_Model_Use_Case_Patterns.ipynb) -- [Validating Outputs](Validating_Large_Language_Model_Outputs.ipynb) - -## [Retrieval-Augmented Generation (RAG)](https://cohere.com/llmu#rag) -- [Getting Started with RAG](Introduction_to_RAG.ipynb) -- [RAG with Chat, Embed, and Rerank](RAG_with_Chat_Embed_and_Rerank.ipynb) -- [RAG with Connectors](RAG_with_Connectors.ipynb) -- [RAG with Quickstart Connectors](RAG_with_Quickstart_Connectors.ipynb) -- [RAG over Large-Scale Data](RAG_over_Large_Scale_Data.ipynb) - -## [Tool Use](https://cohere.com/llmu#tool-use) -- [Tool Use Anatomy](tool_use_anatomy.ipynb) -- [Single-Step Tool Use](single_step_tool_use.ipynb) -- [Multi-Step Tool Use](multi_step_tool_use.ipynb) -- [Tool Use with LangChain](../agents/Data_Analyst_Agent_Cohere_and_Langchain.ipynb) \ No newline at end of file +This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/readme.md. \ No newline at end of file diff --git a/notebooks/llmu/single_step_tool_use.ipynb b/notebooks/llmu/single_step_tool_use.ipynb index 468a8a72..9a86a0e3 100644 --- a/notebooks/llmu/single_step_tool_use.ipynb +++ b/notebooks/llmu/single_step_tool_use.ipynb @@ -1,747 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Single-Step Tool Use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "*Read the accompanying [article here](https://cohere.ai/blog/single-step-tool-use/).*\n", - "\n", - "With Cohere, you can tool use in two different modes: single-step and multi-step.\n", - "\n", - "In a single-step tool use scenario, an LLM calls a single tool or many tools in parallel. The tools then return the results, and the LLM uses the results to generate its response.\n", - "\n", - "In this notebook, we look at single-step tool use and see how tool use works in the following scenarios:\n", - "- Multiple tools: What if the model had multiple tools at its disposal? How does the model reason over which tools to use at a particular time?\n", - "- Parallel tool calls: What does it look like if more than one tool call is required?\n", - "- When not to use tools: What if a question can, and should, be answered directly by a model without needing a tool?\n", - "- Tool use in a chat setting: What if the user had follow-up questions, i.e., how does an assistant maintain the context of a conversation in a multi-turn chat setting?" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let’s install the Cohere Python SDK and set up the Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "import json\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Single step, parallel tool use" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now create two tools:\n", - "- A function to query the sales database called `query_daily_sales_report`, which includes a mock sales database\n", - "- A function to query the product catalog called `query_product_catalog`, which includes a mock product catalog" - ] - }, - { - "cell_type": "code", - "execution_count": 41, - "metadata": {}, - "outputs": [], - "source": [ - "def daily_sales_report(day: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve the sales report for the given day\n", - " \"\"\"\n", - " # Mock database containing daily sales reports\n", - " sales_database = {\n", - " '2023-09-28': {'total_sales_amount': 5000,'total_units_sold': 100},\n", - " '2023-09-29': {'total_sales_amount': 10000,'total_units_sold': 250},\n", - " '2023-09-30': {'total_sales_amount': 8000,'total_units_sold': 200}\n", - " }\n", - " \n", - " report = sales_database.get(day, {})\n", - " \n", - " if report:\n", - " return {\n", - " 'date': day,\n", - " 'summary': f\"Total Sales Amount: {report['total_sales_amount']}, Total Units Sold: {report['total_units_sold']}\"\n", - " }\n", - " else:\n", - " return {'date': day, 'summary': 'No sales data available for this day.'}\n", - " \n", - "\n", - "def product_database(category: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve products for the given category\n", - " \"\"\"\n", - " \n", - " # Mock product catalog\n", - " product_catalog = {\n", - " 'Electronics': [\n", - " {'product_id': 'E1001', 'name': 'Smartphone', 'price': 500, 'stock_level': 20},\n", - " {'product_id': 'E1002', 'name': 'Laptop', 'price': 1000, 'stock_level': 15},\n", - " {'product_id': 'E1003', 'name': 'Tablet', 'price': 300, 'stock_level': 25},\n", - " ],\n", - " 'Clothing': [\n", - " {'product_id': 'C1001', 'name': 'T-Shirt', 'price': 20, 'stock_level': 100},\n", - " {'product_id': 'C1002', 'name': 'Jeans', 'price': 50, 'stock_level': 80},\n", - " {'product_id': 'C1003', 'name': 'Jacket', 'price': 100, 'stock_level': 40},\n", - " ]\n", - " }\n", - " \n", - " products = product_catalog.get(category, [])\n", - " return {\n", - " 'category': category,\n", - " 'products': products\n", - " }\n", - "\n", - "\n", - "functions_map = {\n", - " \"daily_sales_report\": daily_sales_report,\n", - " \"product_database\": product_database\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we define the tool schema for the two tools. Both functions accept one parameter, `day` and `category` respectively." - ] - }, - { - "cell_type": "code", - "execution_count": 42, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " {\n", - " \"name\": \"daily_sales_report\",\n", - " \"description\": \"Connects to a database to retrieve overall sales volumes and sales information for a given day.\",\n", - " \"parameter_definitions\": {\n", - " \"day\": {\n", - " \"description\": \"Retrieves sales data for this day, formatted as YYYY-MM-DD.\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " },\n", - " {\n", - " \"name\": \"product_database\",\n", - " \"description\": \"A database that contains information about all the products of this company, including categories, prices, and stock levels.\",\n", - " \"parameter_definitions\": {\n", - " \"category\": {\n", - " \"description\": \"Retrieves product information data for all products in this category.\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we define a preamble (optional)." - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "metadata": {}, - "outputs": [], - "source": [ - "preamble = \"\"\"## Task & Context\n", - "You are an assistant for an e-commerce company. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a set of tools, which you use to get your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Create a function to run the assistant" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's create a function called `run_assistant` which does the following:\n", - "- Gets the user message (Step 1)\n", - "- Calls the Chat endpoint for tool call generation (Step 2)\n", - "- If the response contains at least one tool call, executes the tool call(s) and gets the tool results (Step 3)\n", - "- Generates the final response with citations (Step 4)\n", - "\n", - "We put Steps 2 and 3 in a loop, checking if the model’s response contains tool calls. This allows the assistant to handle any number of tool call steps (zero, one, or multiple) for a given user message.\n", - "\n", - "We are also enabling the assistant to retain the state (or memory) of a conversation, which allows it to handle multi-turn chat scenarios. We do this by having the run_assistant function accept the chat history from the previous turns as an argument and return the updated chat history.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 44, - "metadata": {}, - "outputs": [], - "source": [ - "model = \"command-r-plus\"\n", - "\n", - "def run_assistant(message, chat_history=None):\n", - " if chat_history is None:\n", - " chat_history = []\n", - "\n", - " # Step 1: Get user message\n", - " print(f\"Question:\\n{message}\")\n", - " print(\"=\"*50)\n", - "\n", - " # Step 2: Generate tool calls (if any) \n", - " response = co.chat(\n", - " message=message,\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " chat_history=chat_history,\n", - " force_single_step=True\n", - " )\n", - "\n", - " while response.tool_calls:\n", - " tool_calls = response.tool_calls\n", - " \n", - " if response.text:\n", - " print(\"Tool plan:\")\n", - " print(response.text,\"\\n\")\n", - " print(\"Tool calls:\")\n", - " for call in tool_calls:\n", - " print(f\"Tool name: {call.name} | Parameters: {call.parameters}\")\n", - " print(\"=\"*50)\n", - " \n", - " # Step 3: Get tool results\n", - " tool_results = []\n", - " for tc in tool_calls:\n", - " tool_call = {\"name\": tc.name, \"parameters\": tc.parameters}\n", - " tool_output = functions_map[tc.name](**tc.parameters)\n", - " tool_results.append({\"call\": tool_call, \"outputs\": [tool_output]})\n", - " \n", - " # Step 4: Generate response and citations \n", - " response = co.chat(\n", - " message=\"\",\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - " )\n", - "\n", - " # Append the current chat turn to the chat history\n", - " chat_history = response.chat_history\n", - " \n", - " # Print final response\n", - " print(\"Final response:\")\n", - " print(response.text)\n", - " print(\"=\"*50)\n", - " \n", - " # Print citations (if any)\n", - " if response.citations:\n", - " print(\"Citations:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - " print(\"\\nCited Documents:\")\n", - " for document in response.documents:\n", - " print(document)\n", - " print(\"=\"*50)\n", - " \n", - " return chat_history" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Single-step" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now ask the first question to the assistant with a simple example.\n", - "\n", - "The assistant correctly identifies that, out of the two tools available, `query_daily_sales_report` is sufficient to answer the question. And it answers the question correctly." - ] - }, - { - "cell_type": "code", - "execution_count": 45, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Can you provide a sales summary for 29th September 2023?\n", - "==================================================\n", - "Tool calls:\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-29'}\n", - "==================================================\n", - "Final response:\n", - "On 29 September 2023, we sold 250 units, totalling a sales amount of 10,000.\n", - "==================================================\n", - "Citations:\n", - "start=30 end=39 text='250 units' document_ids=['daily_sales_report:0:2:0']\n", - "start=53 end=75 text='sales amount of 10,000' document_ids=['daily_sales_report:0:2:0']\n", - "\n", - "Cited Documents:\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:0:2:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Can you provide a sales summary for 29th September 2023?\")" - ] - }, - { - "cell_type": "code", - "execution_count": 46, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "message='Can you provide a sales summary for 29th September 2023?' tool_calls=None role='USER' \n", - "\n", - "message=None tool_calls=[ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'}), outputs=[{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}])] role='TOOL' \n", - "\n", - "message='On 29 September 2023, we sold 250 units, totalling a sales amount of 10,000.' tool_calls=None role='CHATBOT' \n", - "\n" - ] - } - ], - "source": [ - "# Print chat history\n", - "for turn in chat_history:\n", - " print(turn,\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Single-step, parallel" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The model can decide that more than one tool is required to provide a response. This means calling multiple tools in parallel within the same step. This can either be:\n", - "- Calling different tools in parallel\n", - "- Calling the same tool multiple times in parallel\n", - "- A combination of both\n", - "\n", - "To illustrate this, let’s try to ask the model another question. Let’s ask about the sales summary of two different dates and also about the stock level information of a product category.\n", - "\n", - "This time, the model generates three tool calls in parallel:\n", - "- Two queries to the sales database, one for each date\n", - "- One query to the product catalog\n", - "\n", - "These are exactly what are needed to answer the question. And it correctly answers the question.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Can you provide a sales summary for 28th and 29th September 2023 as well as the stock level of the products in the 'Electronics' category?\n", - "==================================================\n", - "Tool calls:\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-28'}\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-29'}\n", - "Tool name: product_database | Parameters: {'category': 'Electronics'}\n", - "==================================================\n", - "Final response:\n", - "On 28 September 2023, the total sales amount was 5000, with 100 units sold. The following day, 29 September 2023, the total sales amount was 10000, with 250 units sold. \n", - "\n", - "Here is the stock level of the products in the 'Electronics' category:\n", - "- Smartphone (E1001) - 20\n", - "- Laptop (E1002) - 15\n", - "- Tablet (E1003) - 25\n", - "==================================================\n", - "Citations:\n", - "start=3 end=20 text='28 September 2023' document_ids=['daily_sales_report:0:2:0']\n", - "start=26 end=53 text='total sales amount was 5000' document_ids=['daily_sales_report:0:2:0']\n", - "start=60 end=74 text='100 units sold' document_ids=['daily_sales_report:0:2:0']\n", - "start=95 end=112 text='29 September 2023' document_ids=['daily_sales_report:1:2:0']\n", - "start=118 end=146 text='total sales amount was 10000' document_ids=['daily_sales_report:1:2:0']\n", - "start=153 end=167 text='250 units sold' document_ids=['daily_sales_report:1:2:0']\n", - "start=244 end=267 text='Smartphone (E1001) - 20' document_ids=['product_database:2:2:0']\n", - "start=270 end=289 text='Laptop (E1002) - 15' document_ids=['product_database:2:2:0']\n", - "start=292 end=311 text='Tablet (E1003) - 25' document_ids=['product_database:2:2:0']\n", - "\n", - "Cited Documents:\n", - "{'date': '2023-09-28', 'id': 'daily_sales_report:0:2:0', 'summary': 'Total Sales Amount: 5000, Total Units Sold: 100', 'tool_name': 'daily_sales_report'}\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:1:2:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n", - "{'category': 'Electronics', 'id': 'product_database:2:2:0', 'products': '[{\"name\":\"Smartphone\",\"price\":500,\"product_id\":\"E1001\",\"stock_level\":20},{\"name\":\"Laptop\",\"price\":1000,\"product_id\":\"E1002\",\"stock_level\":15},{\"name\":\"Tablet\",\"price\":300,\"product_id\":\"E1003\",\"stock_level\":25}]', 'tool_name': 'product_database'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Can you provide a sales summary for 28th and 29th September 2023 as well as the stock level of the products in the 'Electronics' category?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Directly answering" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "A key attribute of tool use systems is the model’s ability to choose the right tools for a task. And that also includes the ability to decide to not use any tool, and instead, to respond to a user message directly." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Questions that don't require tools" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s look at the first scenario. The question below asks about building a great company. This is a rather general question that a good LLM would be able to answer directly without needing any additional help.\n", - "\n", - "Notice that the model doesn’t trigger any tool calls, but instead goes directly to answering the question." - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Give me 3 concise tips on how to build a great company\n", - "==================================================\n", - "Final response:\n", - "1. Start with a strong foundation: Build a solid business plan, secure adequate funding, and establish a clear mission and vision. \n", - "\n", - "2. Focus on people: Hire and retain talented employees by creating a positive company culture and offering competitive benefits. \n", - "\n", - "3. Stay agile: Adapt to market changes and be open to innovation. Stay connected to your customers' needs and wants and be willing to pivot when necessary.\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Give me 3 concise tips on how to build a great company\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Questions that require tools but tools not available" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s look at the other scenario. The question below is about the company's employee count, which is a specific piece of information that an LLM would not possess and requires context from an external tool.\n", - "\n", - "But because none of the available tools can provide this information, the model doesn’t attempt any tool call. Instead, it responds directly to the user question mentioning that it doesn’t have the information needed to answer the question." - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "How many employees does this company have?\n", - "==================================================\n", - "Final response:\n", - "I don't have any information about the company's number of employees. Is there anything else I can help you with?\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"How many employees does this company have?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## State management (memory)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The `run_assistant` function is already enabled to handle multi-turn chat scenarios. The function represents one turn of a conversation. For each turn, it accepts the latest chat history of a conversation and returns the updated one once the turn has been completed.\n", - "\n", - "This can continue for any number of turns, the limit being the model’s maximum context length (with Command R/R+, that’s 128k tokens).\n", - "\n", - "The chat history for each turn consists of:\n", - "- The USER message\n", - "- Followed by the CHATBOT message with the list of tool calls\n", - "- Followed the TOOL message with the list of tool results\n", - "- Finally, followed by the CHATBOT message with the final response to the user\n", - "\n", - "Let’s try it out, starting with the same question about the sales summary.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "Can you provide a sales summary for 29th September 2023?\n", - "==================================================\n", - "Tool calls:\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-29'}\n", - "==================================================\n", - "Final response:\n", - "On 29 September 2023, the total sales amount was 10,000 and the total number of units sold was 250.\n", - "==================================================\n", - "Citations:\n", - "start=26 end=55 text='total sales amount was 10,000' document_ids=['daily_sales_report:0:2:0']\n", - "start=64 end=98 text='total number of units sold was 250' document_ids=['daily_sales_report:0:2:0']\n", - "\n", - "Cited Documents:\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:0:2:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"Can you provide a sales summary for 29th September 2023?\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s now ask a follow-up question, a rather vague question that a model would not be able to answer without the context of the previous turn. Here we pass the first turn’s chat history to the `run_assistant` function.\n", - "\n", - "The model is able to infer that “the 28th” is likely referring to September 28th 2023, because of what was asked in the previous turn. " - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "What about the 28th?\n", - "==================================================\n", - "Tool calls:\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-28'}\n", - "==================================================\n", - "Final response:\n", - "On 28 September 2023, the total sales amount was 5,000 and the total number of units sold was 100.\n", - "==================================================\n", - "Citations:\n", - "start=26 end=54 text='total sales amount was 5,000' document_ids=['daily_sales_report:0:6:0']\n", - "start=63 end=97 text='total number of units sold was 100' document_ids=['daily_sales_report:0:6:0']\n", - "\n", - "Cited Documents:\n", - "{'date': '2023-09-28', 'id': 'daily_sales_report:0:6:0', 'summary': 'Total Sales Amount: 5000, Total Units Sold: 100', 'tool_name': 'daily_sales_report'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"What about the 28th?\", chat_history)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s continue the conversation.\n", - "\n", - "Again, the model is able to infer what “both days” are from the chat context." - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Question:\n", - "How many units were sold over both days\n", - "==================================================\n", - "Tool calls:\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-29'}\n", - "Tool name: daily_sales_report | Parameters: {'day': '2023-09-28'}\n", - "==================================================\n", - "Final response:\n", - "Combined, 28 and 29 September 2023 saw 350 units sold.\n", - "==================================================\n", - "Citations:\n", - "start=39 end=53 text='350 units sold' document_ids=['daily_sales_report:0:10:0', 'daily_sales_report:1:10:0']\n", - "\n", - "Cited Documents:\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:0:10:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n", - "{'date': '2023-09-28', 'id': 'daily_sales_report:1:10:0', 'summary': 'Total Sales Amount: 5000, Total Units Sold: 100', 'tool_name': 'daily_sales_report'}\n", - "==================================================\n" - ] - } - ], - "source": [ - "chat_history = run_assistant(\"How many units were sold over both days\", chat_history)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here’s a look at the chat history. It consists of a set of USER, CHATBOT, and TOOL messages appended in the right sequence of turns, providing the model with the right context each time it generates a new response." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "message='Can you provide a sales summary for 29th September 2023?' tool_calls=None role='USER' \n", - "\n", - "message=None tool_calls=[ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'}), outputs=[{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}])] role='TOOL' \n", - "\n", - "message='On 29 September 2023, the total sales amount was 10,000 and the total number of units sold was 250.' tool_calls=None role='CHATBOT' \n", - "\n", - "message='What about the 28th?' tool_calls=None role='USER' \n", - "\n", - "message=None tool_calls=[ToolCall(name='daily_sales_report', parameters={'day': '2023-09-28'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='daily_sales_report', parameters={'day': '2023-09-28'}), outputs=[{'date': '2023-09-28', 'summary': 'Total Sales Amount: 5000, Total Units Sold: 100'}])] role='TOOL' \n", - "\n", - "message='On 28 September 2023, the total sales amount was 5,000 and the total number of units sold was 100.' tool_calls=None role='CHATBOT' \n", - "\n", - "message='How many units were sold over both days' tool_calls=None role='USER' \n", - "\n", - "message=None tool_calls=[ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'}), ToolCall(name='daily_sales_report', parameters={'day': '2023-09-28'})] role='CHATBOT' \n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'}), outputs=[{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}]), ToolResult(call=ToolCall(name='daily_sales_report', parameters={'day': '2023-09-28'}), outputs=[{'date': '2023-09-28', 'summary': 'Total Sales Amount: 5000, Total Units Sold: 100'}])] role='TOOL' \n", - "\n", - "message='Combined, 28 and 29 September 2023 saw 350 units sold.' tool_calls=None role='CHATBOT' \n", - "\n" - ] - } - ], - "source": [ - "# Print chat history\n", - "for turn in chat_history:\n", - " print(turn,\"\\n\")" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/single_step_tool_use.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/llmu/tool_use_anatomy.ipynb b/notebooks/llmu/tool_use_anatomy.ipynb index e98535e4..2befb4b0 100644 --- a/notebooks/llmu/tool_use_anatomy.ipynb +++ b/notebooks/llmu/tool_use_anatomy.ipynb @@ -1,512 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Tool Use Anatomy" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - " \"Open\n", - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "*Read the accompanying [article here](https://cohere.ai/blog/tool-use-anatomy/).*\n", - "\n", - "In this notebook, we’ll dissect the key components of a tool use system and what a tool use workflow looks like. And we’ll do that with a concrete code example.\n", - "\n", - "We’ll look at a use case of a RAG assistant that can query the sales database of an e-commerce company." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, let’s install the Cohere Python SDK and set up the Cohere client." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "! pip install cohere -q" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import cohere\n", - "co = cohere.Client(\"COHERE_API_KEY\") # Get your API key: https://dashboard.cohere.com/api-keys" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Tool use setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The pre-requisite, or Step 0, before we can run a tool use workflow, is to set up the tools. We can break this further into two steps:\n", - "- Creating the tool\n", - "- Defining the tool schema\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# 1. Create tool" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let’s create a function to query a sales database called daily_sales_report and represent it as a tool. For simplicity, it contains a mock database containing just three data entries and the logic to return the data given a user query.\n", - "\n", - "In this example, we are defining a Python function as the tool. But more broadly, the tool can be any function or service that can receive and send data. It could be an email service, an SQL database, a vector database, a weather data service, a sports data service, a web search engine, or even another LLM, just to give a few examples." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "def daily_sales_report(day: str) -> dict:\n", - " \"\"\"\n", - " Function to retrieve the sales report for the given day\n", - " \"\"\"\n", - " # Mock database containing daily sales reports\n", - " sales_database = {\n", - " '2023-09-28': {'total_sales_amount': 5000,'total_units_sold': 100},\n", - " '2023-09-29': {'total_sales_amount': 10000,'total_units_sold': 250},\n", - " '2023-09-30': {'total_sales_amount': 8000,'total_units_sold': 200}\n", - " }\n", - " \n", - " report = sales_database.get(day, {})\n", - " \n", - " if report:\n", - " return {\n", - " 'date': day,\n", - " 'summary': f\"Total Sales Amount: {report['total_sales_amount']}, Total Units Sold: {report['total_units_sold']}\"\n", - " }\n", - " else:\n", - " return {'date': day, 'summary': 'No sales data available for this day.'}\n", - " \n", - "\n", - "functions_map = {\n", - " \"daily_sales_report\": daily_sales_report\n", - "}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 2. Define tool schema" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we define the tool schema for the sales database tool. This schema is what will be passed to the Cohere API when running a tool use workflow.\n", - "\n", - "This schema informs the LLM about what the tool does, and the LLM decides whether to use a particular tool based on it. Therefore, the more descriptive and specific the schema, the more likely the LLM will make the right tool call decisions." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "tools = [\n", - " {\n", - " \"name\": \"daily_sales_report\",\n", - " \"description\": \"Connects to a database to retrieve overall sales volumes and sales information for a given day.\",\n", - " \"parameter_definitions\": {\n", - " \"day\": {\n", - " \"description\": \"Retrieves sales data for this day, formatted as YYYY-MM-DD.\",\n", - " \"type\": \"str\",\n", - " \"required\": True\n", - " }\n", - " }\n", - " }\n", - "]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 3. Define the preamble (optional)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "An optional step is to add a custom preamble, which is the LLM’s system message.\n", - "\n", - "The recommended approach is to use two H2 Markdown headers: \"Task & Context\" and \"Style Guide\" in the exact order.\n", - "\n", - "It’s a completely optional step, though it’s likely needed if we want to create a robust and reliable application. Also note that the preamble is not related to the tool setup that we covered earlier, rather it’s part of the instruction to the LLM.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [], - "source": [ - "preamble = \"\"\"## Task & Context\n", - "You help people answer their questions and other requests interactively. You will be asked a very wide array of requests on all kinds of topics. You will be equipped with a wide range of search engines or similar tools to help you, which you use to research your answer. You should focus on serving the user's needs as best you can, which will be wide-ranging.\n", - "\n", - "## Style Guide\n", - "Unless the user asks for a different style of answer, you should answer in full sentences, using proper grammar and spelling.\n", - "\"\"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Tool use workflow" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The tool is now ready to use. We can think of a tool use system as consisting of four components:\n", - "- The user\n", - "- The application\n", - "- The LLM\n", - "- The tools\n", - "\n", - "At its most basic, these four components interact in a workflow through four steps:\n", - "- Step 1: Get user message. The LLM gets the user message (via the application).\n", - "- Step 2: Generate tool calls. The LLM makes a decision on the tools to call (if any) and generates the tool calls.\n", - "- Step 3: Get tool results. The tools are executed by the application and the results are sent to the LLM.\n", - "- Step 4: Generate response and citations. The LLM generates the response and citations to back to the user.\n", - "\n", - "Let’s walk through these four steps using a code example. In this first example, we’ll use the simplest possible scenario where:\n", - "- There is only one tool (the sales database)\n", - "- Tool calling happens only once (and only one tool is called)\n", - "- There is only one turn in the conversation (no conversation memory preserved)\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 1. Get user message" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The LLM gets the user message (via the application)." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [], - "source": [ - "message = \"Can you provide a sales summary for 29th September 2023?\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 2. Generate tool calls" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The LLM makes a decision on the tools to call (if any) and generates the tool calls." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool calls:\n", - "\n", - "#1\n", - "Tool: daily_sales_report\n", - "Parameters: {'day': '2023-09-29'}\n", - "\n" - ] - } - ], - "source": [ - "model = \"command-r-plus\"\n", - "\n", - "# Initial response to the user message\n", - "response = co.chat(\n", - " message=message,\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " force_single_step=True\n", - ")\n", - "\n", - "tool_calls = response.tool_calls\n", - " \n", - "print(\"Tool calls:\\n\")\n", - "for i, t in enumerate(tool_calls):\n", - " print(f\"#{i+1}\\nTool: {t.name}\\nParameters: {t.parameters}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 3. Get tool results" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The tools are executed by the application and the results are sent to the LLM." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tool results:\n", - "\n", - "#1\n", - "Tool call: {'name': 'daily_sales_report', 'parameters': {'day': '2023-09-29'}}\n", - "Outputs: [{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}]\n", - "\n" - ] - } - ], - "source": [ - "tool_results = []\n", - "for tc in tool_calls:\n", - " tool_output = functions_map[tc.name](**tc.parameters)\n", - " tool_call = {\"name\": tc.name, \"parameters\": tc.parameters}\n", - " tool_results.append({\"call\": tool_call, \"outputs\": [tool_output]})\n", - " \n", - "print(\"Tool results:\\n\")\n", - "for i, t in enumerate(tool_results):\n", - " print(f\"#{i+1}\\nTool call: {t['call']}\\nOutputs: {t['outputs']}\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## 4. Generate response and citations" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The LLM generates the response and citations to back to the user." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final response:\n", - "On 29 September 2023, we sold 250 units, totalling 10,000 in sales.\n", - "==================================================\n", - "\n", - "Citations:\n", - "start=30 end=39 text='250 units' document_ids=['daily_sales_report:0:2:0']\n", - "start=51 end=66 text='10,000 in sales' document_ids=['daily_sales_report:0:2:0']\n", - "\n", - "Cited Documents:\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:0:2:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n" - ] - } - ], - "source": [ - "# Generate response\n", - "response = co.chat(\n", - " message=\"\",\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - ")\n", - " \n", - "print(\"Final response:\")\n", - "print(response.text)\n", - "print(\"=\"*50)\n", - "\n", - "if response.citations:\n", - " print(\"\\nCitations:\")\n", - " for citation in response.citations:\n", - " print(citation)\n", - " print(\"\\nCited Documents:\")\n", - " for document in response.documents:\n", - " print(document)" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Chat history:\n", - "\n", - "message='Can you provide a sales summary for 29th September 2023?' tool_calls=None role='USER'\n", - "\n", - "message=None tool_calls=[ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'})] role='CHATBOT'\n", - "\n", - "tool_results=[ToolResult(call=ToolCall(name='daily_sales_report', parameters={'day': '2023-09-29'}), outputs=[{'date': '2023-09-29', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250'}])] role='TOOL'\n", - "\n", - "message='On 29 September 2023, we sold 250 units, totalling 10,000 in sales.' tool_calls=None role='CHATBOT'\n" - ] - } - ], - "source": [ - "# Print chat history\n", - "for turn in response.chat_history:\n", - " print(turn,\"\\n\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Streaming option" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can stream the response from the Chat endpoint for each generated token instead of having to wait for the full response. To enable streaming, we need to change the endpoint call from `co.chat` to `co.chat_stream`. " - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final response:\n", - "\n", - "On 29 September 2023, total sales amounted to 10,000, with 250 units sold.\n", - "\n", - "Citations:\n", - "start=22 end=52 text='total sales amounted to 10,000' document_ids=['daily_sales_report:0:2:0', 'daily_sales_report:0:4:0']\n", - "start=59 end=73 text='250 units sold' document_ids=['daily_sales_report:0:2:0', 'daily_sales_report:0:4:0']\n", - "\n", - "Cited Documents\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:0:2:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n", - "{'date': '2023-09-29', 'id': 'daily_sales_report:0:4:0', 'summary': 'Total Sales Amount: 10000, Total Units Sold: 250', 'tool_name': 'daily_sales_report'}\n" - ] - } - ], - "source": [ - "# Generate response\n", - "response = co.chat_stream(\n", - " message=\"\",\n", - " model=model,\n", - " preamble=preamble,\n", - " tools=tools,\n", - " tool_results=tool_results,\n", - " chat_history=response.chat_history\n", - ")\n", - " \n", - "print(\"Final response:\\n\")\n", - "chatbot_response = \"\"\n", - "\n", - "for event in response:\n", - " if event.event_type == \"text-generation\":\n", - " print(event.text, end=\"\")\n", - " chatbot_response += event.text\n", - " if event.event_type == \"stream-end\":\n", - " if event.response.citations:\n", - " print(\"\\n\\nCitations:\")\n", - " for citation in event.response.citations:\n", - " print(citation)\n", - " if event.response.documents:\n", - " print(\"\\nCited Documents\")\n", - " for document in event.response.documents:\n", - " print(document)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "base", - "language": "python", - "name": "base" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/tool_use_anatomy.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/notebooks/react_agent_adaptive_rag_cohere.ipynb b/notebooks/react_agent_adaptive_rag_cohere.ipynb index 61938521..0d7fbadd 100644 --- a/notebooks/react_agent_adaptive_rag_cohere.ipynb +++ b/notebooks/react_agent_adaptive_rag_cohere.ipynb @@ -1,967 +1,18 @@ { - "cells": [ - { - "cell_type": "markdown", - "metadata": { - "id": "EUYMLmXAF3W1" - }, - "source": [ - "# A ReAct agent with Command R+, achieving the same goals as Adaptive RAG\n", - "\n", - "Adaptive RAG is a strategy for RAG that unites (1) [query analysis](https://blog.langchain.dev/query-construction/) with (2) [active / self-corrective RAG](https://blog.langchain.dev/agentic-rag-with-langgraph/).\n", - "\n", - "In the paper, they report query analysis to route across:\n", - "- No Retrieval (LLM answers)\n", - "- Single-shot RAG\n", - "- Iterative RAG\n", - "\n", - "\n", - "We'll use Command R+, a recent release from Cohere that:\n", - "- Has strong accuracy on RAG, Tool Use and Agents\n", - "- Has 128k context\n" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "W8yvOB2MQp07" - }, - "source": [ - "# Environment" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ujQVUvA9QlD4", - "outputId": "230c6a56-3ee3-4e0d-95af-2a261b8541d6" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m812.8/812.8 kB\u001b[0m \u001b[31m6.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.8/1.8 MB\u001b[0m \u001b[31m9.5 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m27.0/27.0 MB\u001b[0m \u001b[31m16.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m1.9/1.9 MB\u001b[0m \u001b[31m28.7 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m276.8/276.8 kB\u001b[0m \u001b[31m3.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m87.5/87.5 kB\u001b[0m \u001b[31m4.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m145.9/145.9 kB\u001b[0m \u001b[31m8.8 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m3.1/3.1 MB\u001b[0m \u001b[31m34.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m75.6/75.6 kB\u001b[0m \u001b[31m3.3 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m49.4/49.4 kB\u001b[0m \u001b[31m2.2 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m53.0/53.0 kB\u001b[0m \u001b[31m516.7 kB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m144.8/144.8 kB\u001b[0m \u001b[31m5.4 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m77.9/77.9 kB\u001b[0m \u001b[31m6.6 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[2K \u001b[90m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[32m58.3/58.3 kB\u001b[0m \u001b[31m5.1 MB/s\u001b[0m eta \u001b[36m0:00:00\u001b[0m\n", - "\u001b[?25h" - ] - } - ], - "source": [ - "! pip install --quiet langchain langchain_cohere tiktoken faiss-cpu" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "id": "K9rrOrKWJaBd" - }, - "outputs": [], - "source": [ - "### LLMs\n", - "import os\n", - "\n", - "os.environ[\"COHERE_API_KEY\"] = \"\"" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "k3mrKxSsTfXO" - }, - "source": [ - "# Create tools\n", - "The ReAct agent will be equipped with these tools. The model can pick between\n", - "- web search\n", - "- RAG: retrieval from a vector store\n", - "- directly answering\n", - "\n", - "The model can use any of these tools, in any sequence of steps, and self-reflect." - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "4kGUB4IRF3W5" - }, - "source": [ - "### Web search tool" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "id": "hYsJgVY0F3W5" - }, - "outputs": [], - "source": [ - "from langchain_community.tools.tavily_search import TavilySearchResults\n", - "\n", - "os.environ[\"TAVILY_API_KEY\"] = \"\"\n", - "\n", - "internet_search = TavilySearchResults()\n", - "internet_search.name = \"internet_search\"\n", - "internet_search.description = \"Returns a list of relevant document snippets for a textual query retrieved from the internet.\"\n", - "\n", - "\n", - "from langchain_core.pydantic_v1 import BaseModel, Field\n", - "\n", - "\n", - "class TavilySearchInput(BaseModel):\n", - " query: str = Field(description=\"Query to search the internet with\")\n", - "\n", - "\n", - "internet_search.args_schema = TavilySearchInput" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "NxDa_Em0tuas" - }, - "source": [ - "### RAG tool" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "id": "0QyahrNPtwEi" - }, - "outputs": [], - "source": [ - "from langchain.text_splitter import RecursiveCharacterTextSplitter\n", - "from langchain_cohere import CohereEmbeddings\n", - "from langchain_community.document_loaders import WebBaseLoader\n", - "from langchain_community.vectorstores import FAISS\n", - "\n", - "# Set embeddings\n", - "embd = CohereEmbeddings()\n", - "\n", - "# Docs to index\n", - "urls = [\n", - " \"https://lilianweng.github.io/posts/2023-06-23-agent/\",\n", - " \"https://lilianweng.github.io/posts/2023-03-15-prompt-engineering/\",\n", - " \"https://lilianweng.github.io/posts/2023-10-25-adv-attack-llm/\",\n", - "]\n", - "\n", - "# Load\n", - "docs = [WebBaseLoader(url).load() for url in urls]\n", - "docs_list = [item for sublist in docs for item in sublist]\n", - "\n", - "# Split\n", - "text_splitter = RecursiveCharacterTextSplitter.from_tiktoken_encoder(\n", - " chunk_size=512, chunk_overlap=0\n", - ")\n", - "doc_splits = text_splitter.split_documents(docs_list)\n", - "\n", - "# Add to vectorstore\n", - "vectorstore = FAISS.from_documents(\n", - " documents=doc_splits,\n", - " embedding=embd,\n", - ")\n", - "\n", - "vectorstore_retriever = vectorstore.as_retriever()" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "id": "eoa3toXfdGkI" - }, - "outputs": [], - "source": [ - "from langchain.tools.retriever import create_retriever_tool\n", - "\n", - "vectorstore_search = create_retriever_tool(\n", - " retriever=vectorstore_retriever,\n", - " name=\"vectorstore_search\",\n", - " description=\"Retrieve relevant info from a vectorstore that contains documents related to agents, prompt engineering, and adversarial attacks.\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "2ENQAfYXRG9Q" - }, - "source": [ - "# Create the ReAct Agent\n", - "The model can smartly pick the right tool(s) for the user query, call them in any sequence of steps, analyze the results and self-reflect." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "id": "hx-Ew1i5F3W4" - }, - "outputs": [], - "source": [ - "from langchain.agents import AgentExecutor\n", - "from langchain_cohere.react_multi_hop.agent import create_cohere_react_agent\n", - "from langchain_core.prompts import ChatPromptTemplate" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "id": "BPo3ZIHkF3W4" - }, - "outputs": [], - "source": [ - "# LLM\n", - "from langchain_cohere.chat_models import ChatCohere\n", - "\n", - "chat = ChatCohere(model=\"command-r-plus\", temperature=0.3)\n", - "\n", - "# Preamble\n", - "preamble = \"\"\"\n", - "You are an expert who answers the user's question with the most relevant datasource.\n", - "You are equipped with an internet search tool and a special vectorstore of information about agents prompt engineering and adversarial attacks.\n", - "If the query covers the topics of agents, prompt engineering or adversarial attacks, use the vectorstore search.\n", - "\"\"\"\n", - "\n", - "# Prompt\n", - "prompt = ChatPromptTemplate.from_template(\"{input}\")\n", - "\n", - "# Create the ReAct agent\n", - "agent = create_cohere_react_agent(\n", - " llm=chat,\n", - " tools=[internet_search, vectorstore_search],\n", - " prompt=prompt,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "id": "dks-7TGGtdLE" - }, - "outputs": [], - "source": [ - "agent_executor = AgentExecutor(\n", - " agent=agent, tools=[internet_search, vectorstore_search], verbose=True\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "v7L1aBHds1pj" - }, - "source": [ - "# Testing the ReAct agent" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ibo31dsHEjPv" - }, - "source": [ - "**Let's ask a question that requires web search.**" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "Jl0JNX2TF3W5", - "outputId": "2ccb747c-e702-4473-f587-47271e0c71b2" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for 'Who will the Bears draft first in the NFL draft?' and write an answer based on the results.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'Who will the Bears draft first in the NFL draft?'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.chicagobears.com/news/final-mock-draft-analysts-predict-bears-experts-2023-first-round-pick-trade', 'content': \"Tennessee OT Darnell Wright\\nEric Edholm, NFL.com: Georgia DT Jalen Carter\\nJosh Edwards, CBS Sports: Texas Tech edge Tyree Wilson\\nChris Emma, Audacy Sports: Northwestern OT Peter Skoronski\\nSam Farmer, Los Angeles Times: Georgia edge Nolan Smith\\nRelated Links\\nPatrick Finley, Chicago Sun-Times: Georgia DT Jalen Carter\\nKevin Fishbain, The Athletic: Ohio State OT Paris Johnson Jr.\\nMike Florio, Pro Football Talk: Northwestern OT Peter Skoronski\\nPatrick Flowers, Bleacher Nation: Georgia DT Jalen Carter\\nSean Hammond, Shaw Media: Here's what more than 40 NFL analysts are predicting the Bears will do with the No. 9 pick in the first round:\\nJarrett Bailey, Bears Wire: Ohio State WR Jaxon Smith-Njigba\\nAlyssa Barbieri, Bears Wire: Ohio State OT Paris Johnson Jr.\\nBrad Biggs, Chicago Tribune: Georgia DT Jalen Carter\\nAlbert Breer, Sports Illustrated: Ohio State OT Paris Johnson Jr.\\nWill Brinson, CBS Sports: Georgia DT Jalen Carter\\nBucky Brooks, NFL.com: Northwestern OT Peter Skoronski\\nDane Brugler, The Athletic: Ohio State OT Paris Johnson Jr.\\nAdam Hoge, CHGO Sports: Tennessee OT Darnell Wright\\nAdam Jahns, The Athletic: Northwestern OT Peter Skoronski\\nDaniel Jeremiah, NFL.com: Texas Tech edge Tyree Wilson\\nPeter King, NBC Sports: Ohio State OT Paris Johnson Jr.\\nMel Kiper Jr., ESPN: Ohio State OT Paris Johnson Jr.\\nJoel Klatt, Fox Sports: Ohio State OT Paris Johnson Jr.\\nJason LaCanfora, Washington Post: Northwestern OT Peter Skoronski\\nAaron Leming, Bear Report: Ohio State OT Paris Johnson Jr.\\nScott Smith, Buccaneers.com: Northwestern OT Peter Skoronski\\nChris Trapasso, CBS Sports: Northwestern OT Peter Skoronski after trade to 12\\nDan Wiederer, Chicago Tribune:\"}, {'url': 'https://www.nfl.com/news/bears-secure-no-1-overall-pick-in-2023-nfl-draft', 'content': \"Eric Edholm ranks each franchise's fresh prospect haul, from No. 1 to 32.\\n2023 NFL Draft: Ten rookies in the best situations to succeed in Year 1 and beyond\\nWith the 2023 NFL Draft in the rearview, former NFL personnel executive Marc Ross surveyed the landing spots of this year's prospects, ultimately identifying 10 rookies in the most favorable positions to succeed in Year 1 and beyond.\\n2023 NFL Draft: The league announced Monday at the Spring League Meeting that the 2025 NFL Draft has been awarded to Green Bay, Wisconsin, home of the Packers.\\nUndrafted rookie free agents: Team signings after 2023 NFL Draft\\nKeep up with the undrafted rookie free-agent signings with a team-by-team list of player acquisitions following the 2023 NFL Draft.\\n 2023 NFL Draft\\nBears secure No. 1 overall pick in 2023 NFL Draft\\nLead Draft Writer\\nFor the first time in 76 years, the Chicago Bears hold the first overall pick in the NFL draft.\\n Related Content\\n2024 East-West Shrine Bowl will be played at Cowboys' The Star\\nhe 2024 East-West Shrine Bowl will be played at the Ford Center at The Star -- where the Cowboys train and practice -- in Frisco, Texas, on Feb. 1, 2024, the all-star game announced on Monday\\nGreen Bay selected to be host site of 2025 NFC North draft grades: Bears, Lions and Packers close gap on division champion Vikings\\nThe Minnesota Vikings ran away with the division title last season, but did the other three teams just close the gap in the 2023 NFL Draft?\"}, {'url': 'https://www.chicagobears.com/news/what-analysts-expect-bears-to-do-with-no-9-draft-pick-mock-draft-position-receiver-offensive-defensive-line-pass-rush', 'content': \"Several NFL analysts have updated their mock drafts in the last week or so. All 16 we perused expect the Bears to select USC quarterback Caleb Williams at No. 1. But there's a difference of opinion about what general manager Ryan Poles will do with the No. 9 choice: Russell Brown, Fantasy Pros (March 30)\"}, {'url': 'https://www.foxsports.com/stories/nfl/2024-nfl-draft-analyzing-5-hypothetical-trade-offers-for-bears-no-1-pick', 'content': \"The following reporters contributed to this story:\\nAFC South reporter Ben Arthur (@benyarthur)NFC South reporter Greg Auman (@gregauman)AFC East reporter Henry McKenna (@McKennAnalysis)NFC East reporter Ralph Vacchiano (@RalphVacchiano)NFC North reporter Carmen Vitali (@CarmieV)\\nNew Eagles RB Saquon Barkley tries to convince Jason Kelce to play for one more season\\n2024 NFL odds: Cowboys, Eagles projected 10.5 wins; Rodgers, Jets 9.5\\n2024 NFL Draft: Bucky Brooks' favorite prospects at each position\\nCardinals’ draft dilemma: Take Marvin Harrison Jr. or trade down for more picks\\n2024 NFL Draft steals: Our top 5 diamonds in the rough\\n2024 NFL Draft odds: J.J. McCarthy's odds to go second skyrocket\\nLions HC Dan Campbell happy that Steelers' Justin Fields is out of NFC North\\nChiefs owner Clark Hunt denies that he promised locker room renovation\\nCowboys coach Mike McCarthy not feeling extra pressure: ‘I'm in a great spot’\\n2024 Five QBs selected in Colin Cowherd's 12-pick mock\\nNew Eagles RB Saquon Barkley tries to convince Jason Kelce to play for one more season\\n2024 NFL odds: Cowboys, Eagles projected 10.5 wins; Rodgers, Jets 9.5\\n2024 NFL Draft: Bucky Brooks' favorite prospects at each position\\nCardinals’ draft dilemma: Take Marvin Harrison Jr. or trade down for more picks\\n2024 NFL Draft steals: Our top 5 diamonds in the rough\\n2024 NFL Draft odds: J.J. McCarthy's odds to go second skyrocket\\nLions HC Dan Campbell happy that Steelers' Justin Fields is out of NFC North\\nChiefs owner Clark Hunt denies that he promised locker room renovation\\nCowboys coach Mike McCarthy not feeling extra pressure: ‘I'm in a great spot’ The team once again owns the first overall pick, and while all signs (and most logic) point to them using the pick to draft a quarterback, reset the contract clock at the position and take advantage of the fact that they're set up to develop a tremendous young talent for once, there's still another side of the coin.\\n So here's the deal, knowing they have to out-bid a bunch of teams in this process: The Giants can offer their first-round pick and both their second-round picks this year, plus a second- and a third-rounder in 2025 in exchange for the top pick in the draft. It would be a monumental shift for the Giants' brain trust to be all-out on him already, which is what they'd be if they traded up to take a quarterback with the first overall pick in the draft.\\n\"}, {'url': 'https://www.espn.com/nfl/story/_/id/39632538/bears-draft-caleb-williams-trade-first-pick-justin-fields', 'content': '\"\\nPoles opted against using the No. 1 pick last year, trading it to the Carolina Panthers for a package that included wide receiver DJ Moore and the Panthers\\' No. 1 pick this year, which turned out to be the top pick overall.\\n ESPN\\nWill the Bears draft Caleb Williams or trade the No. 1 pick?\\nCaleb Williams joins Laura Rutledge at the NFL combine to discuss his meeting with the Chicago Bears. C.J. Stroud was taken with the No. 2 pick and guided the Houston Texans to the playoffs with one of the best rookie seasons for a quarterback in history.\\n Fields is entering the final year of his rookie deal, and the Bears would need to decide whether to extend his contract or exercise his fifth-year option for the 2025 season, which is estimated to be $25.7 million.\\n (1:54)\\nINDIANAPOLIS -- The buzz about what Chicago Bears general manager Ryan Poles will do with the No. 1 pick in April\\'s draft grew so loud at the NFL combine this week that he put his phone on \"Do Not Disturb.'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0,1,2,3,4\n", - "Cited Documents: 0,1,2,3,4\n", - "Answer: It is unclear who the Bears will draft first in the NFL draft. Analysts have predicted that they will select USC quarterback Caleb Williams at No. 1, but there is also a possibility that they will trade the No. 1 pick.\n", - "Grounded answer: It is unclear who the Bears will draft first in the NFL draft. Analysts have predicted that they will select USC quarterback Caleb Williams at No. 1, but there is also a possibility that they will trade the No. 1 pick.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'Who will the Bears draft first in the NFL draft?',\n", - " 'preamble': \"\\nYou are an expert who answers the user's question with the most relevant datasource. \\nYou are equipped with an internet search tool and a special vectorstore of information about agents prompt engineering and adversarial attacks. \\nIf the query covers the topics of agents, prompt engineering or adversarial attacks, use the vectorstore search.\\n\",\n", - " 'output': 'It is unclear who the Bears will draft first in the NFL draft. Analysts have predicted that they will select USC quarterback Caleb Williams at No. 1, but there is also a possibility that they will trade the No. 1 pick.'}" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke(\n", - " {\n", - " \"input\": \"Who will the Bears draft first in the NFL draft?\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "lKkxSvJmEn8Z" - }, - "source": [ - "**Let's ask a question that requires RAG retrieval from the vector db.**" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "AW_8C7mszsVE", - "outputId": "9be7067f-a776-4688-8864-db873d1fb65a" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for the types of agent memory in the vectorstore.\n", - "{'tool_name': 'vectorstore_search', 'parameters': {'query': 'types of agent memory'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3mFig. 7. Comparison of AD, ED, source policy and RL^2 on environments that require memory and exploration. Only binary reward is assigned. The source policies are trained with A3C for \"dark\" environments and DQN for watermaze.(Image source: Laskin et al. 2023)\n", - "Component Two: Memory#\n", - "(Big thank you to ChatGPT for helping me draft this section. I’ve learned a lot about the human brain and data structure for fast MIPS in my conversations with ChatGPT.)\n", - "Types of Memory#\n", - "Memory can be defined as the processes used to acquire, store, retain, and later retrieve information. There are several types of memory in human brains.\n", - "\n", - "\n", - "Sensory Memory: This is the earliest stage of memory, providing the ability to retain impressions of sensory information (visual, auditory, etc) after the original stimuli have ended. Sensory memory typically only lasts for up to a few seconds. Subcategories include iconic memory (visual), echoic memory (auditory), and haptic memory (touch).\n", - "\n", - "\n", - "Short-Term Memory (STM) or Working Memory: It stores information that we are currently aware of and needed to carry out complex cognitive tasks such as learning and reasoning. Short-term memory is believed to have the capacity of about 7 items (Miller 1956) and lasts for 20-30 seconds.\n", - "\n", - "\n", - "Long-Term Memory (LTM): Long-term memory can store information for a remarkably long time, ranging from a few days to decades, with an essentially unlimited storage capacity. There are two subtypes of LTM:\n", - "\n", - "Explicit / declarative memory: This is memory of facts and events, and refers to those memories that can be consciously recalled, including episodic memory (events and experiences) and semantic memory (facts and concepts).\n", - "Implicit / procedural memory: This type of memory is unconscious and involves skills and routines that are performed automatically, like riding a bike or typing on a keyboard.\n", - "\n", - "\n", - "\n", - "\n", - "Fig. 8. Categorization of human memory.\n", - "We can roughly consider the following mappings:\n", - "\n", - "inquired about current trends in anticancer drug discovery;\n", - "selected a target;\n", - "requested a scaffold targeting these compounds;\n", - "Once the compound was identified, the model attempted its synthesis.\n", - "\n", - "They also discussed the risks, especially with illicit drugs and bioweapons. They developed a test set containing a list of known chemical weapon agents and asked the agent to synthesize them. 4 out of 11 requests (36%) were accepted to obtain a synthesis solution and the agent attempted to consult documentation to execute the procedure. 7 out of 11 were rejected and among these 7 rejected cases, 5 happened after a Web search while 2 were rejected based on prompt only.\n", - "Generative Agents Simulation#\n", - "Generative Agents (Park, et al. 2023) is super fun experiment where 25 virtual characters, each controlled by a LLM-powered agent, are living and interacting in a sandbox environment, inspired by The Sims. Generative agents create believable simulacra of human behavior for interactive applications.\n", - "The design of generative agents combines LLM with memory, planning and reflection mechanisms to enable agents to behave conditioned on past experience, as well as to interact with other agents.\n", - "\n", - "Memory stream: is a long-term memory module (external database) that records a comprehensive list of agents’ experience in natural language.\n", - "\n", - "Each element is an observation, an event directly provided by the agent.\n", - "- Inter-agent communication can trigger new natural language statements.\n", - "\n", - "\n", - "Retrieval model: surfaces the context to inform the agent’s behavior, according to relevance, recency and importance.\n", - "\n", - "Recency: recent events have higher scores\n", - "Importance: distinguish mundane from core memories. Ask LM directly.\n", - "Relevance: based on how related it is to the current situation / query.\n", - "\n", - "\n", - "Reflection mechanism: synthesizes memories into higher level inferences over time and guides the agent’s future behavior. They are higher-level summaries of past events (<- note that this is a bit different from self-reflection above)\n", - "\n", - "Prompt LM with 100 most recent observations and to generate 3 most salient high-level questions given a set of observations/statements. Then ask LM to answer those questions.\n", - "\n", - "\n", - "Planning & Reacting: translate the reflections and the environment information into actions\n", - "\n", - "LLM Powered Autonomous Agents | Lil'Log\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "Lil'Log\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "Posts\n", - "\n", - "\n", - "\n", - "\n", - "Archive\n", - "\n", - "\n", - "\n", - "\n", - "Search\n", - "\n", - "\n", - "\n", - "\n", - "Tags\n", - "\n", - "\n", - "\n", - "\n", - "FAQ\n", - "\n", - "\n", - "\n", - "\n", - "emojisearch.app\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - " LLM Powered Autonomous Agents\n", - " \n", - "Date: June 23, 2023 | Estimated Reading Time: 31 min | Author: Lilian Weng\n", - "\n", - "\n", - " \n", - "\n", - "\n", - "Table of Contents\n", - "\n", - "\n", - "\n", - "Agent System Overview\n", - "\n", - "Component One: Planning\n", - "\n", - "Task Decomposition\n", - "\n", - "Self-Reflection\n", - "\n", - "\n", - "Component Two: Memory\n", - "\n", - "Types of Memory\n", - "\n", - "Maximum Inner Product Search (MIPS)\n", - "\n", - "\n", - "Component Three: Tool Use\n", - "\n", - "Case Studies\n", - "\n", - "Scientific Discovery Agent\n", - "\n", - "Generative Agents Simulation\n", - "\n", - "Proof-of-Concept Examples\n", - "\n", - "\n", - "Challenges\n", - "\n", - "Citation\n", - "\n", - "References\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "Building agents with LLM (large language model) as its core controller is a cool concept. Several proof-of-concepts demos, such as AutoGPT, GPT-Engineer and BabyAGI, serve as inspiring examples. The potentiality of LLM extends beyond generating well-written copies, stories, essays and programs; it can be framed as a powerful general problem solver.\n", - "Agent System Overview#\n", - "In a LLM-powered autonomous agent system, LLM functions as the agent’s brain, complemented by several key components:\n", - "\n", - "Planning\n", - "\n", - "Subgoal and decomposition: The agent breaks down large tasks into smaller, manageable subgoals, enabling efficient handling of complex tasks.\n", - "Reflection and refinement: The agent can do self-criticism and self-reflection over past actions, learn from mistakes and refine them for future steps, thereby improving the quality of final results.\n", - "\n", - "\n", - "Memory\n", - "\n", - "Short-term memory: I would consider all the in-context learning (See Prompt Engineering) as utilizing short-term memory of the model to learn.\n", - "Long-term memory: This provides the agent with the capability to retain and recall (infinite) information over extended periods, often by leveraging an external vector store and fast retrieval.\n", - "\n", - "\n", - "Tool use\n", - "\n", - "Sensory memory as learning embedding representations for raw inputs, including text, image or other modalities;\n", - "Short-term memory as in-context learning. It is short and finite, as it is restricted by the finite context window length of Transformer.\n", - "Long-term memory as the external vector store that the agent can attend to at query time, accessible via fast retrieval.\n", - "\n", - "Maximum Inner Product Search (MIPS)#\n", - "The external memory can alleviate the restriction of finite attention span. A standard practice is to save the embedding representation of information into a vector store database that can support fast maximum inner-product search (MIPS). To optimize the retrieval speed, the common choice is the approximate nearest neighbors (ANN)​ algorithm to return approximately top k nearest neighbors to trade off a little accuracy lost for a huge speedup.\n", - "A couple common choices of ANN algorithms for fast MIPS:\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 0\n", - "Cited Documents: 0\n", - "Answer: There are several types of agent memory, including:\n", - "- Sensory memory\n", - "- Short-term memory (STM) or working memory\n", - "- Long-term memory (LTM)\n", - "- Explicit/declarative memory\n", - "- Implicit/procedural memory\n", - "Grounded answer: There are several types of agent memory, including:\n", - "- Sensory memory\n", - "- Short-term memory (STM) or working memory\n", - "- Long-term memory (LTM)\n", - "- Explicit/declarative memory\n", - "- Implicit/procedural memory\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'What are the types of agent memory?',\n", - " 'preamble': \"\\nYou are an expert who answers the user's question with the most relevant datasource. \\nYou are equipped with an internet search tool and a special vectorstore of information about agents prompt engineering and adversarial attacks. \\nIf the query covers the topics of agents, prompt engineering or adversarial attacks, use the vectorstore search.\\n\",\n", - " 'output': 'There are several types of agent memory, including:\\n- Sensory memory\\n- Short-term memory (STM) or working memory\\n- Long-term memory (LTM)\\n- Explicit/declarative memory\\n- Implicit/procedural memory'}" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke(\n", - " {\n", - " \"input\": \"What are the types of agent memory?\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "SdMhyWVJFB2D" - }, - "source": [ - "**Let's ask a question that requires directly answering.**" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "av86IeNl0Kxk", - "outputId": "899eb867-7a61-4e5a-9b41-5d6caf8b9162" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will answer the user's greeting.\n", - "{'tool_name': 'directly_answer', 'parameters': {}}\n", - "\u001b[0mdirectly_answer is not a valid tool, try one of [internet_search, vectorstore_search].\u001b[32;1m\u001b[1;3mRelevant Documents: None\n", - "Cited Documents: None\n", - "Answer: I am an AI chatbot, so I don't have feelings as such, but I am functioning as intended. How can I help you today?\n", - "Grounded answer: I am an AI chatbot, so I don't have feelings as such, but I am functioning as intended. How can I help you today?\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': 'How are you?',\n", - " 'preamble': \"\\nYou are an expert who answers the user's question with the most relevant datasource. \\nYou are equipped with an internet search tool and a special vectorstore of information about agents prompt engineering and adversarial attacks. \\nIf the query covers the topics of agents, prompt engineering or adversarial attacks, use the vectorstore search.\\n\",\n", - " 'output': \"I am an AI chatbot, so I don't have feelings as such, but I am functioning as intended. How can I help you today?\"}" - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke(\n", - " {\n", - " \"input\": \"How are you?\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "id": "ETjwJtzOFXMG" - }, - "source": [ - "**Let's ask a question that requires multiple tools and self-reflection.**" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "IxgiUHvks6iD", - "outputId": "3fa40cae-c4ef-489d-90d9-e4a1b80b7319" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "\n", - "\n", - "\u001b[1m> Entering new AgentExecutor chain...\u001b[0m\n", - "\u001b[32;1m\u001b[1;3m\n", - "I will search for 'undercover agents' in the vectorstore and write an answer based on the results.\n", - "{'tool_name': 'vectorstore_search', 'parameters': {'query': 'undercover agents'}}\n", - "\u001b[0m\u001b[33;1m\u001b[1;3minquired about current trends in anticancer drug discovery;\n", - "selected a target;\n", - "requested a scaffold targeting these compounds;\n", - "Once the compound was identified, the model attempted its synthesis.\n", - "\n", - "They also discussed the risks, especially with illicit drugs and bioweapons. They developed a test set containing a list of known chemical weapon agents and asked the agent to synthesize them. 4 out of 11 requests (36%) were accepted to obtain a synthesis solution and the agent attempted to consult documentation to execute the procedure. 7 out of 11 were rejected and among these 7 rejected cases, 5 happened after a Web search while 2 were rejected based on prompt only.\n", - "Generative Agents Simulation#\n", - "Generative Agents (Park, et al. 2023) is super fun experiment where 25 virtual characters, each controlled by a LLM-powered agent, are living and interacting in a sandbox environment, inspired by The Sims. Generative agents create believable simulacra of human behavior for interactive applications.\n", - "The design of generative agents combines LLM with memory, planning and reflection mechanisms to enable agents to behave conditioned on past experience, as well as to interact with other agents.\n", - "\n", - "Memory stream: is a long-term memory module (external database) that records a comprehensive list of agents’ experience in natural language.\n", - "\n", - "Each element is an observation, an event directly provided by the agent.\n", - "- Inter-agent communication can trigger new natural language statements.\n", - "\n", - "\n", - "Retrieval model: surfaces the context to inform the agent’s behavior, according to relevance, recency and importance.\n", - "\n", - "Recency: recent events have higher scores\n", - "Importance: distinguish mundane from core memories. Ask LM directly.\n", - "Relevance: based on how related it is to the current situation / query.\n", - "\n", - "\n", - "Reflection mechanism: synthesizes memories into higher level inferences over time and guides the agent’s future behavior. They are higher-level summaries of past events (<- note that this is a bit different from self-reflection above)\n", - "\n", - "Prompt LM with 100 most recent observations and to generate 3 most salient high-level questions given a set of observations/statements. Then ask LM to answer those questions.\n", - "\n", - "\n", - "Planning & Reacting: translate the reflections and the environment information into actions\n", - "\n", - "nlp\n", - "language-model\n", - "safety\n", - "adversarial attacks\n", - "robustness\n", - "redteam\n", - "\n", - "\n", - "\n", - "« \n", - "\n", - "Thinking about High-Quality Human Data\n", - "\n", - "\n", - " »\n", - "\n", - "LLM Powered Autonomous Agents\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "© 2024 Lil'Log\n", - "\n", - " Powered by\n", - " Hugo &\n", - " PaperMod\n", - "\n", - "Special encoding: Adversarial inputs use Base64 encoding.\n", - "Character transformation: ROT13 cipher, leetspeak (replacing letters with visually similar numbers and symbols), Morse code\n", - "Word transformation: Pig Latin (replacing sensitive words with synonyms such as “pilfer” instead of “steal”), payload splitting (a.k.a. “token smuggling” to split sensitive words into substrings).\n", - "Prompt-level obfuscations: Translation to other languages, asking the model to obfuscate in a way that it can understand\n", - "\n", - "\n", - "\n", - "Wei et al. (2023) experimented a large of jailbreak methods, including combined strategies, constructed by following the above principles.\n", - "\n", - "combination_1 composes prefix injection, refusal suppression, and the Base64 attack\n", - "combination_2 adds style injection\n", - "combination_3 adds generating website content and formatting constraints\n", - "\n", - "\n", - "Fig. 11. Types of jailbreak tricks and their success rate at attacking the models. Check the papers for detailed explanation of each attack config. (Image source: Wei et al. 2023)\n", - "Greshake et al. (2023) make some high-level observations of prompt injection attacks. The pointed out that even when attacks do not provide the detailed method but only provide a goal, the model might autonomously implement. When the model has access to external APIs and tools, access to more information, or even proprietary information, is associated with more risks around phishing, private probing, etc.\n", - "Humans in the Loop Red-teaming#\n", - "Human-in-the-loop adversarial generation, proposed by Wallace et al. (2019) , aims to build toolings to guide humans to break models. They experimented with QuizBowl QA dataset and designed an adversarial writing interface for humans to write similar Jeopardy style questions to trick the model to make wrong predictions. Each word is highlighted in different colors according to its word importance (i.e. change in model prediction probability upon the removal of the word). The word importance is approximated by the gradient of the model w.r.t. the word embedding.\n", - "\n", - "nlp\n", - "language-model\n", - "alignment\n", - "steerability\n", - "prompting\n", - "\n", - "\n", - "\n", - "« \n", - "\n", - "LLM Powered Autonomous Agents\n", - "\n", - "\n", - " »\n", - "\n", - "The Transformer Family Version 2.0\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "© 2024 Lil'Log\n", - "\n", - " Powered by\n", - " Hugo &\n", - " PaperMod\u001b[0m\u001b[32;1m\u001b[1;3m\n", - "I did not find any information about undercover agents in the vectorstore. I will now do an internet search for 'undercover agents' and write an answer based on the results.\n", - "{'tool_name': 'internet_search', 'parameters': {'query': 'undercover agents'}}\n", - "\u001b[0m\u001b[36;1m\u001b[1;3m[{'url': 'https://www.cbsnews.com/news/fbi-undercover-agent-mike-gibeley-fbi-declassified/', 'content': 'Watch CBS News\\nFrom drug smuggler to white-collar criminal to weapons dealer: My life as an undercover FBI agent\\nBy\\nChiara Norbitz\\nAugust 19, 2021 / 2:02 AM EDT\\n/ CBS News\\nThrough never-before-seen footage and in-depth interviews, \"The FBI Declassified\" takes you inside the minds of federal agents and analysts as they reveal how they solved some of the biggest cases of their careers. \"If you haven\\'t given a moment\\'s thought about what you might say when you feel a piece of steel against the back of your head, you\\'re not preparing properly.\"\\nPreparation is paramount for going undercover, and the first rule is research: lead with what you know. \"If you have the guts to walk into the room, you darn well better make sure that the evidence and intelligence you captured is going to be something that you can use for trial,\" Gibeley said.\\n \"I had the opportunity to be working around a lot of\\u202freally highly\\u202fexperienced undercovers, which gave me the opportunity to be an apprentice and learn along the way. \"\\nFor nearly 20 years, Gibeley has played a role in countless undercover operations at the FBI, blending in with mafia bosses and drug rings, all to combat crime from the inside.\\n'}, {'url': 'https://crimedoor.com/articles/inside-the-world-of-undercover-agents/', 'content': 'Challenges and Risks\\nUndercover operations present a range of challenges and risks, including:\\n* \\xa0 Constant threat of exposure working in dangerous environments\\n* \\xa0 Balancing personal life with an undercover identity\\n* \\xa0 Emotional and psychological toll on agents\\nAgents must balance maintaining their undercover persona and protecting their true selves while keeping their double life a secret from loved ones.\\n How an Undercover Operation Begins\\nThe initial planning of an undercover operation involves a thorough assessment of the criminal organizations or activities, the identification of suitable undercover agents, and the development of a detailed operational plan. Testifying in court requires specialized skills and preparation, including the ability to communicate information clearly and effectively, know how to respond to cross-examination by defense attorneys, and manage emotions and stress during the process.\\n Here are three such cases:\\nThese operations demonstrate how undercover agents can infiltrate and dismantle even the most dangerous and sophisticated criminal organizations, leading to successful convictions and bringing justice to victims of crime.\\n Inside the World of Undercover Agents\\nShare on:\\nFrom Hollywood blockbusters to gripping TV dramas, the concept of undercover work has captured the imagination of audiences worldwide.'}, {'url': 'https://www.becomeopedia.com/undercover-agent/', 'content': 'How to Become an Undercover Agent\\nHome / Criminal Justice / How to Become an Undercover Agent\\nUndercover Agents are professionals who use an alternate identity in order to provide detective work for a special assignment.\\n Some postsecondary schools also offer this program at the bachelor’s or master’s level.\\nIndividuals who want to become an Undercover Agent are encouraged to gain experience in the field at the entry level to help them enter this field.\\n Table of Contents\\nEducation Requirements to Become an Undercover Agent\\nIndividuals who want to become an Undercover Agent will need a minimum of a high school degree and some experience.\\n These are the top 5 earning states in the field:\\nThe top earning state in the field is Alaska, where the average salary is $10,500.\\n These are the top 5 earning states in the field:\\nThe top earning state in the field is Alaska, where the average salary is $60.97.\\n'}, {'url': 'https://www.vice.com/en/article/kwxxpy/what-its-like-to-be-an-undercover-fbi-agent-1113', 'content': 'But within an hour of arriving in Miami, Florida, for the second meeting, I met an individual who was a part-time airline flight attendant and he started talking about—unsolicited—how he would fly overseas using his benefits with American Airlines to have sex with with little boys in Thailand, and he would fly down to Mexico and have sex with boys in Mexico, and starts saying to me, \"We should go on a trip to do this. So how did you get into working as an undercover agent for the FBI?\\nBob Hamer: I was looking for excitement, and while I was in training at the FBI academy, a couple of the instructors had done some undercover work, and I thought, That seems interesting and exciting. Within a few minutes of that, this couple that I knew from Cincinnati, Ohio, several thousand miles away from where we were located, a couple that I had lived with for a semester when I was going to school, walked into the lobby of the hotel and saw me.\\n But psychologically and emotionally it was hard, but at the same time I was in NAMBLA, I was working Operation Smoking Dragon, so when we took down the NAMBLA case and arrested the eight members of the inner circle, within two or three days I was back with my undercover meetings. To me, the undercover case is the best investigative tool to put a case together, because particularly when it\\'s an undercover agent—not an informant but an undercover agent—you have a trained law-enforcement officer that knows what it takes to put a case together.'}, {'url': 'https://en.wikipedia.org/wiki/Covert_operation', 'content': 'Law enforcement agencies elsewhere established similar Branches.[11]\\nIn the United States, a similar route was taken when the New York City Police Department under police commissioner William McAdoo established the Italian Squad in 1906 to combat rampant crime and intimidation in the poor Italian neighborhoods.[12][self-published source] Various federal agencies began their own undercover programs shortly afterwards – Charles Joseph Bonaparte founded the Bureau of Investigation, the forerunner of the Federal Bureau of Investigation, in 1908.[13][14]\\nSecret police forces in the Eastern Bloc also used undercover operatives.[15]\\nParticipation in criminal activities[edit]\\nUndercover agents may engage in criminal activities as part of their investigation. He finds that covert operations are frequently detected by other major powers.[7]\\nDomestic settings[edit]\\nTo go \"undercover\" (that is, to go on an undercover operation) is to avoid detection by the object of one\\'s observation, and especially to disguise one\\'s own identity (or use an assumed identity) for the purposes of gaining the trust of an individual or organization in order to learn or confirm confidential information, or to gain the trust of targeted individuals to gather information or evidence. It was only in 1869 that Police commissioner Edmund Henderson established a formal plainclothes detective division.[10]\\nThe first Special Branch of police was the Special Irish Branch, formed as a section of the Criminal Investigation Department of the MPS in London in 1883, initially to combat the bombing campaign that the Irish Republican Brotherhood had begun a few years earlier. The lack of the usual controls of a uniform, badge, constant supervision, a fixed place of work, or (often) a set assignment could, combined with their continual contact with the organized crime, increase the likelihood for corruption.[22]\\nThis stress may be instrumental in the development of drug or alcohol abuse in some agents.\\n This can be a result of a need for secrecy and an inability to share work problems, and the unpredictable work schedule, personality and lifestyle changes and the length of separation can all result in problems for relationships.[21]\\nStress can also result from an apparent lack of direction of the investigation or not knowing when it will end.\\n'}]\u001b[0m\u001b[32;1m\u001b[1;3mRelevant Documents: 1,2,3,4,5\n", - "Cited Documents: 1,2,3,4,5\n", - "Answer: Undercover agents are professionals who use an alternate identity to provide detective work for a special assignment. They may engage in criminal activities as part of their investigation. \n", - "\n", - "Undercover operations present a range of challenges and risks, including the constant threat of exposure, balancing an undercover identity with a personal life, and the emotional and psychological toll on agents. \n", - "\n", - "Preparation is paramount for going undercover. The first rule is research: lead with what you know.\n", - "Grounded answer: Undercover agents are professionals who use an alternate identity to provide detective work for a special assignment. They may engage in criminal activities as part of their investigation. \n", - "\n", - "Undercover operations present a range of challenges and risks, including the constant threat of exposure, balancing an undercover identity with a personal life, and the emotional and psychological toll on agents. \n", - "\n", - "Preparation is paramount for going undercover. The first rule is research: lead with what you know.\u001b[0m\n", - "\n", - "\u001b[1m> Finished chain.\u001b[0m\n" - ] - }, - { - "data": { - "text/plain": [ - "{'input': \"Tell me everything you know about undercover agents. If the info retrieved using some tools isn't satisfying, use more tools.\",\n", - " 'preamble': \"\\nYou are an expert who answers the user's question with the most relevant datasource. \\nYou are equipped with an internet search tool and a special vectorstore of information about agents prompt engineering and adversarial attacks. \\nIf the query covers the topics of agents, prompt engineering or adversarial attacks, use the vectorstore search.\\n\",\n", - " 'output': 'Undercover agents are professionals who use an alternate identity to provide detective work for a special assignment. They may engage in criminal activities as part of their investigation. \\n\\nUndercover operations present a range of challenges and risks, including the constant threat of exposure, balancing an undercover identity with a personal life, and the emotional and psychological toll on agents. \\n\\nPreparation is paramount for going undercover. The first rule is research: lead with what you know.'}" - ] - }, - "execution_count": 12, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "agent_executor.invoke(\n", - " {\n", - " \"input\": \"Tell me everything you know about undercover agents. If the info retrieved using some tools isn't satisfying, use more tools.\",\n", - " \"preamble\": preamble,\n", - " }\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "id": "mp7Yt1Ems-HE" - }, - "outputs": [], - "source": [] - } - ], - "metadata": { - "colab": { - "provenance": [] - }, - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.8" - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This file has been moved to https://github.com/cohere-ai/cohere-developer-experience/blob/main/notebooks/react_agent_adaptive_rag_cohere.ipynb." + ] + } + ], + "metadata": { + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} \ No newline at end of file diff --git a/public_embedding_benchmarks/beir.md b/public_embedding_benchmarks/beir.md deleted file mode 100644 index 1a20564e..00000000 --- a/public_embedding_benchmarks/beir.md +++ /dev/null @@ -1,7 +0,0 @@ -| Model | Dimensions| msmarco | arguana | climate-fever | cqadupstack | dbpedia-entity | fever | fiqa | hotpotqa | nfcorpus | nq | quora | scidocs | scifact | trec-covid | webis-touche20 | bioasq | signal1m | trec-news | robust04 | avg BEIR (18 datasets) | avg Open BEIR (14 datasets) -| -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -| embed-english-v3.0 | 1024 | 42.9 | 61.5 | 38.4 | 41.5 | 43.4 | 89.0 | 42.2 | 70.7 | 38.6 | 61.6 | 88.7 | 20.3 | 71.8 | 81.9 | 32.4 | 45.6 | 26.3 | 50.5 | 54.0 | 53.2 | 55.9 | -| embed-multilingual-v3.0 | 1024 | 43.4 | 55.1 | 30.0 | 40.6 | 41.0 | 88.5 | 44.1 | 70.6 | 36.4 | 63.4 | 88.9 | 19.3 | 70.0 | 83.4 | 32.7 | 42.8 | 27.2 | 47.6 | 53.4 | 51.9 | 54.6 | -| OpenAI ada-002 | 1536 | 40.9 | 57.4 | 21.6 | 41.7 | 39.4 | 75.0 | 44.4 | 60.9 | 37.0 | 51.6 | 87.6 | 18.4 | 72.7 | 68.5 | 21.6 | N/A | N/A | N/A | N/A | N/A | 49.8 | - -Note: OpenAI did not report BEIR benchmarks for text-embedding-3-large \ No newline at end of file diff --git a/public_embedding_benchmarks/miracl.md b/public_embedding_benchmarks/miracl.md deleted file mode 100644 index 05d0410b..00000000 --- a/public_embedding_benchmarks/miracl.md +++ /dev/null @@ -1,7 +0,0 @@ -| Model | Dimensions| Arabic (ar) | Bengali (bn) | English (en) | Spanish (es) | Persian (fa) | Finnish (fi) | French (fr) | Hindi (hi) | Indonesian (id) | Japanese (ja) | Korean (ko) | Russian (ru) | Swahili (sw) | Telugu (te) | Thai (th) | Chinese (zh) | Germany (de) | Yoruba (yo) | Avg (18 datasets) | Avg (excl. de and yo) | -| -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -| embed-multilingual-v3.0 | 1024 | 76.5 | 75.8 | 57.0 | 55.1 | 57.5 | 77.1 | 57.4 | 61.7 | 52.5 | 69.6 | 66.0 | 68.8 | 75.7 | 83.3 | 79.5 | 58.9 | 58.7 | 61.8 | 66.3 | 67.0 | -| BM25 | N/A | 48.1 | 50.8 | 35.1 | 31.9 | 33.3 | 55.1 | 18.3 | 45.8 | 44.9 | 36.9 | 41.9 | 33.4 | 38.3 | 49.4 | 48.8 | 18.0 | N/A | N/A | N/A | 39.4 | -| OpenAI text-embedding-large | 3072 | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | N/A | 54.9 | N/A | - -Note: OpenAI has only reported on the average of their Miracl's Scores; Cohere's embed-multilingual-v3.0 model is SOTA in Multilingual Retrieval \ No newline at end of file diff --git a/public_embedding_benchmarks/mteb.md b/public_embedding_benchmarks/mteb.md deleted file mode 100644 index 23cedc47..00000000 --- a/public_embedding_benchmarks/mteb.md +++ /dev/null @@ -1,8 +0,0 @@ -| Model |Dimensions| Classification (12 datasets) | Clustering (11 datasets) | Pair Classification (3 datasets) | Reranking (4 datasets) | STS (10 datasets) | Summariziation (1 dataset) | Retrieval (15 datasets)| Avg (56 datasets) | -| -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -| embed-english-v3.0 | 1024 | 76.5 | 47.4 | 85.8 | 58.0 | 82.6 | 30.2 | 55.0 | 64.5 | -| embed-multilingual-v3.0 | 1024 | 76.0 | 46.6 | 86.1 | 57.9 | 83.2 | 31.0 | 53.8 | 64.0 | -| OpenAI ada-002 | 1536 | 70.9 | 45.9 | 84.9 | 56.3 | 81.0 | 30.8 | 49.3 | 61.0 | -|OpenAI text-embedding-large | 3072 | 75.5 | 49.0 | 85.7 | 59.2 | 81.7 | 29.9 | 59.2 | 64.6 | - -Note: Cohere's Embedding models achieves comparable performance to text-embedding-large at 1/3 of the dimension size \ No newline at end of file diff --git a/public_rerank_benchmarks/bm25_with_rerank.md b/public_rerank_benchmarks/bm25_with_rerank.md deleted file mode 100644 index b295518e..00000000 --- a/public_rerank_benchmarks/bm25_with_rerank.md +++ /dev/null @@ -1,7 +0,0 @@ -| Model | First Stage| BEIR Evaluation (14 Datasets)| Code Evaluation (6 Datasets)| Long Context Evaluation (7 Datasets)| Multilingual (18 Datasets)| Semi-Structured Data Evaluation (5 Datasets) -| -------- | -------- | -------- | -------- | -------- | -------- | -------- | -|N/A|BM25|43.7|34.0|54.6|36.5|47.5| -|Rerank v2|BM25|49.4|37.6|63.1|59.6|59.7| -|Rerank v3|BM25|53.1|51.7|69.0|62.8|60.3| - -Note: For Multilingual, both we used `embed-multilingual-v3.0`, `rerank-multilingual-v2.0`, and `rerank-multilingual-v3.0`. All other evaluations were done with the english model variants \ No newline at end of file diff --git a/public_rerank_benchmarks/embed_with_rerank.md b/public_rerank_benchmarks/embed_with_rerank.md deleted file mode 100644 index b19d245c..00000000 --- a/public_rerank_benchmarks/embed_with_rerank.md +++ /dev/null @@ -1,7 +0,0 @@ -| Model | First Stage| BEIR Evaluation (14 Datasets)| Code Evaluation (6 Datasets)| Long Context Evaluation (7 Datasets)| Multilingual (18 Datasets)| Semi-Structured Data Evaluation (5 Datasets) -| -------- | -------- | -------- | -------- | -------- | -------- | -------- | -|N/A|embed-v3.0|52.6|65.0|56.8|63.7|47.8 -|Rerank v2|embed-v3.0|50.4|50.1|62.8|66.5|62.8 -|Rerank v3|embed-v3.0|54.8|64.5|69.3|70.9|62.7 - -Note: For Multilingual, both we used `embed-multilingual-v3.0`, `rerank-multilingual-v2.0`, and `rerank-multilingual-v3.0`. All other evaluations were done with the english model variants \ No newline at end of file diff --git a/public_rerank_benchmarks/miracl.md b/public_rerank_benchmarks/miracl.md deleted file mode 100644 index 08aeea27..00000000 --- a/public_rerank_benchmarks/miracl.md +++ /dev/null @@ -1,6 +0,0 @@ -| Model | First Stage| Arabic (ar) | Bengali (bn) | English (en) | Spanish (es) | Persian (fa) | Finnish (fi) | French (fr) | Hindi (hi) | Indonesian (id) | Japanese (ja) | Korean (ko) | Russian (ru) | Swahili (sw) | Telugu (te) | Thai (th) | Chinese (zh) | Germany (de) | Yoruba (yo) | Avg (18 datasets) | Avg (excl. de and yo) | -| -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -------- | -| BM25 | N/A | 46.8 | 49.2 | 30.2 | 27.5 | 31.2 | 51.4 | 17.6 | 41.1 | 39.2 | 35.3 | 25.8 | 25.4 | 41.4 | 47.6 | 47.1 | 21.2 | 19.6 | 59.0 | 36.5 | 36.1 | -| embed-multilingual-v3.0 | N/A | 72.5 | 70.6 | 56.3 | 48.5 | 56.8 | 73.6 | 55.2 | 59.1 | 49.5 | 63.7 | 61.9 | 61.8 | 72.4 | 80.6 | 76.1 | 56.9 | 51.7 | 78.9 | 63.7 | 63.5 | -| Rerank 3 | BM25 | 75.3 | 78.6 | 60.7 | 55.1 | 56.1 | 77.5 | 47.2 | 62.2 | 58.1 | 67.4 | 44.0 | 55.9 | 70.3 | 72.6 | 77.3 | 50.9 | 45.0 | 76.5 | 62.8 | 63.1 | -| Rerank 3 | embed-multilingual-v3.0 | 80.4 | 82.5 | 61.4 | 59.1 | 62.4 | 80.8 | 59.1 | 62.9 | 57.5 | 75.1 | 74.5 | 67.3 | 77.2 | 83.8| 82.8 | 65.7 | 60.8 | 83.1 | 70.9 | 70.8 | \ No newline at end of file