forked from fan19-hub/ECE385-Dad-n-Me-on-FPGA
-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathEn_ROM.sv
executable file
·100 lines (94 loc) · 2.29 KB
/
En_ROM.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
module En_ROM
(
input [15:0] read_address,
input Clk,beida,frame_clk,
input enemy_left,
input [1:0]en_move,
output[23:0] data_Out
);
// mem has width of 3 bits and a total of 400 addresses
logic [7:0] mem1 [0:6000];
logic [7:0] mem2 [0:6000];
logic [7:0] mem3 [0:6000];
logic [7:0] mem4 [0:6000];
initial
begin
$readmemb("sprite_bytes/e_1.txt", mem1);
$readmemb("sprite_bytes/e_2.txt", mem2);
$readmemb("sprite_bytes/e_3.txt", mem3);
$readmemb("sprite_bytes/e_beida.txt", mem4);
// $readmemb("sprite_bytes/beida_1.txt", mem4);
end
logic [1:0] index_buffer,index;
always_ff @ (posedge Clk) begin
index<= index_buffer;
end
always_comb
begin
if(beida===1'b1)
index_buffer=mem4[read_address];
else
begin
case(en_move)
2'b01:index_buffer=mem2[read_address];
2'b10:index_buffer=mem3[read_address];
default: index_buffer= mem1[read_address];
endcase
end
end
always_comb
begin
unique case (index)
2'b0: data_Out=24'hffccff;
2'b1: data_Out=24'h000000;
// 2'b10: data_Out=24'hb6b6b6;
2'b11: data_Out=24'h0000ff;
default : data_Out = 24'h000000; //by default, black
endcase
end
endmodule
//module En_ROM
//(
// input [15:0] read_address,
// input Clk,beida,frame_clk,
// input enemy_left,
// input [1:0]en_move,
// output logic [7:0] data_Out
//);
//
//// mem has width of 3 bits and a total of 400 addresses
//logic [7:0] mem1 [0:6000];
//logic [7:0] mem2 [0:6000];
//logic [7:0] mem3 [0:6000];
//logic [7:0] mem4 [0:6000];
////logic [7:0] mem5 [0:10000];
////logic [7:0] mem6 [0:10000];
//initial
//begin
// $readmemh("sprite_bytes/e_1.txt", mem1);
// $readmemh("sprite_bytes/e_2.txt", mem2);
// $readmemh("sprite_bytes/e_3.txt", mem3);
// $readmemh("sprite_bytes/beida_1.txt", mem4);
//end
//
//logic [7:0] data_out_buffer;
//always_ff @ (posedge Clk) begin
// data_Out<= data_out_buffer;
// end
//always_comb
//begin
//
// if(beida===1'b1)
// data_out_buffer=mem4[read_address];
// else
// begin
// case(en_move)
//// 2'b00:data_out_buffer=mem1[read_address];
// 2'b01:data_out_buffer=mem2[read_address];
// 2'b10:data_out_buffer=mem3[read_address];
// default: data_out_buffer= mem1[read_address];
// endcase
// end
//end
//
//endmodule